blob: 7588ce2cb25921faafb74e32f16afb43b6a551ed [file] [log] [blame]
// type: INV
`timescale 1ns/10ps
`celldefine
module sky130_osu_sc_INVX2 (Y, A);
output Y;
input A;
// Function
not (Y, A);
// Timing
specify
(A => Y) = 0;
endspecify
endmodule
`endcelldefine