Add files via upload
diff --git a/gds/arbiterpuf.gds b/gds/arbiterpuf.gds
index 752c680..9725a22 100644
--- a/gds/arbiterpuf.gds
+++ b/gds/arbiterpuf.gds
Binary files differ
diff --git a/gds/user_project_wrapper.gds b/gds/user_project_wrapper.gds
index 9f8b643..6f376fe 100644
--- a/gds/user_project_wrapper.gds
+++ b/gds/user_project_wrapper.gds
Binary files differ
diff --git a/mag/arbiterpuf.mag b/mag/arbiterpuf.mag
index 4b6a773..206b802 100644
--- a/mag/arbiterpuf.mag
+++ b/mag/arbiterpuf.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1671600360
+timestamp 1671686754
 << viali >>
 rect 77769 77673 77803 77707
 rect 2053 77469 2087 77503
diff --git a/mag/user_project_wrapper.mag b/mag/user_project_wrapper.mag
index 71fb055..bb633f2 100644
--- a/mag/user_project_wrapper.mag
+++ b/mag/user_project_wrapper.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1671601500
+timestamp 1671687910
 << metal1 >>
 rect 218054 420724 218060 420776
 rect 218112 420764 218118 420776
diff --git a/maglef/arbiterpuf.mag b/maglef/arbiterpuf.mag
index cb5cc84..56f0151 100644
--- a/maglef/arbiterpuf.mag
+++ b/maglef/arbiterpuf.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1671600370
+timestamp 1671686764
 << nwell >>
 rect 1066 77509 78882 77830
 rect 1066 76421 78882 76987
@@ -175,7 +175,7 @@
 string LEFclass BLOCK
 string LEFview TRUE
 string GDS_END 1587926
-string GDS_FILE /home/radhe/project/matrix_multiply_mpw8/openlane/arbiterpuf/runs/22_12_21_10_47/results/signoff/arbiterpuf.magic.gds
+string GDS_FILE /home/radhe/project/matrix_multiply_mpw8/openlane/arbiterpuf/runs/22_12_22_10_48/results/signoff/arbiterpuf.magic.gds
 string GDS_START 45102
 << end >>
 
diff --git a/maglef/user_project_wrapper.mag b/maglef/user_project_wrapper.mag
index 0e36e7d..340360e 100644
--- a/maglef/user_project_wrapper.mag
+++ b/maglef/user_project_wrapper.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1671601513
+timestamp 1671687919
 << obsli1 >>
 rect 236104 340159 313844 415809
 << obsm1 >>
@@ -3024,7 +3024,7 @@
 string LEFclass BLOCK
 string LEFview TRUE
 string GDS_END 2613054
-string GDS_FILE /home/radhe/project/matrix_multiply_mpw8/openlane/user_project_wrapper/runs/22_12_21_10_59/results/signoff/user_project_wrapper.magic.gds
+string GDS_FILE /home/radhe/project/matrix_multiply_mpw8/openlane/user_project_wrapper/runs/22_12_22_10_59/results/signoff/user_project_wrapper.magic.gds
 string GDS_START 1587988
 << end >>
 
diff --git a/sdc/arbiterpuf.sdc b/sdc/arbiterpuf.sdc
new file mode 100644
index 0000000..ab9b979
--- /dev/null
+++ b/sdc/arbiterpuf.sdc
@@ -0,0 +1,55 @@
+###############################################################################
+# Created by write_sdc
+# Thu Dec 22 05:23:00 2022
+###############################################################################
+current_design arbiterpuf
+###############################################################################
+# Timing Constraints
+###############################################################################
+create_clock -name __VIRTUAL_CLK__ -period 10.0000 
+set_clock_uncertainty 0.2500 __VIRTUAL_CLK__
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ichallenge[0]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ichallenge[10]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ichallenge[11]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ichallenge[12]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ichallenge[13]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ichallenge[14]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ichallenge[15]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ichallenge[1]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ichallenge[2]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ichallenge[3]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ichallenge[4]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ichallenge[5]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ichallenge[6]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ichallenge[7]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ichallenge[8]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ichallenge[9]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {ipulse}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {oresponse}]
+###############################################################################
+# Environment
+###############################################################################
+set_load -pin_load 0.0334 [get_ports {oresponse}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ipulse}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ichallenge[15]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ichallenge[14]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ichallenge[13]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ichallenge[12]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ichallenge[11]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ichallenge[10]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ichallenge[9]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ichallenge[8]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ichallenge[7]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ichallenge[6]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ichallenge[5]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ichallenge[4]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ichallenge[3]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ichallenge[2]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ichallenge[1]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ichallenge[0]}]
+set_timing_derate -early 0.9500
+set_timing_derate -late 1.0500
+###############################################################################
+# Design Rules
+###############################################################################
+set_max_fanout 10.0000 [current_design]
diff --git a/sdc/mac.sdc b/sdc/mac.sdc
new file mode 100644
index 0000000..ce6e50b
--- /dev/null
+++ b/sdc/mac.sdc
@@ -0,0 +1,84 @@
+###############################################################################
+# Created by write_sdc
+# Mon Dec 19 09:21:04 2022
+###############################################################################
+current_design mac
+###############################################################################
+# Timing Constraints
+###############################################################################
+create_clock -name clk -period 10.0000 [get_ports {clk}]
+set_clock_transition 0.1500 [get_clocks {clk}]
+set_clock_uncertainty 0.2500 clk
+set_propagated_clock [get_clocks {clk}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {clken}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {in[0]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {in[1]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {in[2]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {in[3]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {in[4]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {in[5]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {in[6]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {in[7]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {ld}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {ld1}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {ld2}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {ld3}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {ld4}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {rst}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {out[0]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {out[10]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {out[11]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {out[12]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {out[13]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {out[14]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {out[15]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {out[1]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {out[2]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {out[3]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {out[4]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {out[5]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {out[6]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {out[7]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {out[8]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {out[9]}]
+###############################################################################
+# Environment
+###############################################################################
+set_load -pin_load 0.0334 [get_ports {out[15]}]
+set_load -pin_load 0.0334 [get_ports {out[14]}]
+set_load -pin_load 0.0334 [get_ports {out[13]}]
+set_load -pin_load 0.0334 [get_ports {out[12]}]
+set_load -pin_load 0.0334 [get_ports {out[11]}]
+set_load -pin_load 0.0334 [get_ports {out[10]}]
+set_load -pin_load 0.0334 [get_ports {out[9]}]
+set_load -pin_load 0.0334 [get_ports {out[8]}]
+set_load -pin_load 0.0334 [get_ports {out[7]}]
+set_load -pin_load 0.0334 [get_ports {out[6]}]
+set_load -pin_load 0.0334 [get_ports {out[5]}]
+set_load -pin_load 0.0334 [get_ports {out[4]}]
+set_load -pin_load 0.0334 [get_ports {out[3]}]
+set_load -pin_load 0.0334 [get_ports {out[2]}]
+set_load -pin_load 0.0334 [get_ports {out[1]}]
+set_load -pin_load 0.0334 [get_ports {out[0]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {clk}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {clken}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ld}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ld1}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ld2}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ld3}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ld4}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {rst}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {in[7]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {in[6]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {in[5]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {in[4]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {in[3]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {in[2]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {in[1]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {in[0]}]
+set_timing_derate -early 0.9500
+set_timing_derate -late 1.0500
+###############################################################################
+# Design Rules
+###############################################################################
+set_max_fanout 10.0000 [current_design]
diff --git a/sdc/matrix_multiply.sdc b/sdc/matrix_multiply.sdc
new file mode 100644
index 0000000..43fc6c1
--- /dev/null
+++ b/sdc/matrix_multiply.sdc
@@ -0,0 +1,86 @@
+###############################################################################
+# Created by write_sdc
+# Mon Dec 19 11:28:44 2022
+###############################################################################
+current_design matrix_multiply
+###############################################################################
+# Timing Constraints
+###############################################################################
+create_clock -name clk -period 14.0000 [get_ports {clk}]
+set_clock_transition 0.1500 [get_clocks {clk}]
+set_clock_uncertainty 0.2500 clk
+set_propagated_clock [get_clocks {clk}]
+set_input_delay 2.8000 -clock [get_clocks {clk}] -add_delay [get_ports {execute}]
+set_input_delay 2.8000 -clock [get_clocks {clk}] -add_delay [get_ports {input_val[0]}]
+set_input_delay 2.8000 -clock [get_clocks {clk}] -add_delay [get_ports {input_val[1]}]
+set_input_delay 2.8000 -clock [get_clocks {clk}] -add_delay [get_ports {input_val[2]}]
+set_input_delay 2.8000 -clock [get_clocks {clk}] -add_delay [get_ports {input_val[3]}]
+set_input_delay 2.8000 -clock [get_clocks {clk}] -add_delay [get_ports {input_val[4]}]
+set_input_delay 2.8000 -clock [get_clocks {clk}] -add_delay [get_ports {input_val[5]}]
+set_input_delay 2.8000 -clock [get_clocks {clk}] -add_delay [get_ports {input_val[6]}]
+set_input_delay 2.8000 -clock [get_clocks {clk}] -add_delay [get_ports {input_val[7]}]
+set_input_delay 2.8000 -clock [get_clocks {clk}] -add_delay [get_ports {reset}]
+set_input_delay 2.8000 -clock [get_clocks {clk}] -add_delay [get_ports {sel_in[0]}]
+set_input_delay 2.8000 -clock [get_clocks {clk}] -add_delay [get_ports {sel_in[1]}]
+set_input_delay 2.8000 -clock [get_clocks {clk}] -add_delay [get_ports {sel_in[2]}]
+set_input_delay 2.8000 -clock [get_clocks {clk}] -add_delay [get_ports {sel_out[0]}]
+set_input_delay 2.8000 -clock [get_clocks {clk}] -add_delay [get_ports {sel_out[1]}]
+set_output_delay 2.8000 -clock [get_clocks {clk}] -add_delay [get_ports {result[0]}]
+set_output_delay 2.8000 -clock [get_clocks {clk}] -add_delay [get_ports {result[10]}]
+set_output_delay 2.8000 -clock [get_clocks {clk}] -add_delay [get_ports {result[11]}]
+set_output_delay 2.8000 -clock [get_clocks {clk}] -add_delay [get_ports {result[12]}]
+set_output_delay 2.8000 -clock [get_clocks {clk}] -add_delay [get_ports {result[13]}]
+set_output_delay 2.8000 -clock [get_clocks {clk}] -add_delay [get_ports {result[14]}]
+set_output_delay 2.8000 -clock [get_clocks {clk}] -add_delay [get_ports {result[15]}]
+set_output_delay 2.8000 -clock [get_clocks {clk}] -add_delay [get_ports {result[16]}]
+set_output_delay 2.8000 -clock [get_clocks {clk}] -add_delay [get_ports {result[1]}]
+set_output_delay 2.8000 -clock [get_clocks {clk}] -add_delay [get_ports {result[2]}]
+set_output_delay 2.8000 -clock [get_clocks {clk}] -add_delay [get_ports {result[3]}]
+set_output_delay 2.8000 -clock [get_clocks {clk}] -add_delay [get_ports {result[4]}]
+set_output_delay 2.8000 -clock [get_clocks {clk}] -add_delay [get_ports {result[5]}]
+set_output_delay 2.8000 -clock [get_clocks {clk}] -add_delay [get_ports {result[6]}]
+set_output_delay 2.8000 -clock [get_clocks {clk}] -add_delay [get_ports {result[7]}]
+set_output_delay 2.8000 -clock [get_clocks {clk}] -add_delay [get_ports {result[8]}]
+set_output_delay 2.8000 -clock [get_clocks {clk}] -add_delay [get_ports {result[9]}]
+###############################################################################
+# Environment
+###############################################################################
+set_load -pin_load 0.0334 [get_ports {result[16]}]
+set_load -pin_load 0.0334 [get_ports {result[15]}]
+set_load -pin_load 0.0334 [get_ports {result[14]}]
+set_load -pin_load 0.0334 [get_ports {result[13]}]
+set_load -pin_load 0.0334 [get_ports {result[12]}]
+set_load -pin_load 0.0334 [get_ports {result[11]}]
+set_load -pin_load 0.0334 [get_ports {result[10]}]
+set_load -pin_load 0.0334 [get_ports {result[9]}]
+set_load -pin_load 0.0334 [get_ports {result[8]}]
+set_load -pin_load 0.0334 [get_ports {result[7]}]
+set_load -pin_load 0.0334 [get_ports {result[6]}]
+set_load -pin_load 0.0334 [get_ports {result[5]}]
+set_load -pin_load 0.0334 [get_ports {result[4]}]
+set_load -pin_load 0.0334 [get_ports {result[3]}]
+set_load -pin_load 0.0334 [get_ports {result[2]}]
+set_load -pin_load 0.0334 [get_ports {result[1]}]
+set_load -pin_load 0.0334 [get_ports {result[0]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {clk}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {execute}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {reset}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {input_val[7]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {input_val[6]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {input_val[5]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {input_val[4]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {input_val[3]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {input_val[2]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {input_val[1]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {input_val[0]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {sel_in[2]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {sel_in[1]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {sel_in[0]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {sel_out[1]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {sel_out[0]}]
+set_timing_derate -early 0.9500
+set_timing_derate -late 1.0500
+###############################################################################
+# Design Rules
+###############################################################################
+set_max_fanout 10.0000 [current_design]
diff --git a/sdc/user_proj_example.sdc b/sdc/user_proj_example.sdc
new file mode 100644
index 0000000..fa0d77c
--- /dev/null
+++ b/sdc/user_proj_example.sdc
@@ -0,0 +1,851 @@
+###############################################################################
+# Created by write_sdc
+# Sun Dec 18 09:03:33 2022
+###############################################################################
+current_design user_proj_example
+###############################################################################
+# Timing Constraints
+###############################################################################
+create_clock -name clk -period 10.0000 
+set_clock_uncertainty 0.2500 clk
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[0]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[10]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[11]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[12]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[13]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[14]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[15]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[16]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[17]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[18]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[19]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[1]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[20]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[21]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[22]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[23]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[24]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[25]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[26]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[27]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[28]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[29]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[2]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[30]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[31]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[32]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[33]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[34]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[35]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[36]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[37]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[3]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[4]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[5]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[6]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[7]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[8]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_in[9]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[0]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[10]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[11]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[12]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[13]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[14]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[15]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[16]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[17]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[18]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[19]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[1]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[20]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[21]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[22]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[23]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[24]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[25]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[26]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[27]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[28]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[29]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[2]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[30]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[31]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[32]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[33]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[34]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[35]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[36]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[37]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[38]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[39]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[3]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[40]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[41]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[42]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[43]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[44]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[45]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[46]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[47]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[48]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[49]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[4]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[50]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[51]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[52]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[53]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[54]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[55]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[56]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[57]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[58]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[59]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[5]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[60]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[61]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[62]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[63]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[6]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[7]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[8]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_in[9]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[0]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[10]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[11]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[12]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[13]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[14]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[15]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[16]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[17]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[18]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[19]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[1]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[20]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[21]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[22]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[23]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[24]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[25]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[26]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[27]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[28]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[29]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[2]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[30]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[31]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[32]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[33]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[34]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[35]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[36]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[37]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[38]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[39]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[3]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[40]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[41]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[42]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[43]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[44]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[45]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[46]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[47]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[48]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[49]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[4]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[50]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[51]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[52]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[53]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[54]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[55]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[56]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[57]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[58]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[59]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[5]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[60]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[61]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[62]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[63]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[6]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[7]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[8]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_oenb[9]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {user_clock2}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wb_clk_i}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wb_rst_i}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_adr_i[0]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_adr_i[10]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_adr_i[11]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_adr_i[12]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_adr_i[13]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_adr_i[14]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_adr_i[15]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_adr_i[16]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_adr_i[17]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_adr_i[18]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_adr_i[19]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_adr_i[1]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_adr_i[20]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_adr_i[21]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_adr_i[22]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_adr_i[23]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_adr_i[24]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_adr_i[25]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_adr_i[26]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_adr_i[27]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_adr_i[28]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_adr_i[29]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_adr_i[2]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_adr_i[30]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_adr_i[31]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_adr_i[3]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_adr_i[4]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_adr_i[5]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_adr_i[6]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_adr_i[7]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_adr_i[8]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_adr_i[9]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_cyc_i}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_i[0]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_i[10]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_i[11]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_i[12]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_i[13]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_i[14]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_i[15]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_i[16]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_i[17]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_i[18]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_i[19]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_i[1]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_i[20]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_i[21]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_i[22]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_i[23]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_i[24]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_i[25]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_i[26]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_i[27]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_i[28]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_i[29]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_i[2]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_i[30]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_i[31]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_i[3]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_i[4]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_i[5]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_i[6]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_i[7]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_i[8]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_i[9]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_sel_i[0]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_sel_i[1]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_sel_i[2]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_sel_i[3]}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_stb_i}]
+set_input_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_we_i}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[0]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[10]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[11]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[12]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[13]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[14]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[15]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[16]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[17]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[18]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[19]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[1]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[20]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[21]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[22]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[23]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[24]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[25]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[26]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[27]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[28]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[29]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[2]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[30]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[31]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[32]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[33]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[34]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[35]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[36]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[37]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[3]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[4]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[5]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[6]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[7]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[8]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_oeb[9]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[0]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[10]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[11]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[12]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[13]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[14]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[15]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[16]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[17]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[18]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[19]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[1]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[20]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[21]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[22]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[23]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[24]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[25]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[26]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[27]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[28]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[29]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[2]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[30]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[31]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[32]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[33]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[34]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[35]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[36]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[37]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[3]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[4]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[5]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[6]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[7]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[8]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {io_out[9]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[0]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[10]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[11]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[12]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[13]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[14]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[15]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[16]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[17]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[18]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[19]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[1]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[20]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[21]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[22]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[23]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[24]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[25]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[26]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[27]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[28]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[29]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[2]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[30]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[31]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[32]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[33]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[34]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[35]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[36]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[37]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[38]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[39]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[3]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[40]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[41]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[42]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[43]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[44]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[45]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[46]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[47]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[48]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[49]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[4]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[50]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[51]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[52]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[53]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[54]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[55]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[56]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[57]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[58]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[59]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[5]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[60]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[61]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[62]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[63]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[6]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[7]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[8]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {la_data_out[9]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {user_irq[0]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {user_irq[1]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {user_irq[2]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_ack_o}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_o[0]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_o[10]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_o[11]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_o[12]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_o[13]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_o[14]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_o[15]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_o[16]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_o[17]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_o[18]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_o[19]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_o[1]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_o[20]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_o[21]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_o[22]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_o[23]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_o[24]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_o[25]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_o[26]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_o[27]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_o[28]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_o[29]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_o[2]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_o[30]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_o[31]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_o[3]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_o[4]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_o[5]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_o[6]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_o[7]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_o[8]}]
+set_output_delay 2.0000 -clock [get_clocks {clk}] -add_delay [get_ports {wbs_dat_o[9]}]
+###############################################################################
+# Environment
+###############################################################################
+set_load -pin_load 0.0334 [get_ports {wbs_ack_o}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[37]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[36]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[35]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[34]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[33]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[32]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[31]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[30]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[29]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[28]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[27]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[26]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[25]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[24]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[23]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[22]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[21]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[20]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[19]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[18]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[17]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[16]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[15]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[14]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[13]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[12]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[11]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[10]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[9]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[8]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[7]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[6]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[5]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[4]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[3]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[2]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[1]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[0]}]
+set_load -pin_load 0.0334 [get_ports {io_out[37]}]
+set_load -pin_load 0.0334 [get_ports {io_out[36]}]
+set_load -pin_load 0.0334 [get_ports {io_out[35]}]
+set_load -pin_load 0.0334 [get_ports {io_out[34]}]
+set_load -pin_load 0.0334 [get_ports {io_out[33]}]
+set_load -pin_load 0.0334 [get_ports {io_out[32]}]
+set_load -pin_load 0.0334 [get_ports {io_out[31]}]
+set_load -pin_load 0.0334 [get_ports {io_out[30]}]
+set_load -pin_load 0.0334 [get_ports {io_out[29]}]
+set_load -pin_load 0.0334 [get_ports {io_out[28]}]
+set_load -pin_load 0.0334 [get_ports {io_out[27]}]
+set_load -pin_load 0.0334 [get_ports {io_out[26]}]
+set_load -pin_load 0.0334 [get_ports {io_out[25]}]
+set_load -pin_load 0.0334 [get_ports {io_out[24]}]
+set_load -pin_load 0.0334 [get_ports {io_out[23]}]
+set_load -pin_load 0.0334 [get_ports {io_out[22]}]
+set_load -pin_load 0.0334 [get_ports {io_out[21]}]
+set_load -pin_load 0.0334 [get_ports {io_out[20]}]
+set_load -pin_load 0.0334 [get_ports {io_out[19]}]
+set_load -pin_load 0.0334 [get_ports {io_out[18]}]
+set_load -pin_load 0.0334 [get_ports {io_out[17]}]
+set_load -pin_load 0.0334 [get_ports {io_out[16]}]
+set_load -pin_load 0.0334 [get_ports {io_out[15]}]
+set_load -pin_load 0.0334 [get_ports {io_out[14]}]
+set_load -pin_load 0.0334 [get_ports {io_out[13]}]
+set_load -pin_load 0.0334 [get_ports {io_out[12]}]
+set_load -pin_load 0.0334 [get_ports {io_out[11]}]
+set_load -pin_load 0.0334 [get_ports {io_out[10]}]
+set_load -pin_load 0.0334 [get_ports {io_out[9]}]
+set_load -pin_load 0.0334 [get_ports {io_out[8]}]
+set_load -pin_load 0.0334 [get_ports {io_out[7]}]
+set_load -pin_load 0.0334 [get_ports {io_out[6]}]
+set_load -pin_load 0.0334 [get_ports {io_out[5]}]
+set_load -pin_load 0.0334 [get_ports {io_out[4]}]
+set_load -pin_load 0.0334 [get_ports {io_out[3]}]
+set_load -pin_load 0.0334 [get_ports {io_out[2]}]
+set_load -pin_load 0.0334 [get_ports {io_out[1]}]
+set_load -pin_load 0.0334 [get_ports {io_out[0]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[63]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[62]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[61]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[60]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[59]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[58]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[57]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[56]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[55]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[54]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[53]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[52]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[51]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[50]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[49]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[48]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[47]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[46]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[45]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[44]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[43]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[42]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[41]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[40]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[39]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[38]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[37]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[36]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[35]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[34]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[33]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[32]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[31]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[30]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[29]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[28]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[27]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[26]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[25]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[24]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[23]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[22]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[21]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[20]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[19]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[18]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[17]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[16]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[15]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[14]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[13]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[12]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[11]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[10]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[9]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[8]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[7]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[6]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[5]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[4]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[3]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[2]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[1]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[0]}]
+set_load -pin_load 0.0334 [get_ports {user_irq[2]}]
+set_load -pin_load 0.0334 [get_ports {user_irq[1]}]
+set_load -pin_load 0.0334 [get_ports {user_irq[0]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[31]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[30]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[29]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[28]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[27]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[26]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[25]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[24]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[23]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[22]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[21]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[20]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[19]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[18]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[17]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[16]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[15]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[14]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[13]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[12]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[11]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[10]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[9]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[8]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[7]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[6]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[5]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[4]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[3]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[2]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[1]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[0]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {user_clock2}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_clk_i}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_rst_i}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_cyc_i}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_stb_i}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_we_i}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[37]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[36]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[35]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[34]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[33]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[32]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[31]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[30]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[29]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[28]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[27]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[26]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[25]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[24]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[23]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[22]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[21]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[20]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[19]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[18]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[17]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[16]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[15]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[14]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[13]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[12]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[11]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[10]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[9]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[8]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[7]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[6]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[5]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[4]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[3]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[2]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[1]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[0]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[63]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[62]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[61]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[60]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[59]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[58]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[57]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[56]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[55]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[54]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[53]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[52]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[51]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[50]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[49]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[48]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[47]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[46]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[45]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[44]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[43]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[42]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[41]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[40]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[39]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[38]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[37]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[36]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[35]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[34]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[33]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[32]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[31]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[30]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[29]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[28]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[27]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[26]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[25]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[24]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[23]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[22]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[21]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[20]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[19]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[18]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[17]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[16]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[15]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[14]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[13]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[12]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[11]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[10]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[9]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[8]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[7]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[6]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[5]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[4]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[3]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[2]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[1]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[0]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[63]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[62]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[61]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[60]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[59]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[58]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[57]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[56]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[55]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[54]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[53]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[52]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[51]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[50]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[49]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[48]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[47]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[46]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[45]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[44]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[43]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[42]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[41]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[40]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[39]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[38]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[37]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[36]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[35]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[34]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[33]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[32]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[31]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[30]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[29]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[28]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[27]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[26]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[25]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[24]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[23]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[22]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[21]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[20]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[19]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[18]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[17]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[16]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[15]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[14]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[13]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[12]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[11]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[10]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[9]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[8]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[7]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[6]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[5]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[4]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[3]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[2]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[1]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[0]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[31]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[30]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[29]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[28]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[27]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[26]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[25]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[24]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[23]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[22]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[21]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[20]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[19]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[18]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[17]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[16]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[15]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[14]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[13]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[12]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[11]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[10]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[9]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[8]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[7]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[6]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[5]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[4]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[3]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[2]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[1]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[0]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[31]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[30]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[29]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[28]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[27]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[26]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[25]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[24]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[23]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[22]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[21]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[20]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[19]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[18]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[17]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[16]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[15]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[14]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[13]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[12]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[11]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[10]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[9]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[8]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[7]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[6]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[5]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[4]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[3]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[2]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[1]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[0]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_sel_i[3]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_sel_i[2]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_sel_i[1]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_sel_i[0]}]
+set_timing_derate -early 0.9500
+set_timing_derate -late 1.0500
+###############################################################################
+# Design Rules
+###############################################################################
+set_max_fanout 10.0000 [current_design]
diff --git a/sdc/user_project_wrapper.sdc b/sdc/user_project_wrapper.sdc
new file mode 100644
index 0000000..51a61ae
--- /dev/null
+++ b/sdc/user_project_wrapper.sdc
@@ -0,0 +1,1351 @@
+###############################################################################
+# Created by write_sdc
+# Thu Dec 22 05:30:33 2022
+###############################################################################
+current_design user_project_wrapper
+###############################################################################
+# Timing Constraints
+###############################################################################
+create_clock -name __VIRTUAL_CLK__ -period 10.0000 
+set_clock_uncertainty 0.2500 __VIRTUAL_CLK__
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[0]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[10]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[11]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[12]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[13]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[14]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[15]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[16]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[17]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[18]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[19]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[1]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[20]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[21]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[22]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[23]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[24]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[25]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[26]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[27]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[28]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[2]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[3]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[4]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[5]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[6]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[7]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[8]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[9]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_in[0]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_in[10]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_in[11]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_in[12]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_in[13]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_in[14]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_in[15]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_in[16]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_in[17]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_in[18]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_in[19]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_in[1]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_in[20]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_in[21]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_in[22]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_in[23]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_in[24]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_in[25]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_in[26]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_in[27]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_in[28]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_in[29]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_in[2]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_in[30]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_in[31]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_in[32]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_in[33]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_in[34]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_in[35]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_in[36]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_in[37]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_in[3]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_in[4]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_in[5]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_in[6]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_in[7]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_in[8]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_in[9]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[0]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[100]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[101]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[102]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[103]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[104]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[105]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[106]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[107]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[108]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[109]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[10]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[110]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[111]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[112]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[113]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[114]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[115]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[116]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[117]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[118]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[119]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[11]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[120]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[121]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[122]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[123]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[124]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[125]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[126]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[127]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[12]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[13]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[14]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[15]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[16]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[17]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[18]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[19]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[1]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[20]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[21]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[22]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[23]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[24]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[25]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[26]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[27]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[28]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[29]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[2]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[30]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[31]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[32]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[33]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[34]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[35]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[36]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[37]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[38]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[39]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[3]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[40]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[41]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[42]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[43]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[44]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[45]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[46]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[47]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[48]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[49]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[4]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[50]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[51]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[52]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[53]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[54]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[55]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[56]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[57]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[58]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[59]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[5]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[60]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[61]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[62]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[63]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[64]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[65]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[66]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[67]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[68]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[69]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[6]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[70]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[71]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[72]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[73]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[74]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[75]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[76]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[77]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[78]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[79]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[7]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[80]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[81]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[82]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[83]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[84]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[85]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[86]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[87]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[88]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[89]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[8]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[90]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[91]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[92]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[93]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[94]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[95]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[96]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[97]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[98]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[99]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in[9]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[0]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[100]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[101]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[102]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[103]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[104]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[105]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[106]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[107]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[108]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[109]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[10]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[110]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[111]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[112]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[113]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[114]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[115]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[116]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[117]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[118]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[119]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[11]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[120]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[121]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[122]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[123]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[124]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[125]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[126]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[127]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[12]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[13]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[14]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[15]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[16]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[17]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[18]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[19]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[1]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[20]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[21]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[22]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[23]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[24]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[25]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[26]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[27]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[28]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[29]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[2]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[30]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[31]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[32]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[33]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[34]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[35]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[36]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[37]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[38]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[39]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[3]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[40]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[41]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[42]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[43]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[44]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[45]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[46]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[47]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[48]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[49]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[4]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[50]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[51]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[52]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[53]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[54]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[55]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[56]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[57]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[58]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[59]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[5]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[60]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[61]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[62]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[63]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[64]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[65]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[66]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[67]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[68]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[69]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[6]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[70]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[71]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[72]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[73]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[74]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[75]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[76]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[77]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[78]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[79]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[7]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[80]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[81]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[82]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[83]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[84]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[85]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[86]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[87]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[88]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[89]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[8]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[90]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[91]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[92]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[93]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[94]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[95]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[96]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[97]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[98]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[99]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb[9]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {user_clock2}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wb_clk_i}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wb_rst_i}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_adr_i[0]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_adr_i[10]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_adr_i[11]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_adr_i[12]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_adr_i[13]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_adr_i[14]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_adr_i[15]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_adr_i[16]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_adr_i[17]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_adr_i[18]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_adr_i[19]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_adr_i[1]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_adr_i[20]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_adr_i[21]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_adr_i[22]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_adr_i[23]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_adr_i[24]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_adr_i[25]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_adr_i[26]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_adr_i[27]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_adr_i[28]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_adr_i[29]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_adr_i[2]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_adr_i[30]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_adr_i[31]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_adr_i[3]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_adr_i[4]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_adr_i[5]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_adr_i[6]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_adr_i[7]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_adr_i[8]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_adr_i[9]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_cyc_i}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_i[0]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_i[10]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_i[11]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_i[12]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_i[13]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_i[14]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_i[15]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_i[16]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_i[17]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_i[18]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_i[19]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_i[1]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_i[20]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_i[21]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_i[22]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_i[23]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_i[24]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_i[25]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_i[26]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_i[27]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_i[28]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_i[29]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_i[2]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_i[30]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_i[31]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_i[3]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_i[4]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_i[5]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_i[6]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_i[7]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_i[8]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_i[9]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_sel_i[0]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_sel_i[1]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_sel_i[2]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_sel_i[3]}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_stb_i}]
+set_input_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_we_i}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[0]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[10]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[11]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[12]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[13]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[14]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[15]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[16]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[17]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[18]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[19]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[1]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[20]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[21]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[22]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[23]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[24]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[25]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[26]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[27]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[28]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[2]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[3]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[4]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[5]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[6]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[7]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[8]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {analog_io[9]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_oeb[0]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_oeb[10]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_oeb[11]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_oeb[12]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_oeb[13]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_oeb[14]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_oeb[15]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_oeb[16]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_oeb[17]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_oeb[18]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_oeb[19]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_oeb[1]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_oeb[20]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_oeb[21]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_oeb[22]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_oeb[23]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_oeb[24]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_oeb[25]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_oeb[26]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_oeb[27]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_oeb[28]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_oeb[29]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_oeb[2]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_oeb[30]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_oeb[31]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_oeb[32]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_oeb[33]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_oeb[34]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_oeb[35]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_oeb[36]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_oeb[37]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_oeb[3]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_oeb[4]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_oeb[5]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_oeb[6]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_oeb[7]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_oeb[8]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_oeb[9]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_out[0]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_out[10]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_out[11]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_out[12]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_out[13]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_out[14]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_out[15]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_out[16]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_out[17]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_out[18]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_out[19]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_out[1]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_out[20]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_out[21]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_out[22]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_out[23]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_out[24]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_out[25]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_out[26]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_out[27]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_out[28]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_out[29]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_out[2]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_out[30]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_out[31]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_out[32]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_out[33]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_out[34]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_out[35]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_out[36]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_out[37]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_out[3]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_out[4]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_out[5]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_out[6]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_out[7]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_out[8]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {io_out[9]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[0]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[100]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[101]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[102]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[103]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[104]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[105]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[106]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[107]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[108]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[109]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[10]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[110]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[111]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[112]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[113]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[114]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[115]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[116]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[117]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[118]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[119]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[11]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[120]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[121]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[122]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[123]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[124]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[125]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[126]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[127]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[12]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[13]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[14]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[15]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[16]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[17]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[18]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[19]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[1]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[20]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[21]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[22]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[23]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[24]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[25]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[26]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[27]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[28]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[29]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[2]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[30]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[31]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[32]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[33]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[34]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[35]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[36]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[37]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[38]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[39]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[3]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[40]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[41]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[42]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[43]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[44]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[45]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[46]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[47]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[48]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[49]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[4]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[50]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[51]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[52]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[53]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[54]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[55]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[56]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[57]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[58]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[59]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[5]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[60]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[61]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[62]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[63]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[64]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[65]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[66]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[67]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[68]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[69]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[6]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[70]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[71]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[72]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[73]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[74]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[75]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[76]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[77]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[78]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[79]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[7]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[80]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[81]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[82]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[83]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[84]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[85]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[86]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[87]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[88]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[89]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[8]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[90]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[91]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[92]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[93]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[94]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[95]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[96]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[97]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[98]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[99]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out[9]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {user_irq[0]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {user_irq[1]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {user_irq[2]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_ack_o}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_o[0]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_o[10]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_o[11]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_o[12]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_o[13]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_o[14]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_o[15]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_o[16]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_o[17]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_o[18]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_o[19]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_o[1]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_o[20]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_o[21]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_o[22]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_o[23]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_o[24]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_o[25]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_o[26]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_o[27]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_o[28]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_o[29]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_o[2]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_o[30]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_o[31]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_o[3]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_o[4]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_o[5]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_o[6]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_o[7]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_o[8]}]
+set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {wbs_dat_o[9]}]
+###############################################################################
+# Environment
+###############################################################################
+set_load -pin_load 0.0334 [get_ports {wbs_ack_o}]
+set_load -pin_load 0.0334 [get_ports {analog_io[28]}]
+set_load -pin_load 0.0334 [get_ports {analog_io[27]}]
+set_load -pin_load 0.0334 [get_ports {analog_io[26]}]
+set_load -pin_load 0.0334 [get_ports {analog_io[25]}]
+set_load -pin_load 0.0334 [get_ports {analog_io[24]}]
+set_load -pin_load 0.0334 [get_ports {analog_io[23]}]
+set_load -pin_load 0.0334 [get_ports {analog_io[22]}]
+set_load -pin_load 0.0334 [get_ports {analog_io[21]}]
+set_load -pin_load 0.0334 [get_ports {analog_io[20]}]
+set_load -pin_load 0.0334 [get_ports {analog_io[19]}]
+set_load -pin_load 0.0334 [get_ports {analog_io[18]}]
+set_load -pin_load 0.0334 [get_ports {analog_io[17]}]
+set_load -pin_load 0.0334 [get_ports {analog_io[16]}]
+set_load -pin_load 0.0334 [get_ports {analog_io[15]}]
+set_load -pin_load 0.0334 [get_ports {analog_io[14]}]
+set_load -pin_load 0.0334 [get_ports {analog_io[13]}]
+set_load -pin_load 0.0334 [get_ports {analog_io[12]}]
+set_load -pin_load 0.0334 [get_ports {analog_io[11]}]
+set_load -pin_load 0.0334 [get_ports {analog_io[10]}]
+set_load -pin_load 0.0334 [get_ports {analog_io[9]}]
+set_load -pin_load 0.0334 [get_ports {analog_io[8]}]
+set_load -pin_load 0.0334 [get_ports {analog_io[7]}]
+set_load -pin_load 0.0334 [get_ports {analog_io[6]}]
+set_load -pin_load 0.0334 [get_ports {analog_io[5]}]
+set_load -pin_load 0.0334 [get_ports {analog_io[4]}]
+set_load -pin_load 0.0334 [get_ports {analog_io[3]}]
+set_load -pin_load 0.0334 [get_ports {analog_io[2]}]
+set_load -pin_load 0.0334 [get_ports {analog_io[1]}]
+set_load -pin_load 0.0334 [get_ports {analog_io[0]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[37]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[36]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[35]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[34]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[33]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[32]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[31]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[30]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[29]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[28]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[27]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[26]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[25]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[24]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[23]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[22]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[21]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[20]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[19]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[18]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[17]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[16]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[15]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[14]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[13]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[12]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[11]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[10]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[9]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[8]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[7]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[6]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[5]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[4]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[3]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[2]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[1]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[0]}]
+set_load -pin_load 0.0334 [get_ports {io_out[37]}]
+set_load -pin_load 0.0334 [get_ports {io_out[36]}]
+set_load -pin_load 0.0334 [get_ports {io_out[35]}]
+set_load -pin_load 0.0334 [get_ports {io_out[34]}]
+set_load -pin_load 0.0334 [get_ports {io_out[33]}]
+set_load -pin_load 0.0334 [get_ports {io_out[32]}]
+set_load -pin_load 0.0334 [get_ports {io_out[31]}]
+set_load -pin_load 0.0334 [get_ports {io_out[30]}]
+set_load -pin_load 0.0334 [get_ports {io_out[29]}]
+set_load -pin_load 0.0334 [get_ports {io_out[28]}]
+set_load -pin_load 0.0334 [get_ports {io_out[27]}]
+set_load -pin_load 0.0334 [get_ports {io_out[26]}]
+set_load -pin_load 0.0334 [get_ports {io_out[25]}]
+set_load -pin_load 0.0334 [get_ports {io_out[24]}]
+set_load -pin_load 0.0334 [get_ports {io_out[23]}]
+set_load -pin_load 0.0334 [get_ports {io_out[22]}]
+set_load -pin_load 0.0334 [get_ports {io_out[21]}]
+set_load -pin_load 0.0334 [get_ports {io_out[20]}]
+set_load -pin_load 0.0334 [get_ports {io_out[19]}]
+set_load -pin_load 0.0334 [get_ports {io_out[18]}]
+set_load -pin_load 0.0334 [get_ports {io_out[17]}]
+set_load -pin_load 0.0334 [get_ports {io_out[16]}]
+set_load -pin_load 0.0334 [get_ports {io_out[15]}]
+set_load -pin_load 0.0334 [get_ports {io_out[14]}]
+set_load -pin_load 0.0334 [get_ports {io_out[13]}]
+set_load -pin_load 0.0334 [get_ports {io_out[12]}]
+set_load -pin_load 0.0334 [get_ports {io_out[11]}]
+set_load -pin_load 0.0334 [get_ports {io_out[10]}]
+set_load -pin_load 0.0334 [get_ports {io_out[9]}]
+set_load -pin_load 0.0334 [get_ports {io_out[8]}]
+set_load -pin_load 0.0334 [get_ports {io_out[7]}]
+set_load -pin_load 0.0334 [get_ports {io_out[6]}]
+set_load -pin_load 0.0334 [get_ports {io_out[5]}]
+set_load -pin_load 0.0334 [get_ports {io_out[4]}]
+set_load -pin_load 0.0334 [get_ports {io_out[3]}]
+set_load -pin_load 0.0334 [get_ports {io_out[2]}]
+set_load -pin_load 0.0334 [get_ports {io_out[1]}]
+set_load -pin_load 0.0334 [get_ports {io_out[0]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[127]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[126]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[125]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[124]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[123]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[122]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[121]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[120]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[119]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[118]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[117]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[116]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[115]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[114]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[113]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[112]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[111]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[110]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[109]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[108]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[107]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[106]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[105]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[104]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[103]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[102]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[101]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[100]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[99]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[98]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[97]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[96]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[95]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[94]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[93]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[92]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[91]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[90]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[89]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[88]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[87]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[86]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[85]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[84]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[83]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[82]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[81]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[80]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[79]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[78]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[77]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[76]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[75]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[74]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[73]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[72]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[71]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[70]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[69]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[68]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[67]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[66]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[65]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[64]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[63]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[62]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[61]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[60]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[59]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[58]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[57]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[56]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[55]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[54]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[53]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[52]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[51]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[50]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[49]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[48]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[47]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[46]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[45]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[44]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[43]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[42]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[41]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[40]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[39]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[38]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[37]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[36]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[35]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[34]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[33]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[32]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[31]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[30]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[29]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[28]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[27]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[26]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[25]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[24]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[23]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[22]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[21]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[20]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[19]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[18]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[17]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[16]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[15]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[14]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[13]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[12]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[11]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[10]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[9]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[8]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[7]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[6]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[5]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[4]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[3]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[2]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[1]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[0]}]
+set_load -pin_load 0.0334 [get_ports {user_irq[2]}]
+set_load -pin_load 0.0334 [get_ports {user_irq[1]}]
+set_load -pin_load 0.0334 [get_ports {user_irq[0]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[31]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[30]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[29]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[28]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[27]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[26]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[25]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[24]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[23]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[22]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[21]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[20]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[19]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[18]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[17]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[16]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[15]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[14]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[13]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[12]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[11]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[10]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[9]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[8]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[7]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[6]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[5]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[4]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[3]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[2]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[1]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[0]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {user_clock2}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_clk_i}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_rst_i}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_cyc_i}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_stb_i}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_we_i}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[28]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[27]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[26]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[25]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[24]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[23]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[22]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[21]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[20]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[19]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[18]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[17]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[16]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[15]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[14]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[13]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[12]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[11]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[10]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[9]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[8]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[7]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[6]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[5]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[4]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[3]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[2]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[1]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[0]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[37]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[36]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[35]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[34]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[33]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[32]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[31]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[30]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[29]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[28]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[27]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[26]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[25]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[24]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[23]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[22]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[21]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[20]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[19]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[18]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[17]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[16]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[15]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[14]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[13]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[12]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[11]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[10]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[9]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[8]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[7]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[6]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[5]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[4]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[3]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[2]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[1]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[0]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[127]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[126]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[125]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[124]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[123]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[122]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[121]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[120]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[119]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[118]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[117]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[116]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[115]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[114]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[113]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[112]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[111]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[110]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[109]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[108]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[107]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[106]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[105]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[104]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[103]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[102]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[101]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[100]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[99]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[98]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[97]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[96]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[95]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[94]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[93]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[92]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[91]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[90]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[89]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[88]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[87]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[86]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[85]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[84]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[83]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[82]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[81]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[80]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[79]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[78]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[77]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[76]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[75]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[74]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[73]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[72]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[71]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[70]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[69]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[68]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[67]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[66]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[65]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[64]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[63]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[62]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[61]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[60]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[59]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[58]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[57]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[56]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[55]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[54]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[53]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[52]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[51]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[50]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[49]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[48]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[47]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[46]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[45]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[44]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[43]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[42]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[41]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[40]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[39]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[38]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[37]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[36]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[35]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[34]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[33]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[32]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[31]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[30]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[29]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[28]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[27]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[26]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[25]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[24]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[23]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[22]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[21]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[20]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[19]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[18]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[17]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[16]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[15]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[14]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[13]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[12]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[11]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[10]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[9]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[8]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[7]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[6]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[5]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[4]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[3]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[2]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[1]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[0]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[127]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[126]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[125]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[124]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[123]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[122]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[121]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[120]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[119]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[118]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[117]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[116]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[115]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[114]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[113]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[112]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[111]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[110]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[109]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[108]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[107]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[106]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[105]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[104]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[103]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[102]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[101]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[100]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[99]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[98]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[97]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[96]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[95]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[94]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[93]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[92]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[91]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[90]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[89]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[88]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[87]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[86]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[85]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[84]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[83]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[82]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[81]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[80]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[79]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[78]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[77]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[76]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[75]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[74]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[73]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[72]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[71]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[70]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[69]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[68]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[67]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[66]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[65]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[64]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[63]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[62]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[61]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[60]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[59]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[58]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[57]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[56]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[55]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[54]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[53]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[52]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[51]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[50]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[49]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[48]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[47]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[46]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[45]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[44]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[43]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[42]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[41]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[40]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[39]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[38]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[37]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[36]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[35]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[34]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[33]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[32]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[31]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[30]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[29]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[28]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[27]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[26]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[25]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[24]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[23]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[22]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[21]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[20]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[19]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[18]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[17]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[16]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[15]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[14]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[13]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[12]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[11]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[10]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[9]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[8]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[7]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[6]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[5]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[4]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[3]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[2]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[1]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[0]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[31]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[30]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[29]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[28]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[27]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[26]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[25]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[24]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[23]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[22]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[21]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[20]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[19]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[18]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[17]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[16]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[15]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[14]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[13]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[12]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[11]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[10]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[9]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[8]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[7]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[6]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[5]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[4]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[3]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[2]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[1]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[0]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[31]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[30]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[29]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[28]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[27]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[26]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[25]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[24]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[23]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[22]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[21]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[20]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[19]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[18]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[17]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[16]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[15]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[14]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[13]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[12]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[11]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[10]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[9]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[8]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[7]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[6]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[5]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[4]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[3]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[2]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[1]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[0]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_sel_i[3]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_sel_i[2]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_sel_i[1]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_sel_i[0]}]
+set_timing_derate -early 0.9500
+set_timing_derate -late 1.0500
+###############################################################################
+# Design Rules
+###############################################################################
+set_max_fanout 10.0000 [current_design]
diff --git a/sdf/arbiterpuf.sdf b/sdf/arbiterpuf.sdf
new file mode 100644
index 0000000..351cc6d
--- /dev/null
+++ b/sdf/arbiterpuf.sdf
@@ -0,0 +1,63 @@
+(DELAYFILE
+ (SDFVERSION "3.0")
+ (DESIGN "arbiterpuf")
+ (DATE "Thu Dec 22 05:25:46 2022")
+ (VENDOR "Parallax")
+ (PROGRAM "STA")
+ (VERSION "2.3.2")
+ (DIVIDER .)
+ (VOLTAGE 1.800::1.800)
+ (PROCESS "1.000::1.000")
+ (TEMPERATURE 25.000::25.000)
+ (TIMESCALE 1ns)
+ (CELL
+  (CELLTYPE "arbiterpuf")
+  (INSTANCE)
+  (DELAY
+   (ABSOLUTE
+    (INTERCONNECT ipulse input1.A (0.016:0.016:0.016) (0.007:0.007:0.007))
+    (INTERCONNECT ipulse ANTENNA_input1_A.DIODE (0.016:0.016:0.016) (0.007:0.007:0.007))
+    (INTERCONNECT _0_.Q output2.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _0_.Q ANTENNA_output2_A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT input1.X _0_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT input1.X _0_.D (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT input1.X ANTENNA__0__D.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT input1.X ANTENNA__0__CLK.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT output2.X oresponse (0.001:0.001:0.001) (0.001:0.001:0.001))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
+  (INSTANCE _0_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.492:0.492:0.492) (0.419:0.419:0.419))
+   )
+  )
+  (TIMINGCHECK
+    (HOLD (posedge D) (posedge CLK) (-0.042:-0.042:-0.042))
+    (HOLD (negedge D) (posedge CLK) (-0.057:-0.057:-0.057))
+    (SETUP (posedge D) (posedge CLK) (0.065:0.065:0.065))
+    (SETUP (negedge D) (posedge CLK) (0.115:0.115:0.115))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+  (INSTANCE input1)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.196:0.196:0.196) (0.170:0.170:0.170))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE output2)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.259:0.259:0.259) (0.214:0.214:0.214))
+   )
+  )
+ )
+)
diff --git a/sdf/mac.sdf b/sdf/mac.sdf
new file mode 100644
index 0000000..e4e77f6
--- /dev/null
+++ b/sdf/mac.sdf
@@ -0,0 +1,20346 @@
+(DELAYFILE
+ (SDFVERSION "3.0")
+ (DESIGN "mac")
+ (DATE "Mon Dec 19 09:37:43 2022")
+ (VENDOR "Parallax")
+ (PROGRAM "STA")
+ (VERSION "2.3.2")
+ (DIVIDER .)
+ (VOLTAGE 1.800::1.800)
+ (PROCESS "1.000::1.000")
+ (TEMPERATURE 25.000::25.000)
+ (TIMESCALE 1ns)
+ (CELL
+  (CELLTYPE "mac")
+  (INSTANCE)
+  (DELAY
+   (ABSOLUTE
+    (INTERCONNECT clk clkbuf_0_clk.A (0.148:0.148:0.148) (0.073:0.073:0.073))
+    (INTERCONNECT clk ANTENNA_clkbuf_0_clk_A.DIODE (0.148:0.148:0.148) (0.073:0.073:0.073))
+    (INTERCONNECT clken input1.A (0.052:0.052:0.052) (0.025:0.025:0.025))
+    (INTERCONNECT clken ANTENNA_input1_A.DIODE (0.053:0.053:0.053) (0.025:0.025:0.025))
+    (INTERCONNECT in[0] input2.A (0.014:0.014:0.014) (0.006:0.006:0.006))
+    (INTERCONNECT in[0] ANTENNA_input2_A.DIODE (0.014:0.014:0.014) (0.006:0.006:0.006))
+    (INTERCONNECT in[1] input3.A (0.014:0.014:0.014) (0.006:0.006:0.006))
+    (INTERCONNECT in[1] ANTENNA_input3_A.DIODE (0.014:0.014:0.014) (0.006:0.006:0.006))
+    (INTERCONNECT in[2] input4.A (0.014:0.014:0.014) (0.006:0.006:0.006))
+    (INTERCONNECT in[2] ANTENNA_input4_A.DIODE (0.014:0.014:0.014) (0.006:0.006:0.006))
+    (INTERCONNECT in[3] input5.A (0.015:0.015:0.015) (0.006:0.006:0.006))
+    (INTERCONNECT in[3] ANTENNA_input5_A.DIODE (0.015:0.015:0.015) (0.006:0.006:0.006))
+    (INTERCONNECT in[4] input6.A (0.017:0.017:0.017) (0.007:0.007:0.007))
+    (INTERCONNECT in[4] ANTENNA_input6_A.DIODE (0.017:0.017:0.017) (0.007:0.007:0.007))
+    (INTERCONNECT in[5] input7.A (0.017:0.017:0.017) (0.007:0.007:0.007))
+    (INTERCONNECT in[5] ANTENNA_input7_A.DIODE (0.017:0.017:0.017) (0.007:0.007:0.007))
+    (INTERCONNECT in[6] input8.A (0.018:0.018:0.018) (0.008:0.008:0.008))
+    (INTERCONNECT in[6] ANTENNA_input8_A.DIODE (0.018:0.018:0.018) (0.008:0.008:0.008))
+    (INTERCONNECT in[7] input9.A (0.015:0.015:0.015) (0.006:0.006:0.006))
+    (INTERCONNECT in[7] ANTENNA_input9_A.DIODE (0.015:0.015:0.015) (0.006:0.006:0.006))
+    (INTERCONNECT ld input10.A (0.069:0.069:0.069) (0.033:0.033:0.033))
+    (INTERCONNECT ld ANTENNA_input10_A.DIODE (0.069:0.069:0.069) (0.034:0.034:0.034))
+    (INTERCONNECT ld1 input11.A (0.072:0.072:0.072) (0.035:0.035:0.035))
+    (INTERCONNECT ld1 ANTENNA_input11_A.DIODE (0.072:0.072:0.072) (0.035:0.035:0.035))
+    (INTERCONNECT ld2 input12.A (0.069:0.069:0.069) (0.033:0.033:0.033))
+    (INTERCONNECT ld2 ANTENNA_input12_A.DIODE (0.069:0.069:0.069) (0.034:0.034:0.034))
+    (INTERCONNECT ld3 input13.A (0.029:0.029:0.029) (0.013:0.013:0.013))
+    (INTERCONNECT ld3 ANTENNA_input13_A.DIODE (0.029:0.029:0.029) (0.013:0.013:0.013))
+    (INTERCONNECT ld4 input14.A (0.019:0.019:0.019) (0.008:0.008:0.008))
+    (INTERCONNECT ld4 ANTENNA_input14_A.DIODE (0.019:0.019:0.019) (0.008:0.008:0.008))
+    (INTERCONNECT rst input15.A (0.056:0.056:0.056) (0.027:0.027:0.027))
+    (INTERCONNECT rst ANTENNA_input15_A.DIODE (0.056:0.056:0.056) (0.027:0.027:0.027))
+    (INTERCONNECT _1239_.X _1243_.A (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _1239_.X _1244_.B2 (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _1239_.X _1251_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _1239_.X _1254_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _1239_.X _1260_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _1239_.X _1262_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _1239_.X _1264_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _1239_.X _1267_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1239_.X _1301_.A (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _1239_.X _2034_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1239_.X ANTENNA__2034__A0.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1239_.X ANTENNA__1301__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1239_.X ANTENNA__1267__A1.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _1239_.X ANTENNA__1264__B2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1239_.X ANTENNA__1262__A1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _1239_.X ANTENNA__1260__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _1239_.X ANTENNA__1254__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _1239_.X ANTENNA__1251__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1239_.X ANTENNA__1244__B2.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _1239_.X ANTENNA__1243__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _1240_.X _1243_.B (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _1240_.X _1244_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _1240_.X _1248_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _1240_.X _1250_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1240_.X _1264_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _1240_.X _1286_.B2 (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _1240_.X _1297_.B (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _1240_.X _1300_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _1240_.X _1336_.A1 (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _1240_.X _2037_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1240_.X ANTENNA__2037__A0.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1240_.X ANTENNA__1336__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1240_.X ANTENNA__1300__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1240_.X ANTENNA__1297__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1240_.X ANTENNA__1286__B2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _1240_.X ANTENNA__1264__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _1240_.X ANTENNA__1250__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _1240_.X ANTENNA__1248__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _1240_.X ANTENNA__1244__A1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _1240_.X ANTENNA__1243__B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _1241_.X _1243_.C (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1241_.X _1244_.A2 (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _1241_.X _1247_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1241_.X _1260_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1241_.X _1274_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1241_.X _1278_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1241_.X _1293_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1241_.X _1307_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1241_.X _1364_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1241_.X _2051_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1241_.X ANTENNA__2051__A0.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1241_.X ANTENNA__1364__A2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1241_.X ANTENNA__1307__A2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1241_.X ANTENNA__1293__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1241_.X ANTENNA__1278__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1241_.X ANTENNA__1274__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1241_.X ANTENNA__1260__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1241_.X ANTENNA__1247__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1241_.X ANTENNA__1244__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1241_.X ANTENNA__1243__C.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1242_.X _1243_.D (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1242_.X _1244_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1242_.X _1248_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1242_.X _1252_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1242_.X _1258_.A1_N (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1242_.X _1270_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1242_.X _1271_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1242_.X _1289_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1242_.X _1291_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1242_.X _2053_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1243_.Y _1255_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1243_.Y _1256_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1243_.Y _1529_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1244_.X _1255_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1244_.X _1256_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1245_.X _1251_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1245_.X _1254_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1245_.X _1262_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1245_.X _1264_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1245_.X _1269_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1245_.X _1285_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1245_.X _1303_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1245_.X _1360_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1245_.X _1372_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1245_.X _2055_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1245_.X ANTENNA__2055__A0.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1245_.X ANTENNA__1372__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1245_.X ANTENNA__1360__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1245_.X ANTENNA__1303__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1245_.X ANTENNA__1285__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1245_.X ANTENNA__1269__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1245_.X ANTENNA__1264__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1245_.X ANTENNA__1262__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1245_.X ANTENNA__1254__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1245_.X ANTENNA__1251__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1246_.X _1247_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _1246_.X _1269_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1246_.X _1270_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _1246_.X _1271_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _1246_.X _1286_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1246_.X _1287_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1246_.X _1303_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _1246_.X _1319_.B2 (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _1246_.X _1320_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1246_.X _2039_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1246_.X ANTENNA__2039__A0.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1246_.X ANTENNA__1320__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _1246_.X ANTENNA__1319__B2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _1246_.X ANTENNA__1303__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1246_.X ANTENNA__1287__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1246_.X ANTENNA__1286__A1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _1246_.X ANTENNA__1271__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _1246_.X ANTENNA__1270__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1246_.X ANTENNA__1269__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1246_.X ANTENNA__1247__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _1247_.Y _1253_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1247_.Y _1258_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1248_.Y _1252_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1248_.Y _1260_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1249_.X _1250_.B (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _1249_.X _1264_.B1 (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _1249_.X _1286_.A2 (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _1249_.X _1319_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _1249_.X _1320_.C (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _1249_.X _1357_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _1249_.X _1392_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1249_.X _1442_.C (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _1249_.X _1456_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1249_.X _2058_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1249_.X ANTENNA__2058__A0.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1249_.X ANTENNA__1456__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1249_.X ANTENNA__1442__C.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _1249_.X ANTENNA__1392__A2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1249_.X ANTENNA__1357__A2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1249_.X ANTENNA__1320__C.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _1249_.X ANTENNA__1319__A2.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _1249_.X ANTENNA__1286__A2.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _1249_.X ANTENNA__1264__B1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _1249_.X ANTENNA__1250__B.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _1250_.X _1251_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1250_.X _1267_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1250_.X _1268_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1250_.X _1287_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1251_.X _1252_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1251_.X _1258_.A2_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1251_.X _1265_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1252_.X _1253_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1252_.X _1258_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1253_.X _1254_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1253_.X _1262_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1254_.X _1255_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1254_.X _1256_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1254_.X _1263_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1255_.X _1257_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1255_.X _1261_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1255_.X _1524_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1256_.Y _1257_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1257_.X _1259_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1257_.X _1282_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1258_.Y _1259_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1258_.Y _1282_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1259_.X _1261_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1259_.X _1524_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1260_.X _1261_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1260_.X _1524_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1261_.X _1525_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1261_.X _1530_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1262_.Y _1263_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1263_.Y _1277_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1263_.Y _1279_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1264_.Y _1265_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1265_.X _1276_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1265_.X _1311_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1266_.X _1267_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _1266_.X _1268_.B (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _1266_.X _1286_.B1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _1266_.X _1287_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _1266_.X _1319_.B1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _1266_.X _1442_.D (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _1266_.X _1456_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1266_.X _1489_.B (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _1266_.X _1500_.B (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _1266_.X _2060_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1266_.X ANTENNA__2060__A0.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1266_.X ANTENNA__1500__B.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _1266_.X ANTENNA__1489__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1266_.X ANTENNA__1456__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1266_.X ANTENNA__1442__D.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _1266_.X ANTENNA__1319__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1266_.X ANTENNA__1287__B.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _1266_.X ANTENNA__1286__B1.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _1266_.X ANTENNA__1268__B.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _1266_.X ANTENNA__1267__A2.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _1267_.X _1269_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1267_.X _1304_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1268_.X _1269_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1268_.X _1304_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1269_.X _1270_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1269_.X _1271_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1270_.Y _1272_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1271_.X _1272_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1271_.X _1278_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1272_.Y _1275_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1272_.Y _1278_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1273_.X _1274_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _1273_.X _1278_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _1273_.X _1285_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _1273_.X _1289_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _1273_.X _1291_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _1273_.X _1319_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _1273_.X _1320_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1273_.X _1357_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1273_.X _1358_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1273_.X _2041_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1273_.X ANTENNA__2041__A0.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1273_.X ANTENNA__1358__A.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _1273_.X ANTENNA__1357__B2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1273_.X ANTENNA__1320__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1273_.X ANTENNA__1319__A1.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _1273_.X ANTENNA__1291__A1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _1273_.X ANTENNA__1289__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _1273_.X ANTENNA__1285__A.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _1273_.X ANTENNA__1278__A1.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _1273_.X ANTENNA__1274__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _1274_.Y _1275_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1275_.Y _1276_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1275_.Y _1311_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1276_.X _1277_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1276_.X _1279_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1277_.X _1280_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1277_.X _1283_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1277_.X _1521_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1278_.Y _1281_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1278_.Y _1316_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1279_.Y _1280_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1280_.Y _1281_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1280_.Y _1316_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1281_.X _1283_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1281_.X _1521_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1282_.Y _1283_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1282_.Y _1521_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1283_.X _1284_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1284_.Y _1522_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1284_.Y _1526_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1284_.Y _1533_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1285_.Y _1288_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1285_.Y _1299_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1286_.Y _1288_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1286_.Y _1298_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1287_.X _1288_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1287_.X _1298_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1288_.Y _1289_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1288_.Y _1291_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1289_.X _1292_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1289_.X _1294_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1290_.X _1293_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _1290_.X _1307_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _1290_.X _1318_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _1290_.X _1322_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _1290_.X _1357_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _1290_.X _1358_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _1290_.X _1392_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1290_.X _1434_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1290_.X _1463_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1290_.X _2043_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1290_.X ANTENNA__2043__A0.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1290_.X ANTENNA__1463__B2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1290_.X ANTENNA__1434__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1290_.X ANTENNA__1392__B2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1290_.X ANTENNA__1358__B.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _1290_.X ANTENNA__1357__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1290_.X ANTENNA__1322__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _1290_.X ANTENNA__1318__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1290_.X ANTENNA__1307__A1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _1290_.X ANTENNA__1293__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _1291_.Y _1292_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1292_.Y _1293_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1292_.Y _1307_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1293_.X _1294_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1293_.X _1310_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1293_.X _1347_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1294_.X _1315_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1294_.X _1352_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1295_.X _1297_.C (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1295_.X _1301_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1295_.X _1331_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1295_.X _1336_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1295_.X _1366_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1295_.X _1433_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1295_.X _1462_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1295_.X _1483_.D (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1295_.X _1497_.A2_N (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1295_.X _2062_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1295_.X ANTENNA__2062__A0.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1295_.X ANTENNA__1497__A2_N.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1295_.X ANTENNA__1483__D.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1295_.X ANTENNA__1462__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1295_.X ANTENNA__1433__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1295_.X ANTENNA__1366__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1295_.X ANTENNA__1336__A2.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _1295_.X ANTENNA__1331__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1295_.X ANTENNA__1301__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1295_.X ANTENNA__1297__C.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1296_.X _1297_.D (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _1296_.X _1300_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _1296_.X _1334_.C (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1296_.X _1336_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _1296_.X _1463_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1296_.X _1481_.C (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1296_.X _1482_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1296_.X _1496_.C (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1296_.X _1581_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1296_.X _2064_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1296_.X ANTENNA__2064__A0.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1296_.X ANTENNA__1581__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1296_.X ANTENNA__1496__C.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1296_.X ANTENNA__1482__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1296_.X ANTENNA__1481__C.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1296_.X ANTENNA__1463__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1296_.X ANTENNA__1336__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1296_.X ANTENNA__1334__C.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1296_.X ANTENNA__1300__B.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _1296_.X ANTENNA__1297__D.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1297_.X _1306_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1297_.X _1308_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1297_.X _1337_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1298_.Y _1299_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1299_.Y _1302_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1299_.Y _1343_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1300_.Y _1301_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1301_.X _1302_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1301_.X _1343_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1302_.X _1306_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1302_.X _1308_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1303_.Y _1305_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1304_.X _1305_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1305_.Y _1306_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1305_.Y _1308_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1306_.X _1309_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1306_.X _1312_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1306_.X _1313_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1307_.Y _1310_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1307_.Y _1347_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1308_.Y _1309_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1309_.X _1310_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1309_.X _1348_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1310_.Y _1312_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1310_.Y _1313_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1311_.Y _1312_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1311_.Y _1313_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1312_.X _1314_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1312_.X _1315_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1313_.Y _1314_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1314_.Y _1315_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1314_.Y _1352_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1315_.X _1317_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1315_.X _1518_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1316_.Y _1317_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1316_.Y _1518_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1317_.X _1519_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1317_.X _1523_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1317_.X _1539_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1318_.Y _1321_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1318_.Y _1339_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1319_.Y _1321_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1319_.Y _1338_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1320_.X _1321_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1320_.X _1338_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1321_.Y _1323_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1321_.Y _1324_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1322_.X _1323_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1322_.X _1324_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1323_.Y _1325_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1324_.X _1325_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1324_.X _1351_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1324_.X _1354_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1325_.Y _1328_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1325_.Y _1329_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1326_.X _1327_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1326_.X _1360_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1326_.X _1361_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1326_.X _1362_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1326_.X _1372_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1326_.X _1442_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1326_.X _1463_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1326_.X _1481_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1326_.X _1482_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1326_.X _2045_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1327_.X _1328_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1327_.X _1329_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1328_.Y _1330_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1329_.X _1330_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1329_.X _1351_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1329_.X _1354_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1330_.X _1346_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1330_.X _1384_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1331_.X _1335_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1331_.X _1365_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1331_.X _1370_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1332_.X _1335_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1332_.X _1365_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1332_.X _1370_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1333_.X _1334_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1333_.X _1434_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1333_.X _1463_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1333_.X _1464_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1333_.X _1481_.D (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1333_.X _1482_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1333_.X _1496_.D (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1333_.X _1581_.B1 (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _1333_.X _1584_.C (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _1333_.X _2066_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1333_.X ANTENNA__2066__A0.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _1333_.X ANTENNA__1584__C.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1333_.X ANTENNA__1581__B1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1333_.X ANTENNA__1496__D.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1333_.X ANTENNA__1482__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1333_.X ANTENNA__1481__D.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1333_.X ANTENNA__1464__D.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1333_.X ANTENNA__1463__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1333_.X ANTENNA__1434__B1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1333_.X ANTENNA__1334__D.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1334_.Y _1335_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1334_.Y _1365_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1334_.Y _1370_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1335_.X _1340_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1335_.X _1342_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1336_.Y _1337_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1337_.Y _1340_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1337_.Y _1342_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1338_.Y _1339_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1339_.Y _1341_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1339_.Y _1377_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1340_.X _1341_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1340_.X _1377_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1341_.Y _1342_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1341_.Y _1378_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1341_.Y _1381_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1342_.X _1344_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1342_.X _1345_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1343_.Y _1344_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1343_.Y _1345_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1344_.X _1346_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1344_.X _1384_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1345_.X _1346_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1346_.X _1349_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1346_.X _1350_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1347_.Y _1348_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1348_.Y _1349_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1348_.Y _1350_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1349_.X _1353_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1349_.X _1515_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1350_.Y _1351_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1350_.Y _1354_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1351_.Y _1353_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1351_.Y _1355_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1351_.Y _1515_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1352_.Y _1353_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1352_.Y _1515_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1353_.Y _1516_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1353_.Y _1520_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1353_.Y _1542_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1354_.X _1355_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1355_.Y _1388_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1355_.Y _1513_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1356_.X _1364_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1356_.X _1379_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1356_.X _1391_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1356_.X _1408_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1356_.X _1442_.B (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _1356_.X _1456_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1356_.X _1462_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1356_.X _1496_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1356_.X _1581_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1356_.X _2047_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1357_.Y _1359_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1358_.X _1359_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1358_.X _1360_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1359_.Y _1360_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1359_.Y _1373_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1360_.X _1361_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1360_.X _1362_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1361_.Y _1363_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1362_.X _1363_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1362_.X _1364_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1363_.Y _1364_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1363_.Y _1380_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1364_.X _1387_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1364_.X _1390_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1365_.Y _1371_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1365_.Y _1374_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1366_.Y _1369_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1366_.Y _1396_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1366_.Y _1397_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1367_.Y _1369_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1367_.Y _1396_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1367_.Y _1397_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1368_.X _1369_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1368_.X _1396_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1368_.X _1397_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1369_.Y _1371_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1369_.Y _1374_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1370_.X _1371_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1370_.X _1374_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1371_.Y _1375_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1371_.Y _1376_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1371_.Y _1405_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1372_.Y _1373_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1373_.Y _1375_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1373_.Y _1405_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1374_.X _1375_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1374_.X _1405_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1375_.Y _1376_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1375_.Y _1406_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1375_.Y _1415_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1376_.Y _1378_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1376_.Y _1382_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1377_.X _1378_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1377_.X _1381_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1378_.X _1385_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1378_.X _1386_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1379_.Y _1380_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1380_.X _1383_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1380_.X _1418_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1381_.Y _1382_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1382_.Y _1383_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1382_.Y _1418_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1383_.X _1385_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1383_.X _1386_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1384_.X _1385_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1384_.X _1386_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1385_.X _1387_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1385_.X _1389_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1386_.Y _1387_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1386_.Y _1389_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1387_.X _1388_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1387_.X _1513_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1388_.X _1517_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1388_.X _1546_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1389_.X _1390_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1390_.Y _1423_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1390_.Y _1511_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1391_.Y _1395_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1391_.Y _1407_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1392_.Y _1394_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1392_.Y _1407_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1393_.X _1394_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1393_.X _1407_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1394_.Y _1395_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1395_.Y _1404_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1395_.Y _1424_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1395_.Y _1440_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1396_.X _1402_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1396_.X _1403_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1397_.Y _1402_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1397_.Y _1403_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1398_.Y _1401_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1398_.Y _1431_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1398_.Y _1432_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1399_.Y _1401_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1399_.Y _1431_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1399_.Y _1432_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1400_.X _1401_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1400_.X _1431_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1400_.X _1432_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1401_.Y _1402_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1401_.Y _1403_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1402_.X _1404_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1402_.X _1424_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1402_.X _1440_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1403_.Y _1404_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1403_.Y _1424_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1403_.Y _1440_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1404_.X _1406_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1404_.X _1415_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1405_.X _1406_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1405_.X _1415_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1406_.Y _1416_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1406_.Y _1417_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1406_.Y _1448_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1407_.Y _1409_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1407_.Y _1410_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1408_.X _1409_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1408_.X _1410_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1409_.Y _1411_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1409_.Y _1419_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1410_.X _1411_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1410_.X _1419_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1411_.Y _1414_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1412_.X _1413_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1412_.X _1443_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1412_.X _1444_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1412_.X _1489_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1412_.X _1496_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1412_.X _1497_.A1_N (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1412_.X _1500_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1412_.X _1581_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1412_.X _1584_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1412_.X _2049_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1413_.Y _1414_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1413_.Y _1419_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1414_.Y _1416_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1414_.Y _1448_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1415_.X _1416_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1415_.X _1448_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1416_.Y _1417_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1416_.Y _1449_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1416_.Y _1450_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1417_.X _1420_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1417_.X _1422_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1418_.X _1420_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1418_.X _1422_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1419_.X _1421_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1419_.X _1453_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1420_.X _1421_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1420_.X _1453_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1421_.X _1422_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1422_.Y _1423_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1422_.Y _1511_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1423_.X _1514_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1423_.X _1549_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1424_.Y _1441_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1424_.Y _1446_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1425_.X _1428_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1425_.X _1429_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1426_.Y _1428_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1426_.Y _1429_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1427_.X _1428_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1427_.X _1429_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1428_.Y _1430_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1429_.X _1430_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1429_.X _1443_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _1429_.X _1444_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1430_.Y _1439_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1430_.Y _1455_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1430_.Y _1469_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1431_.X _1437_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1431_.X _1438_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1432_.Y _1437_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1432_.Y _1438_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1433_.Y _1436_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1433_.Y _1460_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1433_.Y _1461_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1434_.Y _1436_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1434_.Y _1460_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1434_.Y _1461_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1435_.X _1436_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1435_.X _1460_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1435_.X _1461_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1436_.Y _1437_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1436_.Y _1438_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1437_.X _1439_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1437_.X _1455_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1437_.X _1469_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1438_.Y _1439_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1438_.Y _1455_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1438_.Y _1469_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1439_.X _1441_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1439_.X _1446_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1440_.X _1441_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1440_.X _1446_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1441_.X _1447_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _1441_.X _1449_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1441_.X _1450_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1441_.X _1473_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1441_.X _1474_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1442_.X _1443_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1442_.X _1444_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1443_.X _1445_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1443_.X _1451_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1443_.X _1476_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1444_.Y _1445_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1445_.X _1447_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1445_.X _1473_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1445_.X _1474_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1446_.Y _1447_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1446_.Y _1473_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1446_.Y _1474_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1447_.Y _1449_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1447_.Y _1450_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1448_.X _1449_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1448_.X _1450_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1449_.X _1451_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1449_.X _1452_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1449_.X _1476_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1450_.Y _1451_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1450_.Y _1476_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1451_.X _1452_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1451_.X _1477_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1451_.X _1478_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1451_.X _1479_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1452_.X _1454_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1452_.X _1509_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1453_.Y _1454_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1453_.Y _1509_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1454_.Y _1512_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1454_.Y _1552_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1455_.Y _1470_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1455_.Y _1471_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1456_.X _1459_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1457_.X _1458_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1458_.Y _1459_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1458_.Y _1472_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1458_.Y _1493_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1459_.X _1468_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1459_.X _1491_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1460_.X _1466_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1460_.X _1467_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1461_.Y _1466_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1461_.Y _1467_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1462_.Y _1465_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1462_.Y _1486_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1463_.Y _1465_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1463_.Y _1485_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1464_.X _1465_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1464_.X _1485_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1465_.Y _1466_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1465_.Y _1467_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1466_.X _1468_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1466_.X _1490_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1467_.X _1468_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1467_.X _1490_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1468_.X _1470_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1468_.X _1471_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1469_.X _1470_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1469_.X _1471_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1470_.X _1472_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1470_.X _1475_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1470_.X _1480_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1470_.X _1493_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1471_.Y _1472_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1471_.Y _1493_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1472_.Y _1475_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1472_.Y _1480_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1472_.Y _1494_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1473_.Y _1475_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1473_.Y _1480_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1474_.X _1475_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1474_.X _1480_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1475_.X _1477_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1475_.X _1478_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1475_.X _1479_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1475_.X _1495_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1475_.X _1506_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1475_.X _1561_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1476_.Y _1477_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1476_.Y _1478_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1476_.Y _1479_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1477_.Y _1510_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1477_.Y _1555_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1478_.X _1508_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1478_.X _1558_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1479_.Y _1508_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1479_.Y _1558_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1480_.Y _1495_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1480_.Y _1506_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1480_.Y _1561_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1481_.X _1483_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1481_.X _1484_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1481_.X _1497_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1482_.Y _1483_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1482_.Y _1497_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1483_.X _1484_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1483_.X _1498_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1484_.Y _1487_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1484_.Y _1488_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1485_.Y _1486_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1486_.Y _1487_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1486_.Y _1488_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1487_.X _1492_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1487_.X _1503_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1488_.Y _1489_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1488_.Y _1501_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1489_.X _1492_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1489_.X _1503_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1490_.X _1491_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1491_.X _1492_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1491_.X _1503_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1492_.Y _1495_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1492_.Y _1504_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1492_.Y _1506_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1492_.Y _1561_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1492_.Y _1567_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1492_.Y _1571_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _1493_.X _1494_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1494_.X _1495_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1494_.X _1505_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1494_.X _1506_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1494_.X _1561_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1494_.X _1568_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1495_.X _1507_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1495_.X _1562_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1496_.X _1499_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1496_.X _1578_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1496_.X _1582_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1497_.X _1498_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1498_.Y _1499_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1498_.Y _1578_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1499_.X _1502_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1499_.X _1574_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1499_.X _1579_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1500_.Y _1501_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1501_.Y _1502_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1501_.Y _1574_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1502_.X _1504_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1502_.X _1571_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1502_.X _1575_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1503_.X _1504_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1503_.X _1571_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1504_.Y _1505_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1504_.Y _1567_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1504_.Y _1572_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1505_.X _1507_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1505_.X _1563_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1506_.X _1507_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1507_.Y _1508_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1507_.Y _1558_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1508_.X _1510_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1508_.X _1555_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1508_.X _1560_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1509_.Y _1510_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1509_.Y _1555_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1510_.X _1512_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1510_.X _1552_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1510_.X _1556_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1511_.X _1512_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1511_.X _1552_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1512_.X _1514_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1512_.X _1549_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1512_.X _1553_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1513_.X _1514_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1513_.X _1549_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1514_.X _1517_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1514_.X _1546_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1514_.X _1550_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1515_.X _1516_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1516_.X _1517_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1516_.X _1546_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1517_.Y _1520_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1517_.Y _1542_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1517_.Y _1547_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1518_.X _1519_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1519_.X _1520_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1519_.X _1542_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1520_.X _1523_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1520_.X _1539_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1520_.X _1543_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1521_.X _1522_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1522_.X _1523_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1522_.X _1539_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1523_.Y _1526_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1523_.Y _1533_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1523_.Y _1540_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1524_.X _1525_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1525_.X _1526_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1525_.X _1533_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1526_.Y _1530_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1526_.Y _1535_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1527_.X _1528_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1527_.X _1538_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1527_.X _1970_.C1 (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _1527_.X _1973_.S (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _1527_.X _2113_.C1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1527_.X _2201_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1527_.X _2203_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1527_.X _2205_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1527_.X _2207_.S (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _1527_.X _2209_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1527_.X ANTENNA__2209__S.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1527_.X ANTENNA__2207__S.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1527_.X ANTENNA__2205__S.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1527_.X ANTENNA__2203__S.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1527_.X ANTENNA__2201__S.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1527_.X ANTENNA__2113__C1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1527_.X ANTENNA__1973__S.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1527_.X ANTENNA__1970__C1.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _1527_.X ANTENNA__1538__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1527_.X ANTENNA__1528__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1528_.X _1529_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1528_.X _1530_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1528_.X _1560_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _1528_.X _1886_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _1528_.X _1889_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _1528_.X _1892_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _1528_.X _1895_.A1 (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _1528_.X _1898_.A1 (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _1528_.X _1901_.A1 (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _1528_.X _1912_.A1 (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _1528_.X ANTENNA__1912__A1.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _1528_.X ANTENNA__1901__A1.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _1528_.X ANTENNA__1898__A1.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _1528_.X ANTENNA__1895__A1.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _1528_.X ANTENNA__1892__A1.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _1528_.X ANTENNA__1889__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _1528_.X ANTENNA__1886__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _1528_.X ANTENNA__1560__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _1528_.X ANTENNA__1530__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1528_.X ANTENNA__1529__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1529_.Y _1530_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1530_.X _2648_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1531_.Y _1532_.A (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _1531_.Y _1536_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _1531_.Y _1547_.A (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _1531_.Y _1550_.B (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _1531_.Y _1553_.B (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _1531_.Y _1556_.B (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _1531_.Y _1894_.A (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _1531_.Y _1897_.A (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _1531_.Y _1900_.A (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _1531_.Y _1911_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1531_.Y ANTENNA__1911__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1531_.Y ANTENNA__1900__A.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _1531_.Y ANTENNA__1897__A.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _1531_.Y ANTENNA__1894__A.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _1531_.Y ANTENNA__1556__B.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _1531_.Y ANTENNA__1553__B.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _1531_.Y ANTENNA__1550__B.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _1531_.Y ANTENNA__1547__A.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _1531_.Y ANTENNA__1536__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _1531_.Y ANTENNA__1532__A.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _1532_.X _1534_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1532_.X _1535_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1532_.X _1580_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1532_.X _1583_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1532_.X _1877_.A1 (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _1532_.X _1879_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _1532_.X _1880_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _1532_.X _1919_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _1532_.X _2031_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1532_.X _2175_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _1532_.X ANTENNA__2175__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _1532_.X ANTENNA__2031__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1532_.X ANTENNA__1919__A1.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _1532_.X ANTENNA__1880__A1.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _1532_.X ANTENNA__1879__A.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _1532_.X ANTENNA__1877__A1.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _1532_.X ANTENNA__1583__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1532_.X ANTENNA__1580__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1532_.X ANTENNA__1535__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1532_.X ANTENNA__1534__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1533_.X _1535_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1534_.Y _1535_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1535_.Y _2647_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1536_.X _1537_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1536_.X _1559_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1536_.X _1579_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1536_.X _1582_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _1536_.X _1885_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1536_.X _1888_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1536_.X _1891_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1536_.X _1918_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1536_.X _2030_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1536_.X _2174_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1536_.X ANTENNA__2174__A.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _1536_.X ANTENNA__2030__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1536_.X ANTENNA__1918__A.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _1536_.X ANTENNA__1891__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1536_.X ANTENNA__1888__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1536_.X ANTENNA__1885__A.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _1536_.X ANTENNA__1582__A.DIODE (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _1536_.X ANTENNA__1579__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1536_.X ANTENNA__1559__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1536_.X ANTENNA__1537__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1537_.X _1541_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _1537_.X _1548_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _1537_.X _1551_.A1 (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _1537_.X _1554_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _1537_.X _1557_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _1537_.X _1573_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _1537_.X _1585_.A1 (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _1537_.X _1883_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1537_.X _1922_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1537_.X _1924_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1537_.X ANTENNA__1924__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1537_.X ANTENNA__1922__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1537_.X ANTENNA__1883__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1537_.X ANTENNA__1585__A1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _1537_.X ANTENNA__1573__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _1537_.X ANTENNA__1557__A1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _1537_.X ANTENNA__1554__A1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _1537_.X ANTENNA__1551__A1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _1537_.X ANTENNA__1548__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _1537_.X ANTENNA__1541__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _1538_.X _1540_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1538_.X _1544_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1538_.X _1572_.A (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _1538_.X _1584_.A (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _1538_.X _1876_.A (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT _1538_.X _1882_.A (0.017:0.017:0.017) (0.017:0.017:0.017))
+    (INTERCONNECT _1538_.X _1921_.C (0.018:0.018:0.018) (0.017:0.017:0.017))
+    (INTERCONNECT _1538_.X _1923_.A (0.017:0.017:0.017) (0.017:0.017:0.017))
+    (INTERCONNECT _1538_.X _2032_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _1538_.X _2176_.A (0.017:0.017:0.017) (0.017:0.017:0.017))
+    (INTERCONNECT _1538_.X ANTENNA__2176__A.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018))
+    (INTERCONNECT _1538_.X ANTENNA__2032__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _1538_.X ANTENNA__1923__A.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018))
+    (INTERCONNECT _1538_.X ANTENNA__1921__C.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018))
+    (INTERCONNECT _1538_.X ANTENNA__1882__A.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018))
+    (INTERCONNECT _1538_.X ANTENNA__1876__A.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018))
+    (INTERCONNECT _1538_.X ANTENNA__1584__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _1538_.X ANTENNA__1572__A.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _1538_.X ANTENNA__1544__S.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1538_.X ANTENNA__1540__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1539_.X _1540_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1540_.X _1541_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1541_.X _2646_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1542_.Y _1543_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1543_.Y _1544_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1544_.X _1545_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1545_.X _2645_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1546_.X _1547_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1547_.X _1548_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1548_.X _2644_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1549_.X _1550_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1550_.X _1551_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1551_.X _2643_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1552_.X _1553_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1553_.X _1554_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1554_.X _2642_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1555_.X _1556_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1556_.X _1557_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1557_.X _2641_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1558_.Y _1560_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1559_.X _1560_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1560_.X _2640_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1561_.Y _1562_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1562_.Y _1563_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1563_.Y _1565_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1564_.X _1565_.S (0.015:0.015:0.015) (0.014:0.014:0.014))
+    (INTERCONNECT _1564_.X _1569_.S (0.014:0.014:0.014) (0.013:0.013:0.013))
+    (INTERCONNECT _1564_.X _1576_.S (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _1564_.X _1904_.S (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1564_.X _1908_.S (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1564_.X _1915_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1564_.X _1977_.S (0.017:0.017:0.017) (0.017:0.017:0.017))
+    (INTERCONNECT _1564_.X _1981_.S (0.017:0.017:0.017) (0.017:0.017:0.017))
+    (INTERCONNECT _1564_.X _1985_.S (0.017:0.017:0.017) (0.016:0.016:0.016))
+    (INTERCONNECT _1564_.X _1989_.S (0.017:0.017:0.017) (0.016:0.016:0.016))
+    (INTERCONNECT _1564_.X ANTENNA__1989__S.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+    (INTERCONNECT _1564_.X ANTENNA__1985__S.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+    (INTERCONNECT _1564_.X ANTENNA__1981__S.DIODE (0.017:0.017:0.017) (0.017:0.017:0.017))
+    (INTERCONNECT _1564_.X ANTENNA__1977__S.DIODE (0.017:0.017:0.017) (0.017:0.017:0.017))
+    (INTERCONNECT _1564_.X ANTENNA__1915__S.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1564_.X ANTENNA__1908__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _1564_.X ANTENNA__1904__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1564_.X ANTENNA__1576__S.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _1564_.X ANTENNA__1569__S.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _1564_.X ANTENNA__1565__S.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _1565_.X _1566_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1566_.X _2639_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1567_.Y _1568_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1568_.Y _1569_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1569_.X _1570_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1570_.X _2638_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1571_.X _1572_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1572_.X _1573_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1573_.X _2637_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1574_.Y _1575_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1575_.Y _1576_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1576_.X _1577_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1577_.X _2636_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1578_.X _1580_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1579_.Y _1580_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1580_.X _2635_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1581_.X _1583_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1582_.Y _1583_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1583_.X _2634_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1584_.X _1585_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1585_.X _2633_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1586_.X _1590_.A (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _1586_.X _1591_.B2 (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _1586_.X _1599_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1586_.X _1606_.A (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _1586_.X _1611_.A (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _1586_.X _1613_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1586_.X _1615_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1586_.X _1618_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1586_.X _1644_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1586_.X _2178_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1587_.X _1590_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1587_.X _1591_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1587_.X _1596_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1587_.X _1598_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1587_.X _1615_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1587_.X _1637_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1587_.X _1639_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1587_.X _1643_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1587_.X _1688_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1587_.X _2181_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1588_.X _1590_.C (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1588_.X _1591_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1588_.X _1595_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1588_.X _1611_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1588_.X _1624_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1588_.X _1625_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1588_.X _1655_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1588_.X _1656_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1588_.X _1734_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1588_.X _2195_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1588_.X ANTENNA__2195__A0.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1588_.X ANTENNA__1734__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1588_.X ANTENNA__1656__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1588_.X ANTENNA__1655__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1588_.X ANTENNA__1625__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1588_.X ANTENNA__1624__A2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1588_.X ANTENNA__1611__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1588_.X ANTENNA__1595__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1588_.X ANTENNA__1591__A2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1588_.X ANTENNA__1590__C.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1589_.X _1590_.D (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1589_.X _1591_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1589_.X _1596_.B (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _1589_.X _1621_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1589_.X _1622_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1589_.X _1652_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1589_.X _1653_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1589_.X _1723_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1589_.X _1724_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1589_.X _2197_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1589_.X ANTENNA__2197__A0.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1589_.X ANTENNA__1724__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1589_.X ANTENNA__1723__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1589_.X ANTENNA__1653__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1589_.X ANTENNA__1652__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1589_.X ANTENNA__1622__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1589_.X ANTENNA__1621__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1589_.X ANTENNA__1596__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1589_.X ANTENNA__1591__B1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1589_.X ANTENNA__1590__D.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1590_.X _1592_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1590_.X _1612_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1591_.Y _1592_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1592_.Y _1608_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1592_.Y _1610_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1593_.X _1599_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1593_.X _1606_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1593_.X _1613_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1593_.X _1615_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1593_.X _1620_.A2 (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _1593_.X _1638_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _1593_.X _1646_.B (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _1593_.X _1674_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _1593_.X _1722_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _1593_.X _2199_.A0 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1593_.X ANTENNA__2199__A0.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _1593_.X ANTENNA__1722__A2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _1593_.X ANTENNA__1674__A2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _1593_.X ANTENNA__1646__B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _1593_.X ANTENNA__1638__B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _1593_.X ANTENNA__1620__A2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _1593_.X ANTENNA__1615__A2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _1593_.X ANTENNA__1613__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _1593_.X ANTENNA__1606__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _1593_.X ANTENNA__1599__B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _1594_.X _1595_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1594_.X _1620_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1594_.X _1621_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1594_.X _1622_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1594_.X _1639_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1594_.X _1640_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1594_.X _1646_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1594_.X _1671_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1594_.X _1672_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1594_.X _2183_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1595_.Y _1603_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1595_.Y _1604_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1596_.Y _1602_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1596_.Y _1611_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1597_.X _1598_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1597_.X _1615_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1597_.X _1639_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1597_.X _1671_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1597_.X _1713_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1597_.X _1742_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1597_.X _1776_.C (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _1597_.X _1792_.C (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1597_.X _1806_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1597_.X _2201_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1597_.X ANTENNA__2201__A0.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1597_.X ANTENNA__1806__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1597_.X ANTENNA__1792__C.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _1597_.X ANTENNA__1776__C.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1597_.X ANTENNA__1742__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1597_.X ANTENNA__1713__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1597_.X ANTENNA__1671__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1597_.X ANTENNA__1639__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1597_.X ANTENNA__1615__B1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1597_.X ANTENNA__1598__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1598_.X _1599_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1598_.X _1618_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1598_.X _1619_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1598_.X _1640_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1599_.Y _1601_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1599_.Y _1602_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1599_.Y _1627_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1599_.Y _1660_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1600_.Y _1601_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1601_.X _1602_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1601_.X _1609_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1601_.X _1632_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1602_.X _1603_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1602_.X _1604_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1603_.X _1605_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1604_.Y _1605_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1604_.Y _1607_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1605_.Y _1606_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1605_.Y _1613_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1606_.X _1608_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1606_.X _1610_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1606_.X _1614_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1607_.Y _1609_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1607_.Y _1632_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1608_.Y _1609_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1608_.Y _1632_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1609_.Y _1610_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1609_.Y _1633_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1610_.X _1612_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1610_.X _1874_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1611_.X _1612_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1611_.X _1874_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1612_.X _1877_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1613_.Y _1614_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1614_.Y _1628_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1614_.Y _1629_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1615_.X _1627_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1615_.X _1660_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1616_.X _1624_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1616_.X _1625_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _1616_.X _1638_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1616_.X _1652_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1616_.X _1653_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1616_.X _1671_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1616_.X _1672_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1616_.X _1713_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1616_.X _1714_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1616_.X _2185_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1617_.X _1618_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1617_.X _1619_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1617_.X _1639_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1617_.X _1640_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1617_.X _1671_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1617_.X _1792_.D (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _1617_.X _1806_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1617_.X _1839_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1617_.X _1850_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1617_.X _2203_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1617_.X ANTENNA__2203__A0.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1617_.X ANTENNA__1850__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1617_.X ANTENNA__1839__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1617_.X ANTENNA__1806__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1617_.X ANTENNA__1792__D.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1617_.X ANTENNA__1671__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1617_.X ANTENNA__1640__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1617_.X ANTENNA__1639__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1617_.X ANTENNA__1619__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1617_.X ANTENNA__1618__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1618_.X _1620_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1618_.X _1647_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1619_.X _1620_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1619_.X _1647_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1620_.X _1621_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1620_.X _1622_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1621_.Y _1623_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1622_.X _1623_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1622_.X _1631_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1622_.X _1667_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1623_.Y _1624_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1623_.Y _1625_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1624_.Y _1626_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1625_.X _1626_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1625_.X _1631_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1625_.X _1667_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1626_.Y _1627_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1626_.Y _1660_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1627_.X _1628_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1627_.X _1629_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1627_.X _1661_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1628_.Y _1630_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1628_.Y _1634_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1628_.Y _1871_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1629_.X _1630_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1630_.X _1631_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1630_.X _1667_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1631_.Y _1634_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1631_.Y _1668_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1631_.Y _1871_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1632_.X _1633_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1633_.X _1634_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1633_.X _1871_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1634_.X _1872_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1634_.X _1875_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1634_.X _1878_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1635_.X _1637_.C (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1635_.X _1644_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1635_.X _1683_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1635_.X _1688_.A2 (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _1635_.X _1749_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1635_.X _1783_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1635_.X _1812_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1635_.X _1833_.D (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1635_.X _1847_.A2_N (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1635_.X _2205_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1635_.X ANTENNA__2205__A0.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1635_.X ANTENNA__1847__A2_N.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1635_.X ANTENNA__1833__D.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1635_.X ANTENNA__1812__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1635_.X ANTENNA__1783__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1635_.X ANTENNA__1749__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1635_.X ANTENNA__1688__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1635_.X ANTENNA__1683__B.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _1635_.X ANTENNA__1644__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1635_.X ANTENNA__1637__C.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1636_.X _1637_.D (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1636_.X _1643_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1636_.X _1686_.C (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1636_.X _1688_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1636_.X _1784_.A2 (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _1636_.X _1813_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1636_.X _1832_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1636_.X _1846_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1636_.X _1920_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1636_.X _2207_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1636_.X ANTENNA__2207__A0.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1636_.X ANTENNA__1920__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1636_.X ANTENNA__1846__C.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1636_.X ANTENNA__1832__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1636_.X ANTENNA__1813__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1636_.X ANTENNA__1784__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1636_.X ANTENNA__1688__B1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1636_.X ANTENNA__1686__C.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1636_.X ANTENNA__1643__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1636_.X ANTENNA__1637__D.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1637_.X _1649_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1637_.X _1657_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1637_.X _1689_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1638_.Y _1642_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1638_.Y _1651_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1639_.Y _1641_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1639_.Y _1651_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1640_.X _1641_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1640_.X _1651_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1641_.Y _1642_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1642_.Y _1645_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1642_.Y _1695_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1643_.Y _1644_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1644_.X _1645_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1644_.X _1695_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1645_.X _1649_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1645_.X _1657_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1646_.Y _1648_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1647_.X _1648_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1648_.Y _1649_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1648_.Y _1657_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1649_.X _1658_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1649_.X _1662_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1649_.X _1664_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1650_.X _1655_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1650_.X _1656_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1650_.X _1674_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1650_.X _1675_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1650_.X _1690_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1650_.X _1713_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1650_.X _1714_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1650_.X _1742_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1650_.X _1813_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1650_.X _2187_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1651_.Y _1652_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1651_.Y _1653_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1652_.Y _1654_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1653_.X _1654_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1653_.X _1663_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1654_.Y _1655_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1654_.Y _1656_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1655_.Y _1659_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1655_.Y _1699_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1656_.X _1659_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1656_.X _1663_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1656_.X _1699_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1657_.Y _1658_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1658_.X _1659_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1658_.X _1700_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1659_.Y _1662_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1659_.Y _1664_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1660_.Y _1661_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1661_.Y _1662_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1661_.Y _1664_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1662_.Y _1665_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1662_.Y _1669_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1662_.Y _1868_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1663_.X _1666_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1663_.X _1670_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1664_.X _1665_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1665_.X _1666_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1665_.X _1670_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1666_.Y _1669_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1666_.Y _1868_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1667_.X _1668_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1668_.Y _1669_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1668_.Y _1868_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1669_.X _1869_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1669_.X _1873_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1669_.X _1881_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1670_.Y _1704_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1670_.Y _1866_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1671_.Y _1673_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1672_.X _1673_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1672_.X _1674_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1673_.Y _1674_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1673_.Y _1691_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1674_.X _1676_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1674_.X _1679_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1675_.X _1676_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1675_.X _1679_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1676_.Y _1680_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1676_.Y _1681_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1677_.X _1678_.A (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _1677_.X _1712_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1677_.X _1722_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1677_.X _1723_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1677_.X _1724_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1677_.X _1776_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1677_.X _1792_.A (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _1677_.X _1813_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1677_.X _1832_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1677_.X _2189_.A0 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1678_.Y _1680_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1678_.Y _1682_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1679_.X _1680_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1679_.X _1681_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1680_.Y _1703_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1680_.Y _1738_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1681_.X _1682_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1682_.Y _1698_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1682_.Y _1732_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1683_.X _1687_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1683_.X _1705_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1683_.X _1710_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1684_.X _1685_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1684_.X _1686_.D (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _1684_.X _1784_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1684_.X _1813_.B1 (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _1684_.X _1831_.D (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _1684_.X _1832_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _1684_.X _1846_.D (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _1684_.X _1920_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _1684_.X _1923_.C (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _1684_.X _2209_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1684_.X ANTENNA__2209__A0.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1684_.X ANTENNA__1923__C.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1684_.X ANTENNA__1920__B1.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _1684_.X ANTENNA__1846__D.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _1684_.X ANTENNA__1832__B1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1684_.X ANTENNA__1831__D.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1684_.X ANTENNA__1813__B1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1684_.X ANTENNA__1784__B1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1684_.X ANTENNA__1686__D.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1684_.X ANTENNA__1685__B1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1685_.X _1687_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1685_.X _1705_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1685_.X _1710_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1686_.Y _1687_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1686_.Y _1705_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1686_.Y _1710_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1687_.X _1692_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1687_.X _1694_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1688_.Y _1689_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1689_.Y _1692_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1689_.Y _1694_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1690_.Y _1691_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1691_.Y _1693_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1691_.Y _1720_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1692_.X _1693_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1692_.X _1720_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1693_.Y _1694_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1693_.Y _1721_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1693_.Y _1729_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1694_.X _1696_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1694_.X _1697_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1695_.Y _1696_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1695_.Y _1697_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1696_.X _1698_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1696_.X _1732_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1697_.X _1698_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1698_.X _1701_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1698_.X _1702_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1699_.Y _1700_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1700_.Y _1701_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1700_.Y _1702_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1701_.Y _1703_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1701_.Y _1738_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1702_.X _1703_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1703_.X _1704_.B_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1703_.X _1866_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1703_.X ANTENNA__1866__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1703_.X ANTENNA__1704__B_N.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1704_.X _1870_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1704_.X _1884_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1705_.Y _1711_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1705_.Y _1717_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1706_.Y _1709_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1706_.Y _1746_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1706_.Y _1747_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1707_.Y _1709_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1707_.Y _1746_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1707_.Y _1747_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1708_.X _1709_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1708_.X _1746_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1708_.X _1747_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1709_.Y _1711_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1709_.Y _1717_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1710_.X _1711_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1710_.X _1717_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1711_.Y _1718_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1711_.Y _1719_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1711_.Y _1755_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1712_.Y _1716_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1713_.Y _1715_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1714_.X _1715_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1714_.X _1722_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1715_.Y _1716_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1715_.Y _1722_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1716_.Y _1718_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1716_.Y _1755_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1717_.X _1718_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1717_.X _1755_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1718_.Y _1719_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1718_.Y _1756_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1718_.Y _1765_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1719_.Y _1721_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1719_.Y _1730_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1720_.X _1721_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1720_.X _1729_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1721_.X _1733_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1721_.X _1735_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1722_.X _1723_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1722_.X _1724_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1723_.Y _1725_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1724_.X _1725_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1724_.X _1734_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1725_.Y _1728_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1725_.Y _1734_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1726_.X _1727_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _1726_.X _1734_.A1 (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _1726_.X _1741_.A (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _1726_.X _1758_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _1726_.X _1792_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _1726_.X _1806_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _1726_.X _1812_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _1726_.X _1846_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1726_.X _1920_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1726_.X _2191_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1726_.X ANTENNA__2191__A0.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1726_.X ANTENNA__1920__B2.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _1726_.X ANTENNA__1846__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _1726_.X ANTENNA__1812__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _1726_.X ANTENNA__1806__B2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _1726_.X ANTENNA__1792__B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _1726_.X ANTENNA__1758__A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _1726_.X ANTENNA__1741__A.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _1726_.X ANTENNA__1734__A1.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _1726_.X ANTENNA__1727__A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _1727_.Y _1728_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1728_.X _1731_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1728_.X _1768_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1729_.Y _1730_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1730_.Y _1731_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1730_.Y _1768_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1731_.X _1733_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1731_.X _1735_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1732_.X _1733_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1732_.X _1735_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1733_.Y _1736_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1733_.Y _1739_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1733_.Y _1863_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1734_.X _1737_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1734_.X _1740_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1735_.X _1736_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1736_.X _1737_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1736_.X _1740_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1737_.Y _1739_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1737_.Y _1863_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1738_.Y _1739_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1738_.Y _1863_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1739_.X _1864_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1739_.X _1867_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1739_.X _1887_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1739_.X ANTENNA__1887__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1739_.X ANTENNA__1867__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1739_.X ANTENNA__1864__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1740_.Y _1773_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1740_.Y _1861_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1741_.Y _1745_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1741_.Y _1757_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1742_.Y _1744_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1742_.Y _1757_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1743_.X _1744_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1743_.X _1757_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1744_.Y _1745_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1745_.Y _1754_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1745_.Y _1774_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1745_.Y _1790_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1746_.X _1752_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1746_.X _1753_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1747_.Y _1752_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1747_.Y _1753_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1748_.Y _1751_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1748_.Y _1781_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1748_.Y _1782_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1749_.Y _1751_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1749_.Y _1781_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1749_.Y _1782_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1750_.X _1751_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1750_.X _1781_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1750_.X _1782_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1751_.Y _1752_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1751_.Y _1753_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1752_.X _1754_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1752_.X _1774_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1752_.X _1790_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1753_.Y _1754_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1753_.Y _1774_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1753_.Y _1790_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1754_.X _1756_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1754_.X _1765_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1755_.X _1756_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1755_.X _1765_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1756_.Y _1766_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1756_.Y _1767_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1756_.Y _1798_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1757_.Y _1759_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1757_.Y _1760_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1758_.X _1759_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1758_.X _1760_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1759_.Y _1761_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1759_.Y _1769_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1760_.X _1761_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1760_.X _1769_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1761_.Y _1764_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1762_.X _1763_.A (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _1762_.X _1793_.B1 (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _1762_.X _1794_.A1 (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _1762_.X _1839_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _1762_.X _1846_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _1762_.X _1847_.A1_N (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1762_.X _1850_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _1762_.X _1920_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _1762_.X _1923_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _1762_.X _2193_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1762_.X ANTENNA__2193__A0.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _1762_.X ANTENNA__1923__B.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _1762_.X ANTENNA__1920__A1.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _1762_.X ANTENNA__1850__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _1762_.X ANTENNA__1847__A1_N.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _1762_.X ANTENNA__1846__B.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _1762_.X ANTENNA__1839__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _1762_.X ANTENNA__1794__A1.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _1762_.X ANTENNA__1793__B1.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _1762_.X ANTENNA__1763__A.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _1763_.Y _1764_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1763_.Y _1769_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1764_.Y _1766_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1764_.Y _1798_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1765_.X _1766_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1765_.X _1798_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1766_.Y _1767_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1766_.Y _1799_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1766_.Y _1800_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1767_.X _1770_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1767_.X _1772_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1768_.X _1770_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1768_.X _1772_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1769_.X _1771_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1769_.X _1803_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1770_.X _1771_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1770_.X _1803_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1771_.X _1772_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1772_.Y _1773_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1772_.Y _1861_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1773_.X _1865_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1773_.X _1890_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _1774_.Y _1791_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1774_.Y _1796_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1775_.X _1778_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1775_.X _1779_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1776_.Y _1778_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1776_.Y _1779_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1777_.X _1778_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1777_.X _1779_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1778_.Y _1780_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1779_.X _1780_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1779_.X _1793_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1779_.X _1794_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1780_.Y _1789_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1780_.Y _1805_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1780_.Y _1819_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1781_.X _1787_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1781_.X _1788_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1782_.Y _1787_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1782_.Y _1788_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1783_.Y _1786_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1783_.Y _1810_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1783_.Y _1811_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1784_.Y _1786_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1784_.Y _1810_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1784_.Y _1811_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1785_.X _1786_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1785_.X _1810_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1785_.X _1811_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1786_.Y _1787_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1786_.Y _1788_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1787_.X _1789_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1787_.X _1805_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1787_.X _1819_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1788_.Y _1789_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1788_.Y _1805_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1788_.Y _1819_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1789_.X _1791_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1789_.X _1796_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1790_.X _1791_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1790_.X _1796_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1791_.X _1797_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1791_.X _1799_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1791_.X _1800_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1791_.X _1823_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1791_.X _1824_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1792_.X _1793_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1792_.X _1794_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1793_.X _1795_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1793_.X _1801_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1793_.X _1826_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1794_.Y _1795_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1795_.X _1797_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1795_.X _1823_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1795_.X _1824_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1796_.Y _1797_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1796_.Y _1823_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1796_.Y _1824_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1797_.Y _1799_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1797_.Y _1800_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1798_.X _1799_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1798_.X _1800_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1799_.X _1801_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1799_.X _1802_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1799_.X _1826_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1800_.Y _1801_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1800_.Y _1826_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1801_.X _1802_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1801_.X _1827_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1801_.X _1828_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1801_.X _1829_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1802_.X _1804_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1802_.X _1859_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1803_.Y _1804_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1803_.Y _1859_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1804_.Y _1862_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1804_.Y _1893_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1805_.Y _1820_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1805_.Y _1821_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1806_.X _1809_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1807_.X _1808_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1808_.Y _1809_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1808_.Y _1822_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1808_.Y _1843_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1809_.X _1818_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1809_.X _1841_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1810_.X _1816_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1810_.X _1817_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1811_.Y _1816_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1811_.Y _1817_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1812_.Y _1815_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1812_.Y _1836_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1813_.Y _1815_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1813_.Y _1835_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1814_.X _1815_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1814_.X _1835_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1815_.Y _1816_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1815_.Y _1817_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1816_.X _1818_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1816_.X _1840_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1817_.X _1818_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1817_.X _1840_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1818_.X _1820_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1818_.X _1821_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1819_.X _1820_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1819_.X _1821_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1820_.X _1822_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1820_.X _1825_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1820_.X _1830_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1820_.X _1843_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1821_.Y _1822_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1821_.Y _1843_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1822_.Y _1825_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1822_.Y _1830_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1822_.Y _1844_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1823_.Y _1825_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1823_.Y _1830_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1824_.X _1825_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1824_.X _1830_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1825_.X _1827_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1825_.X _1828_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1825_.X _1829_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1825_.X _1845_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1825_.X _1856_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1826_.Y _1827_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1826_.Y _1828_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1826_.Y _1829_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1827_.Y _1860_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1827_.Y _1896_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1828_.X _1858_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1828_.X _1899_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1829_.Y _1858_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1829_.Y _1899_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1830_.Y _1845_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1830_.Y _1856_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1831_.X _1833_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1831_.X _1834_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1831_.X _1847_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1832_.Y _1833_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1832_.Y _1847_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1833_.X _1834_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1833_.X _1848_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1834_.Y _1837_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1834_.Y _1838_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1835_.Y _1836_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1836_.Y _1837_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1836_.Y _1838_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1837_.X _1842_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1837_.X _1853_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1838_.Y _1839_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1838_.Y _1851_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1839_.X _1842_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1839_.X _1853_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1840_.X _1841_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1841_.X _1842_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1841_.X _1853_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1842_.Y _1845_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1842_.Y _1854_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1842_.Y _1856_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1842_.Y _1906_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1842_.Y _1910_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1843_.X _1844_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1844_.X _1845_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1844_.X _1855_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1844_.X _1856_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1844_.X _1907_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _1845_.X _1857_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1845_.X _1902_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1846_.X _1849_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1846_.X _1917_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1846_.X _1921_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1847_.X _1848_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1848_.Y _1849_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1848_.Y _1917_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1849_.X _1852_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1849_.X _1913_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1849_.X _1918_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1850_.Y _1851_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1851_.Y _1852_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1851_.Y _1913_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1852_.X _1854_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1852_.X _1910_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1852_.X _1914_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1853_.X _1854_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1853_.X _1910_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1854_.Y _1855_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1854_.Y _1906_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1854_.Y _1912_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1855_.X _1857_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1855_.X _1903_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1856_.Y _1857_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1856_.Y _1902_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1857_.X _1858_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1857_.X _1899_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1858_.X _1860_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1858_.X _1896_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1858_.X _1901_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1859_.Y _1860_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1859_.Y _1896_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1860_.X _1862_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1860_.X _1893_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1860_.X _1898_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1861_.X _1862_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1861_.X _1893_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1862_.X _1865_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1862_.X _1890_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1862_.X _1895_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1863_.Y _1864_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1864_.Y _1865_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1864_.Y _1890_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1865_.X _1867_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1865_.X _1887_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1865_.X _1892_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1866_.X _1867_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1866_.X _1887_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1867_.X _1870_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1867_.X _1884_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1867_.X _1889_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1868_.Y _1869_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1869_.Y _1870_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1869_.Y _1884_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1870_.X _1873_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1870_.X _1881_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1870_.X _1886_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1871_.Y _1872_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1872_.Y _1873_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1872_.Y _1881_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1873_.X _1875_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1873_.X _1878_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1873_.X _1882_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1874_.Y _1875_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1874_.Y _1878_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1875_.Y _1877_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1875_.Y _1880_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1876_.X _1877_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1877_.X _2616_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1878_.X _1880_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1879_.Y _1880_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1880_.Y _2615_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1881_.Y _1882_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1882_.X _1883_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1883_.X _2614_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1884_.Y _1886_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1885_.X _1886_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1886_.X _2613_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1887_.Y _1889_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1888_.X _1889_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1889_.X _2612_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1890_.Y _1892_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1891_.X _1892_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1892_.X _2611_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1893_.Y _1895_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1894_.X _1895_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1895_.X _2610_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1896_.Y _1898_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1897_.X _1898_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1898_.X _2609_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1899_.Y _1901_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1900_.X _1901_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1901_.X _2608_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1902_.X _1903_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1903_.X _1904_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1904_.X _1905_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1905_.X _2607_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1906_.Y _1907_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1907_.Y _1908_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1908_.X _1909_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1909_.X _2606_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1910_.X _1912_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1911_.X _1912_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1912_.X _2605_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1913_.Y _1914_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1914_.Y _1915_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1915_.X _1916_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1916_.X _2604_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1917_.X _1919_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1918_.Y _1919_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1919_.X _2603_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1920_.X _1921_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1921_.X _1922_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1922_.X _2602_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1923_.X _1924_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1924_.X _2601_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1925_.X _1970_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1925_.X _1972_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1926_.Y _1968_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1926_.Y _1975_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1927_.X _1966_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1927_.X _1979_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1928_.Y _1964_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1928_.Y _1983_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1929_.X _1962_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1929_.X _1987_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1930_.Y _1960_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1930_.Y _1991_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1931_.X _1958_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1931_.X _1996_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1932_.Y _1956_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1932_.Y _2000_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1933_.Y _1954_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1933_.Y _2004_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1934_.Y _1952_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1934_.Y _2008_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1935_.Y _1950_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1935_.Y _2012_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1936_.X _1938_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1936_.X _1948_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1937_.Y _1938_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1938_.Y _1948_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1938_.Y _2017_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1939_.X _1948_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1939_.X _2016_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1939_.X _2021_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1940_.Y _1946_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1940_.Y _2025_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1941_.Y _1943_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1941_.Y _2029_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1942_.X _1943_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1942_.X _2029_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1943_.X _1944_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1943_.X _2030_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1944_.Y _1946_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1944_.Y _2026_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1945_.Y _1946_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1945_.Y _2025_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1946_.Y _1947_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1946_.Y _2022_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1947_.X _1948_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1947_.X _2016_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1948_.Y _1950_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1948_.Y _2013_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1949_.X _1950_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1949_.X _2012_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1950_.X _1952_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1950_.X _2009_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1951_.Y _1952_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1951_.Y _2008_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1952_.X _1954_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1952_.X _2005_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1953_.Y _1954_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1953_.Y _2004_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1954_.X _1956_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1954_.X _2001_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1955_.Y _1956_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1955_.Y _2000_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1956_.Y _1958_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1956_.Y _1997_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1957_.Y _1958_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1957_.Y _1996_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1958_.Y _1960_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1958_.Y _1992_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1959_.Y _1960_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1959_.Y _1991_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1960_.Y _1962_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1960_.Y _1988_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1961_.Y _1962_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1961_.Y _1987_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1962_.Y _1964_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1962_.Y _1984_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1963_.Y _1964_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1963_.Y _1983_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1964_.Y _1966_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1964_.Y _1980_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1965_.Y _1966_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1965_.Y _1979_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1966_.Y _1968_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1966_.Y _1976_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1967_.Y _1968_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1967_.Y _1975_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1968_.Y _1970_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1968_.Y _1973_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1969_.X _1970_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1969_.X _1972_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1970_.X _1971_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1971_.X _2600_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1972_.X _1974_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1973_.X _1974_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1974_.Y _2599_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1975_.X _1976_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1976_.Y _1977_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1977_.X _1978_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1978_.X _2598_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1979_.Y _1980_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1980_.Y _1981_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1981_.X _1982_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1982_.X _2597_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1983_.X _1984_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1984_.Y _1985_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1985_.X _1986_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1986_.X _2596_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1987_.Y _1988_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1988_.Y _1989_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1989_.X _1990_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1990_.X _2595_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1991_.X _1992_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1992_.Y _1994_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1993_.X _1994_.S (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _1993_.X _1998_.S (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _1993_.X _2002_.S (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _1993_.X _2006_.S (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _1993_.X _2010_.S (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _1993_.X _2014_.S (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _1993_.X _2018_.S (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _1993_.X _2023_.S (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _1993_.X _2027_.S (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _1993_.X _2034_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _1993_.X ANTENNA__2034__S.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _1993_.X ANTENNA__2027__S.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _1993_.X ANTENNA__2023__S.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _1993_.X ANTENNA__2018__S.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _1993_.X ANTENNA__2014__S.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _1993_.X ANTENNA__2010__S.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _1993_.X ANTENNA__2006__S.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _1993_.X ANTENNA__2002__S.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _1993_.X ANTENNA__1998__S.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _1993_.X ANTENNA__1994__S.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _1994_.X _1995_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1995_.X _2594_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1996_.Y _1997_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1997_.Y _1998_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1998_.X _1999_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _1999_.X _2593_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2000_.X _2001_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2001_.Y _2002_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2002_.X _2003_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2003_.X _2592_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2004_.X _2005_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2005_.Y _2006_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2006_.X _2007_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2007_.X _2591_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2008_.X _2009_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2009_.Y _2010_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2010_.X _2011_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2011_.X _2590_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2012_.Y _2013_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2013_.Y _2014_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2014_.X _2015_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2015_.X _2589_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2016_.Y _2017_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2017_.Y _2018_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2018_.X _2019_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2019_.X _2588_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2020_.Y _2021_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2021_.Y _2022_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2022_.Y _2023_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2023_.X _2024_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2024_.X _2587_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2025_.X _2026_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2026_.Y _2027_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2027_.X _2028_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2028_.X _2586_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2029_.X _2031_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2030_.Y _2031_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2031_.X _2585_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2032_.Y _2033_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2033_.Y _2584_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2034_.X _2035_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2035_.X _2583_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2036_.X _2037_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2036_.X _2039_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2036_.X _2041_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2036_.X _2043_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2036_.X _2045_.S (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _2036_.X _2047_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2036_.X _2049_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2036_.X _2051_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2036_.X _2053_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2036_.X _2055_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2037_.X _2038_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2038_.X _2582_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2039_.X _2040_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2040_.X _2581_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2041_.X _2042_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2042_.X _2580_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2043_.X _2044_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2044_.X _2579_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2045_.X _2046_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2046_.X _2578_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2047_.X _2048_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2048_.X _2577_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2049_.X _2050_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2050_.X _2576_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2051_.X _2052_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2052_.X _2575_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2053_.X _2054_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2054_.X _2574_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2055_.X _2056_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2056_.X _2573_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2057_.X _2058_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2057_.X _2060_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _2057_.X _2062_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2057_.X _2064_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _2057_.X _2066_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _2057_.X _2117_.S (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _2057_.X _2121_.S (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _2057_.X _2125_.S (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _2057_.X _2129_.S (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _2057_.X _2133_.S (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _2057_.X ANTENNA__2133__S.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _2057_.X ANTENNA__2129__S.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _2057_.X ANTENNA__2125__S.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _2057_.X ANTENNA__2121__S.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _2057_.X ANTENNA__2117__S.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _2057_.X ANTENNA__2066__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _2057_.X ANTENNA__2064__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _2057_.X ANTENNA__2062__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _2057_.X ANTENNA__2060__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _2057_.X ANTENNA__2058__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _2058_.X _2059_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2059_.X _2572_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2060_.X _2061_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2061_.X _2571_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2062_.X _2063_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2063_.X _2570_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2064_.X _2065_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2065_.X _2569_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2066_.X _2067_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2067_.X _2568_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2068_.X _2113_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2068_.X _2115_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2069_.Y _2111_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2069_.Y _2119_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2070_.X _2109_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2070_.X _2123_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2071_.Y _2107_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2071_.Y _2127_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2072_.X _2105_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2072_.X _2131_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2073_.Y _2103_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2073_.Y _2135_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2074_.X _2101_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2074_.X _2140_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2075_.Y _2099_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2075_.Y _2144_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2076_.Y _2097_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2076_.Y _2148_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2077_.Y _2095_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2077_.Y _2152_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2078_.Y _2093_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2078_.Y _2156_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2079_.X _2081_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2079_.X _2091_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2080_.Y _2081_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2081_.Y _2091_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2081_.Y _2161_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2082_.X _2091_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2082_.X _2160_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2082_.X _2165_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2083_.Y _2089_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2083_.Y _2169_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2084_.Y _2086_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2084_.Y _2173_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2085_.X _2086_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2085_.X _2173_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2086_.X _2087_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2086_.X _2174_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2087_.Y _2089_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2087_.Y _2170_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2088_.Y _2089_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2088_.Y _2169_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2089_.Y _2090_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2089_.Y _2166_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2090_.X _2091_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2090_.X _2160_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2091_.Y _2093_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2091_.Y _2157_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2092_.X _2093_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2092_.X _2156_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2093_.X _2095_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2093_.X _2153_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2094_.Y _2095_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2094_.Y _2152_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2095_.X _2097_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2095_.X _2149_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2096_.Y _2097_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2096_.Y _2148_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2097_.X _2099_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2097_.X _2145_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2098_.Y _2099_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2098_.Y _2144_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2099_.Y _2101_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2099_.Y _2141_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2100_.Y _2101_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2100_.Y _2140_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2101_.Y _2103_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2101_.Y _2136_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2102_.Y _2103_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2102_.Y _2135_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2103_.Y _2105_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2103_.Y _2132_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2104_.Y _2105_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2104_.Y _2131_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2105_.Y _2107_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2105_.Y _2128_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2106_.Y _2107_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2106_.Y _2127_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2107_.Y _2109_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2107_.Y _2124_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2108_.Y _2109_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2108_.Y _2123_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2109_.Y _2111_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2109_.Y _2120_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2110_.Y _2111_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2110_.Y _2119_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2111_.Y _2113_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2111_.Y _2116_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2112_.X _2113_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2112_.X _2115_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2113_.X _2114_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2114_.X _2567_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2115_.X _2116_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2116_.Y _2117_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2117_.X _2118_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2118_.X _2566_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2119_.X _2120_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2120_.Y _2121_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2121_.X _2122_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2122_.X _2565_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2123_.Y _2124_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2124_.Y _2125_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2125_.X _2126_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2126_.X _2564_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2127_.X _2128_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2128_.Y _2129_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2129_.X _2130_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2130_.X _2563_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2131_.Y _2132_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2132_.Y _2133_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2133_.X _2134_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2134_.X _2562_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2135_.X _2136_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2136_.Y _2138_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2137_.X _2138_.S (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _2137_.X _2142_.S (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _2137_.X _2146_.S (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _2137_.X _2150_.S (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _2137_.X _2154_.S (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _2137_.X _2158_.S (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _2137_.X _2162_.S (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _2137_.X _2167_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _2137_.X _2171_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _2137_.X _2178_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2137_.X ANTENNA__2178__S.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _2137_.X ANTENNA__2171__S.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _2137_.X ANTENNA__2167__S.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _2137_.X ANTENNA__2162__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _2137_.X ANTENNA__2158__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _2137_.X ANTENNA__2154__S.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _2137_.X ANTENNA__2150__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _2137_.X ANTENNA__2146__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _2137_.X ANTENNA__2142__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _2137_.X ANTENNA__2138__S.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _2138_.X _2139_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2139_.X _2561_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2140_.Y _2141_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2141_.Y _2142_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2142_.X _2143_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2143_.X _2560_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2144_.X _2145_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2145_.Y _2146_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2146_.X _2147_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2147_.X _2559_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2148_.X _2149_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2149_.Y _2150_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2150_.X _2151_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2151_.X _2558_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2152_.X _2153_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2153_.Y _2154_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2154_.X _2155_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2155_.X _2557_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2156_.Y _2157_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2157_.Y _2158_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2158_.X _2159_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2159_.X _2556_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2160_.Y _2161_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2161_.Y _2162_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2162_.X _2163_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2163_.X _2555_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2164_.Y _2165_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2165_.Y _2166_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2166_.Y _2167_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2167_.X _2168_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2168_.X _2554_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2169_.X _2170_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2170_.Y _2171_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2171_.X _2172_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2172_.X _2553_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2173_.X _2175_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2174_.Y _2175_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2175_.X _2552_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2176_.Y _2177_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2177_.Y _2551_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2178_.X _2179_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2179_.X _2550_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2180_.X _2181_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _2180_.X _2183_.S (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _2180_.X _2185_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _2180_.X _2187_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _2180_.X _2189_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _2180_.X _2191_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2180_.X _2193_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2180_.X _2195_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _2180_.X _2197_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _2180_.X _2199_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _2181_.X _2182_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2182_.X _2549_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2183_.X _2184_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2184_.X _2548_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2185_.X _2186_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2186_.X _2547_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2187_.X _2188_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2188_.X _2546_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2189_.X _2190_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2190_.X _2545_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2191_.X _2192_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2192_.X _2544_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2193_.X _2194_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2194_.X _2543_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2195_.X _2196_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2196_.X _2542_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2197_.X _2198_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2198_.X _2541_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2199_.X _2200_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2200_.X _2540_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2201_.X _2202_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2202_.X _2539_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2203_.X _2204_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2204_.X _2538_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2205_.X _2206_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2206_.X _2537_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2207_.X _2208_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2208_.X _2536_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2209_.X _2210_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2210_.X _2535_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2211_.X _2212_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2211_.X _2214_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2211_.X _2226_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2211_.X _2228_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2211_.X _2230_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2211_.X _2232_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2211_.X _2234_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2211_.X _2236_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2211_.X _2238_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2211_.X _2240_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2212_.X _2213_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2213_.X _2618_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2214_.X _2215_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2215_.X _2619_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2216_.X _2217_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2217_.X _2620_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2218_.X _2219_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2219_.X _2621_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2220_.X _2221_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2221_.X _2622_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2222_.X _2223_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2223_.X _2623_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2224_.X _2225_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2225_.X _2624_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2226_.X _2227_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2227_.X _2625_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2228_.X _2229_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2229_.X _2626_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2230_.X _2231_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2231_.X _2627_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2232_.X _2233_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2233_.X _2628_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2234_.X _2235_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2235_.X _2629_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2236_.X _2237_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2237_.X _2630_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2238_.X _2239_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2239_.X _2631_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2240_.X _2241_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2241_.X _2632_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2242_.X _2244_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2242_.X _2258_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2242_.X _2260_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2242_.X _2261_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2242_.X _2270_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2242_.X _2282_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2242_.X _2297_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2242_.X _2316_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2242_.X _2319_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2242_.X _2321_.A_N (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2243_.Y _2244_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _2243_.Y _2299_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _2243_.Y _2321_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _2243_.Y _2503_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2243_.Y ANTENNA__2503__RESET_B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2243_.Y ANTENNA__2321__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _2243_.Y ANTENNA__2299__B2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _2243_.Y ANTENNA__2244__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _2244_.Y _2250_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2244_.Y _2259_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2244_.Y _2314_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2244_.Y _2320_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2245_.X _2246_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2245_.X _2248_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2246_.X _2247_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2247_.Y _2249_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2247_.Y _2254_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2247_.Y _2255_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2247_.Y _2272_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2247_.Y _2284_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2247_.Y _2293_.B1 (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _2247_.Y _2305_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _2247_.Y _2317_.A1 (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _2248_.Y _2249_.B_N (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2248_.Y _2257_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2248_.Y _2266_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2248_.Y _2275_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2248_.Y _2277_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2248_.Y _2302_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2248_.Y _2305_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2249_.X _2250_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2249_.X _2258_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2249_.X _2269_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2249_.X _2302_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2250_.Y output17.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2251_.X _2252_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2251_.X _2261_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2251_.X _2273_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2252_.Y _2253_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2253_.Y _2254_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2253_.Y _2255_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2253_.Y _2263_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2253_.Y _2264_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2253_.Y _2288_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2253_.Y _2292_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2253_.Y _2300_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _2253_.Y _2302_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2254_.Y _2256_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2254_.Y _2266_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2254_.Y _2302_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2255_.X _2256_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2256_.X _2257_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2256_.X _2285_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2257_.X _2259_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2257_.X _2268_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2257_.X _2269_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2257_.X _2287_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2257_.X _2303_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2258_.X _2259_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2259_.Y output18.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2260_.Y _2271_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2260_.Y _2283_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2260_.Y _2299_.A1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2261_.Y _2262_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2262_.Y _2263_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2262_.Y _2264_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2262_.Y _2288_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2262_.Y _2292_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2262_.Y _2300_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2262_.Y _2306_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2262_.Y _2311_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2263_.X _2265_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2263_.X _2307_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2264_.Y _2265_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2264_.Y _2277_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2264_.Y _2278_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2264_.Y _2293_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2264_.Y _2295_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2265_.Y _2266_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2265_.Y _2268_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2265_.Y _2272_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2266_.X _2269_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2266_.X _2271_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2267_.X _2270_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2267_.X _2383_.A (0.019:0.019:0.019) (0.018:0.018:0.018))
+    (INTERCONNECT _2267_.X _2394_.A (0.015:0.015:0.015) (0.014:0.014:0.014))
+    (INTERCONNECT _2267_.X _2405_.A (0.019:0.019:0.019) (0.018:0.018:0.018))
+    (INTERCONNECT _2267_.X _2416_.A (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _2267_.X _2427_.A (0.019:0.019:0.019) (0.018:0.018:0.018))
+    (INTERCONNECT _2267_.X _2438_.A (0.019:0.019:0.019) (0.018:0.018:0.018))
+    (INTERCONNECT _2267_.X _2449_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _2267_.X _2460_.A (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _2267_.X _2471_.A (0.016:0.016:0.016) (0.015:0.015:0.015))
+    (INTERCONNECT _2267_.X ANTENNA__2471__A.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT _2267_.X ANTENNA__2460__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _2267_.X ANTENNA__2449__A.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _2267_.X ANTENNA__2438__A.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018))
+    (INTERCONNECT _2267_.X ANTENNA__2427__A.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018))
+    (INTERCONNECT _2267_.X ANTENNA__2416__A.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _2267_.X ANTENNA__2405__A.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+    (INTERCONNECT _2267_.X ANTENNA__2394__A.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _2267_.X ANTENNA__2383__A.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018))
+    (INTERCONNECT _2267_.X ANTENNA__2270__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2268_.Y _2270_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2269_.X _2270_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2269_.X _2280_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2269_.X _2281_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2270_.X _2271_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2271_.Y output19.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2272_.X _2279_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2272_.X _2307_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2273_.X _2274_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2273_.X _2301_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2273_.X _2315_.A (0.001:0.001:0.001) (0.000:0.000:0.001))
+    (INTERCONNECT _2274_.X _2275_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2274_.X _2277_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2274_.X _2284_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2274_.X _2285_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2274_.X _2307_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2275_.X _2276_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2276_.X _2278_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2276_.X _2286_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2276_.X _2293_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2276_.X _2295_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2276_.X _2311_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _2276_.X _2312_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2276_.X _2317_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2277_.Y _2278_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2278_.Y _2279_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2279_.Y _2280_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2279_.Y _2281_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2279_.Y _2283_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2280_.X _2282_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2280_.X _2296_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2280_.X _2298_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2281_.Y _2282_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2282_.X _2283_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2283_.Y output20.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2284_.X _2291_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2284_.X _2304_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2285_.X _2286_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2285_.X _2289_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2285_.X _2300_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2286_.Y _2287_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2286_.Y _2303_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2287_.Y _2290_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2288_.X _2289_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2289_.Y _2290_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2289_.Y _2303_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2290_.X _2291_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2290_.X _2304_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2291_.X _2294_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2292_.Y _2293_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2292_.Y _2317_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2293_.X _2294_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2294_.Y _2295_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2295_.Y _2296_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2295_.Y _2298_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2295_.Y _2299_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2296_.X _2297_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2296_.X _2319_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2296_.X _2321_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2297_.Y _2298_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2297_.Y _2313_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2298_.X _2299_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2299_.X output21.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2300_.X _2303_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2300_.X _2304_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2301_.Y _2302_.C1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _2301_.Y _2308_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2301_.Y _2309_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2301_.Y _2311_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2301_.Y _2318_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2301_.Y _2323_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2302_.X _2304_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2302_.X _2309_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2303_.Y _2304_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2304_.X _2310_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2305_.Y _2306_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2306_.Y _2308_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2306_.Y _2309_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2307_.Y _2308_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2307_.Y _2309_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2308_.Y _2309_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2309_.X _2310_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2310_.Y _2311_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2310_.Y _2312_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2311_.X _2312_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2312_.X _2313_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2313_.Y _2314_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2314_.Y output22.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2315_.Y _2316_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2316_.X _2317_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2316_.X _2323_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _2317_.X _2318_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2318_.Y _2319_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2318_.Y _2321_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2319_.X _2320_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2320_.Y output23.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2320_.Y ANTENNA_output23_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2321_.X _2322_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2321_.X ANTENNA__2322__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2322_.X output24.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2323_.X _2324_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2324_.X output16.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _2324_.X ANTENNA_output16_A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _2325_.X _2326_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2326_.X _2617_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2327_.X _2328_.A (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _2327_.X _2339_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _2327_.X _2350_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _2327_.X _2361_.A (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _2327_.X _2372_.A (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _2327_.X _2482_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _2327_.X _2483_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _2327_.X _2484_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _2327_.X _2485_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2327_.X _2486_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2327_.X ANTENNA__2486__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2327_.X ANTENNA__2485__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2327_.X ANTENNA__2484__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _2327_.X ANTENNA__2483__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _2327_.X ANTENNA__2482__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _2327_.X ANTENNA__2372__A.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _2327_.X ANTENNA__2361__A.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _2327_.X ANTENNA__2350__A.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _2327_.X ANTENNA__2339__A.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _2327_.X ANTENNA__2328__A.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _2328_.X _2329_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _2328_.X _2330_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _2328_.X _2331_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _2328_.X _2332_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _2328_.X _2333_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _2328_.X _2334_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _2328_.X _2335_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2328_.X _2336_.A (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _2328_.X _2337_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _2328_.X _2338_.A (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _2329_.Y _2504_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2330_.Y _2505_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2331_.Y _2506_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2332_.Y _2507_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2333_.Y _2508_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2334_.Y _2509_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2335_.Y _2510_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2336_.Y _2511_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2337_.Y _2512_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2338_.Y _2513_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2339_.X _2340_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2339_.X _2341_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2339_.X _2342_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2339_.X _2343_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2339_.X _2344_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2339_.X _2345_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2339_.X _2346_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2339_.X _2347_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2339_.X _2348_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2339_.X _2349_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2340_.Y _2514_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2341_.Y _2515_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2342_.Y _2516_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2343_.Y _2517_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2344_.Y _2518_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2345_.Y _2519_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2346_.Y _2520_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2347_.Y _2521_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2348_.Y _2522_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2349_.Y _2523_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2350_.X _2351_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2350_.X _2352_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2350_.X _2353_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2350_.X _2354_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2350_.X _2355_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2350_.X _2356_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _2350_.X _2357_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2350_.X _2358_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2350_.X _2359_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2350_.X _2360_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2351_.Y _2524_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2352_.Y _2525_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2353_.Y _2526_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2354_.Y _2527_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2355_.Y _2528_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2356_.Y _2529_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2357_.Y _2530_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2358_.Y _2531_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2359_.Y _2532_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2360_.Y _2533_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2361_.X _2362_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2361_.X _2363_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _2361_.X _2364_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _2361_.X _2365_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _2361_.X _2366_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _2361_.X _2367_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2361_.X _2368_.A (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _2361_.X _2369_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _2361_.X _2370_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _2361_.X _2371_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2362_.Y _2534_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2363_.Y _2535_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2364_.Y _2536_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2365_.Y _2537_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2366_.Y _2538_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2367_.Y _2539_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2368_.Y _2540_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2369_.Y _2541_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2370_.Y _2542_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2371_.Y _2543_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2372_.X _2373_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _2372_.X _2374_.A (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _2372_.X _2375_.A (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _2372_.X _2376_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _2372_.X _2377_.A (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _2372_.X _2378_.A (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _2372_.X _2379_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _2372_.X _2380_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2372_.X _2381_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2372_.X _2382_.A (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _2372_.X ANTENNA__2382__A.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _2372_.X ANTENNA__2381__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2372_.X ANTENNA__2380__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _2372_.X ANTENNA__2379__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _2372_.X ANTENNA__2378__A.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _2372_.X ANTENNA__2377__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _2372_.X ANTENNA__2376__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _2372_.X ANTENNA__2375__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _2372_.X ANTENNA__2374__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _2372_.X ANTENNA__2373__A.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _2373_.Y _2544_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2374_.Y _2545_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2375_.Y _2546_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2376_.Y _2547_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2377_.Y _2548_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2378_.Y _2549_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2379_.Y _2550_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2380_.Y _2551_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2381_.Y _2552_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2382_.Y _2553_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2383_.X _2384_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2383_.X _2385_.A (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _2383_.X _2386_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _2383_.X _2387_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _2383_.X _2388_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _2383_.X _2389_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _2383_.X _2390_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2383_.X _2391_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2383_.X _2392_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2383_.X _2393_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _2384_.Y _2554_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2385_.Y _2555_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2386_.Y _2556_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2387_.Y _2557_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2388_.Y _2558_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2389_.Y _2559_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2390_.Y _2560_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2391_.Y _2561_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2392_.Y _2562_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2393_.Y _2563_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2394_.X _2395_.A (0.017:0.017:0.017) (0.016:0.016:0.016))
+    (INTERCONNECT _2394_.X _2396_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _2394_.X _2397_.A (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _2394_.X _2398_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2394_.X _2399_.A (0.020:0.020:0.020) (0.019:0.019:0.019))
+    (INTERCONNECT _2394_.X _2400_.A (0.021:0.021:0.021) (0.020:0.020:0.020))
+    (INTERCONNECT _2394_.X _2401_.A (0.021:0.021:0.021) (0.020:0.020:0.020))
+    (INTERCONNECT _2394_.X _2402_.A (0.021:0.021:0.021) (0.020:0.020:0.020))
+    (INTERCONNECT _2394_.X _2403_.A (0.021:0.021:0.021) (0.020:0.020:0.020))
+    (INTERCONNECT _2394_.X _2404_.A (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT _2394_.X ANTENNA__2404__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _2394_.X ANTENNA__2403__A.DIODE (0.023:0.023:0.023) (0.021:0.021:0.021))
+    (INTERCONNECT _2394_.X ANTENNA__2402__A.DIODE (0.023:0.023:0.023) (0.021:0.021:0.021))
+    (INTERCONNECT _2394_.X ANTENNA__2401__A.DIODE (0.022:0.022:0.022) (0.021:0.021:0.021))
+    (INTERCONNECT _2394_.X ANTENNA__2400__A.DIODE (0.023:0.023:0.023) (0.021:0.021:0.021))
+    (INTERCONNECT _2394_.X ANTENNA__2399__A.DIODE (0.022:0.022:0.022) (0.021:0.021:0.021))
+    (INTERCONNECT _2394_.X ANTENNA__2398__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2394_.X ANTENNA__2397__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _2394_.X ANTENNA__2396__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _2394_.X ANTENNA__2395__A.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+    (INTERCONNECT _2395_.Y _2564_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2396_.Y _2565_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2397_.Y _2566_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2398_.Y _2567_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2399_.Y _2568_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2400_.Y _2569_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2401_.Y _2570_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2402_.Y _2571_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2403_.Y _2572_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2404_.Y _2573_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2405_.X _2406_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2405_.X _2407_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2405_.X _2408_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _2405_.X _2409_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _2405_.X _2410_.A (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _2405_.X _2411_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _2405_.X _2412_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _2405_.X _2413_.A (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _2405_.X _2414_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _2405_.X _2415_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2406_.Y _2574_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2407_.Y _2575_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2408_.Y _2576_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2409_.Y _2577_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2410_.Y _2578_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2411_.Y _2579_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2412_.Y _2580_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2413_.Y _2581_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2414_.Y _2582_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2415_.Y _2583_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2416_.X _2417_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _2416_.X _2418_.A (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _2416_.X _2419_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _2416_.X _2420_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _2416_.X _2421_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _2416_.X _2422_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _2416_.X _2423_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _2416_.X _2424_.A (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _2416_.X _2425_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2416_.X _2426_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2416_.X ANTENNA__2426__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2416_.X ANTENNA__2425__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2416_.X ANTENNA__2424__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2416_.X ANTENNA__2423__A.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _2416_.X ANTENNA__2422__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _2416_.X ANTENNA__2421__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _2416_.X ANTENNA__2420__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _2416_.X ANTENNA__2419__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _2416_.X ANTENNA__2418__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _2416_.X ANTENNA__2417__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _2417_.Y _2584_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2418_.Y _2585_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2419_.Y _2586_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2420_.Y _2587_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2421_.Y _2588_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2422_.Y _2589_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2423_.Y _2590_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2424_.Y _2591_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2425_.Y _2592_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2426_.Y _2593_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2427_.X _2428_.A (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _2427_.X _2429_.A (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _2427_.X _2430_.A (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _2427_.X _2431_.A (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _2427_.X _2432_.A (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _2427_.X _2433_.A (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _2427_.X _2434_.A (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _2427_.X _2435_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _2427_.X _2436_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _2427_.X _2437_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _2427_.X ANTENNA__2437__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _2427_.X ANTENNA__2436__A.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _2427_.X ANTENNA__2435__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _2427_.X ANTENNA__2434__A.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _2427_.X ANTENNA__2433__A.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _2427_.X ANTENNA__2432__A.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _2427_.X ANTENNA__2431__A.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _2427_.X ANTENNA__2430__A.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _2427_.X ANTENNA__2429__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _2427_.X ANTENNA__2428__A.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _2428_.Y _2594_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2429_.Y _2595_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2430_.Y _2596_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2431_.Y _2597_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2432_.Y _2598_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2433_.Y _2599_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2434_.Y _2600_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2435_.Y _2601_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2436_.Y _2602_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2437_.Y _2603_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2438_.X _2439_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _2438_.X _2440_.A (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _2438_.X _2441_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _2438_.X _2442_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _2438_.X _2443_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _2438_.X _2444_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _2438_.X _2445_.A (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _2438_.X _2446_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _2438_.X _2447_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _2438_.X _2448_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _2438_.X ANTENNA__2448__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _2438_.X ANTENNA__2447__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _2438_.X ANTENNA__2446__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _2438_.X ANTENNA__2445__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _2438_.X ANTENNA__2444__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _2438_.X ANTENNA__2443__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _2438_.X ANTENNA__2442__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _2438_.X ANTENNA__2441__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _2438_.X ANTENNA__2440__A.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _2438_.X ANTENNA__2439__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _2439_.Y _2604_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2440_.Y _2605_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2441_.Y _2606_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2442_.Y _2607_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2443_.Y _2608_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2444_.Y _2609_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2445_.Y _2610_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2446_.Y _2611_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2447_.Y _2612_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2448_.Y _2613_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2449_.X _2450_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _2449_.X _2451_.A (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _2449_.X _2452_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _2449_.X _2453_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _2449_.X _2454_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _2449_.X _2455_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _2449_.X _2456_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _2449_.X _2457_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _2449_.X _2458_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _2449_.X _2459_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _2449_.X ANTENNA__2459__A.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _2449_.X ANTENNA__2458__A.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _2449_.X ANTENNA__2457__A.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _2449_.X ANTENNA__2456__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _2449_.X ANTENNA__2455__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _2449_.X ANTENNA__2454__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2449_.X ANTENNA__2453__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _2449_.X ANTENNA__2452__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _2449_.X ANTENNA__2451__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _2449_.X ANTENNA__2450__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _2450_.Y _2614_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2451_.Y _2615_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2452_.Y _2616_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2453_.Y _2617_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2454_.Y _2618_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2455_.Y _2619_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2456_.Y _2620_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2457_.Y _2621_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2458_.Y _2622_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2459_.Y _2623_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2460_.X _2461_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2460_.X _2462_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2460_.X _2463_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2460_.X _2464_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2460_.X _2465_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2460_.X _2466_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2460_.X _2467_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2460_.X _2468_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2460_.X _2469_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2460_.X _2470_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2461_.Y _2624_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2462_.Y _2625_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2463_.Y _2626_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2464_.Y _2627_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2465_.Y _2628_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2466_.Y _2629_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2467_.Y _2630_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2468_.Y _2631_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2469_.Y _2632_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2470_.Y _2633_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2471_.X _2472_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _2471_.X _2473_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _2471_.X _2474_.A (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _2471_.X _2475_.A (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _2471_.X _2476_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _2471_.X _2477_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2471_.X _2478_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _2471_.X _2479_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2471_.X _2480_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2471_.X _2481_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2472_.Y _2634_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2473_.Y _2635_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2474_.Y _2636_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2475_.Y _2637_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2476_.Y _2638_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2477_.Y _2639_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2478_.Y _2640_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2479_.Y _2641_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2480_.Y _2642_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2481_.Y _2643_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2482_.Y _2644_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2483_.Y _2645_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2484_.Y _2646_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2485_.Y _2647_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2486_.Y _2648_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2487_.Q _2226_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2488_.Q _2228_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2488_.Q ANTENNA__2228__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2489_.Q _2230_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2489_.Q ANTENNA__2230__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2490_.Q _2232_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2491_.Q _2234_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2492_.Q _2236_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2493_.Q _2238_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2494_.Q _2240_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2495_.Q _2325_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2496_.Q _2212_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2497_.Q _2214_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2498_.Q _2216_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2499_.Q _2218_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2500_.Q _2220_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2501_.Q _2222_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2502_.Q _2224_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2503_.Q _2193_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2504_.Q _2191_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2505_.Q _2189_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2506_.Q _2187_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2507_.Q _2185_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2508_.Q _2183_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2509_.Q _2181_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2510_.Q _2178_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2511_.Q _2209_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2512_.Q _2207_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2513_.Q _2205_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2514_.Q _2203_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2515_.Q _2201_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2516_.Q _2199_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2517_.Q _2197_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2518_.Q _2195_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2519_.Q _2049_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2520_.Q _2047_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2521_.Q _2045_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2522_.Q _2043_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2523_.Q _2041_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2524_.Q _2039_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2525_.Q _2037_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2526_.Q _2034_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2527_.Q _2066_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2528_.Q _2064_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2529_.Q _2062_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2530_.Q _2060_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2531_.Q _2058_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2532_.Q _2055_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2533_.Q _2053_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2534_.Q _2051_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2534_.Q ANTENNA__2051__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2535_.Q _1684_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2535_.Q _1707_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2535_.Q _1708_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2535_.Q _1748_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2535_.Q _1750_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2535_.Q _1785_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2535_.Q _1814_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2536_.Q _1636_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2536_.Q _1685_.A2 (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _2536_.Q _1707_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2536_.Q _1708_.C (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _2536_.Q _1748_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2536_.Q _1750_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2536_.Q _1785_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2536_.Q _1814_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2536_.Q _1831_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2537_.Q _1635_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2537_.Q _1706_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2538_.Q _1617_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2538_.Q _1672_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2538_.Q _1713_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2538_.Q _1714_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2538_.Q _1742_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2538_.Q _1743_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2538_.Q _1775_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2538_.Q _1776_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2538_.Q _1807_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2539_.Q _1597_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2539_.Q _1672_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2539_.Q _1714_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2539_.Q _1743_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2539_.Q _1775_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2539_.Q _1807_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2540_.Q _1593_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2540_.Q _1690_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2540_.Q _1712_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2540_.Q _1741_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2540_.Q _1777_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2541_.Q _1589_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2541_.Q _1600_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2541_.Q _1675_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2541_.Q _1758_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2541_.Q _1793_.C1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _2541_.Q _1794_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _2541_.Q ANTENNA__1794__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2541_.Q ANTENNA__1793__C1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2541_.Q ANTENNA__1758__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2541_.Q ANTENNA__1675__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2541_.Q ANTENNA__1600__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2541_.Q ANTENNA__1589__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2542_.Q _1588_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2542_.Q _1678_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2542_.Q _1727_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2542_.Q _1763_.B (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _2543_.Q _1762_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2543_.Q _1777_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2543_.Q _1806_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2543_.Q _1807_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2543_.Q _1833_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2544_.Q _1726_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2544_.Q _1775_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2544_.Q _1776_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2544_.Q _1807_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2544_.Q _1831_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2544_.Q _1832_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2545_.Q _1677_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2545_.Q _1742_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2545_.Q _1743_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2545_.Q _1775_.B2 (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _2545_.Q _1783_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2545_.Q _1814_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2545_.Q _1831_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2546_.Q _1650_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2546_.Q _1743_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2546_.Q _1749_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2546_.Q _1784_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2546_.Q _1785_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2546_.Q _1814_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2547_.Q _1616_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2547_.Q _1706_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2547_.Q _1748_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2547_.Q _1750_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2547_.Q _1784_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2547_.Q _1785_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _2548_.Q _1594_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2548_.Q _1683_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2548_.Q _1707_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2548_.Q _1708_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2548_.Q _1748_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2548_.Q _1750_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2549_.Q _1587_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2549_.Q _1685_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2549_.Q _1686_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2549_.Q _1707_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2549_.Q _1708_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2550_.Q _1586_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2550_.Q _1619_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2550_.Q _1637_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2550_.Q _1685_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2550_.Q _1686_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2550_.Q _1688_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2551_.Q _2086_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2551_.Q _2173_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2551_.Q _2177_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2552_.Q _2084_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2552_.Q _2085_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2552_.Q _2087_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2552_.Q _2175_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2553_.Q _2083_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2553_.Q _2088_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2553_.Q _2171_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2554_.Q _2082_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2554_.Q _2090_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2554_.Q _2164_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2554_.Q _2167_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2555_.Q _2079_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2555_.Q _2080_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2555_.Q _2162_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2556_.Q _2078_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2556_.Q _2092_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2556_.Q _2158_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2557_.Q _2077_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2557_.Q _2094_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2557_.Q _2154_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2558_.Q _2076_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2558_.Q _2096_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2558_.Q _2150_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2559_.Q _2075_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2559_.Q _2098_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2559_.Q _2146_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2560_.Q _2074_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2560_.Q _2100_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2560_.Q _2142_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2560_.Q _2487_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2560_.Q ANTENNA__2487__D.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2560_.Q ANTENNA__2142__A0.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2560_.Q ANTENNA__2100__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2560_.Q ANTENNA__2074__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2561_.Q _2073_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2561_.Q _2102_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2561_.Q _2138_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2561_.Q _2488_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2562_.Q _2072_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2562_.Q _2104_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2562_.Q _2133_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2562_.Q _2489_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2563_.Q _2071_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2563_.Q _2106_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2563_.Q _2129_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2563_.Q _2490_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2563_.Q ANTENNA__2490__D.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2563_.Q ANTENNA__2129__A0.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2563_.Q ANTENNA__2106__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2563_.Q ANTENNA__2071__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2564_.Q _2070_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2564_.Q _2108_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2564_.Q _2125_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2564_.Q _2491_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2564_.Q ANTENNA__2491__D.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2564_.Q ANTENNA__2125__A0.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2564_.Q ANTENNA__2108__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2564_.Q ANTENNA__2070__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2565_.Q _2069_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2565_.Q _2110_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2565_.Q _2121_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2565_.Q _2492_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2566_.Q _2068_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2566_.Q _2112_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2566_.Q _2117_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2566_.Q _2493_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2567_.Q _2114_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2567_.Q _2494_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2568_.Q _1332_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2568_.Q _1333_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2568_.Q _1367_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2568_.Q _1368_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2568_.Q _1399_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2568_.Q _1400_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2568_.Q _1435_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2569_.Q _1296_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2569_.Q _1332_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2569_.Q _1367_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2569_.Q _1368_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2569_.Q _1399_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2569_.Q _1400_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2569_.Q _1434_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2569_.Q _1435_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2569_.Q _1464_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2570_.Q _1295_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2570_.Q _1398_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2571_.Q _1266_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2571_.Q _1320_.D (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _2571_.Q _1357_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _2571_.Q _1358_.D (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _2571_.Q _1392_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _2571_.Q _1393_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _2571_.Q _1425_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _2571_.Q _1426_.D (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _2571_.Q _1457_.D (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _2572_.Q _1249_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2572_.Q _1358_.C (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _2572_.Q _1393_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2572_.Q _1425_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2572_.Q _1426_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2572_.Q _1457_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2573_.Q _1245_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2573_.Q _1318_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2573_.Q _1391_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2573_.Q _1427_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _2574_.Q _1242_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2574_.Q _1322_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2574_.Q _1361_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2574_.Q _1362_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2574_.Q _1408_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2574_.Q _1443_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2574_.Q _1444_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2575_.Q _1241_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2575_.Q _1327_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2575_.Q _1379_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2575_.Q _1413_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2576_.Q _1412_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2576_.Q _1427_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2576_.Q _1456_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2576_.Q _1457_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2576_.Q _1483_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2577_.Q _1356_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2577_.Q _1425_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2577_.Q _1426_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2577_.Q _1457_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2577_.Q _1481_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2577_.Q _1482_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2578_.Q _1326_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2578_.Q _1392_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2578_.Q _1393_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2578_.Q _1425_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2578_.Q _1426_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2578_.Q _1433_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2578_.Q _1464_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2579_.Q _1290_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2579_.Q _1393_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2579_.Q _1398_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2579_.Q _1435_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2579_.Q _1464_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2580_.Q _1273_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2580_.Q _1366_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2580_.Q _1399_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2580_.Q _1400_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2580_.Q _1434_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2580_.Q _1435_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2581_.Q _1246_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2581_.Q _1331_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2581_.Q _1367_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2581_.Q _1368_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2581_.Q _1399_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2581_.Q _1400_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2582_.Q _1240_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2582_.Q _1332_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2582_.Q _1334_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2582_.Q _1367_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2582_.Q _1368_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2583_.Q _1239_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2583_.Q _1268_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2583_.Q _1297_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2583_.Q _1332_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2583_.Q _1334_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2583_.Q _1336_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2584_.Q _1943_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2584_.Q _2029_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2584_.Q _2033_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2585_.Q _1941_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2585_.Q _1942_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2585_.Q _1944_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2585_.Q _2031_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2586_.Q _1940_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2586_.Q _1945_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2586_.Q _2027_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2587_.Q _1939_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2587_.Q _1947_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2587_.Q _2020_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2587_.Q _2023_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2588_.Q _1936_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2588_.Q _1937_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2588_.Q _2018_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2589_.Q _1935_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2589_.Q _1949_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2589_.Q _2014_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2590_.Q _1934_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2590_.Q _1951_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2590_.Q _2010_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2591_.Q _1933_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2591_.Q _1953_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2591_.Q _2006_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2592_.Q _1932_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2592_.Q _1955_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2592_.Q _2002_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2593_.Q _1931_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2593_.Q _1957_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2593_.Q _1998_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2593_.Q _2495_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2594_.Q _1930_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2594_.Q _1959_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2594_.Q _1994_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2594_.Q _2496_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2595_.Q _1929_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2595_.Q _1961_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2595_.Q _1989_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2595_.Q _2497_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2596_.Q _1928_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2596_.Q _1963_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2596_.Q _1985_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2596_.Q _2498_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2597_.Q _1927_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2597_.Q _1965_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2597_.Q _1981_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2597_.Q _2499_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2598_.Q _1926_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2598_.Q _1967_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2598_.Q _1977_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2598_.Q _2500_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2599_.Q _1925_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2599_.Q _1969_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2599_.Q hold2.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2600_.Q _1971_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2600_.Q hold1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2601_.Q _1924_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2601_.Q _2086_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2601_.Q _2173_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2601_.Q _2176_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2602_.Q _1922_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2602_.Q _2084_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2602_.Q _2085_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2602_.Q _2087_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2603_.Q _1919_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2603_.Q _2083_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2603_.Q _2088_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2604_.Q _1915_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2604_.Q _2082_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2604_.Q _2090_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2604_.Q _2164_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2605_.Q _1911_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2605_.Q _2079_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2605_.Q _2080_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2606_.Q _1908_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2606_.Q _2078_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2606_.Q _2092_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2607_.Q _1904_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2607_.Q _2077_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2607_.Q _2094_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2608_.Q _1900_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2608_.Q _2076_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2608_.Q _2096_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2609_.Q _1897_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2609_.Q _2075_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2609_.Q _2098_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2610_.Q _1894_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2610_.Q _2074_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2610_.Q _2100_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2611_.Q _1891_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2611_.Q _2073_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2611_.Q _2102_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2612_.Q _1888_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2612_.Q _2072_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2612_.Q _2104_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2613_.Q _1885_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2613_.Q _2071_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2613_.Q _2106_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2614_.Q _1883_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2614_.Q _2070_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2614_.Q _2108_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2615_.Q _1879_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2615_.Q _2069_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2615_.Q _2110_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2615_.Q ANTENNA__2110__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2615_.Q ANTENNA__2069__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2615_.Q ANTENNA__1879__B.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2616_.Q _1876_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2616_.Q _2068_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _2616_.Q _2112_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _2616_.Q ANTENNA__2112__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _2616_.Q ANTENNA__2068__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _2616_.Q ANTENNA__1876__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2617_.Q _2245_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2617_.Q _2251_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2618_.Q _2245_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2618_.Q _2251_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2619_.Q _2246_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2619_.Q _2248_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2619_.Q _2251_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2620_.Q _2247_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2620_.Q _2251_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2621_.Q _2253_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2621_.Q _2261_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2621_.Q _2273_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2622_.Q _2262_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2622_.Q _2273_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2623_.Q _2274_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2623_.Q _2301_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2623_.Q _2316_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2624_.Q _2242_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2624_.Q _2245_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2624_.Q _2246_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2624_.Q _2252_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2624_.Q _2273_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2625_.Q _2325_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2626_.Q _2212_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2627_.Q _2214_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2628_.Q _2216_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2629_.Q _2218_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2630_.Q _2220_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2631_.Q _2222_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2632_.Q _2224_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2633_.Q _1585_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2633_.Q _1943_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2633_.Q _2029_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2633_.Q _2032_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2634_.Q _1583_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2634_.Q _1941_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2634_.Q _1942_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2634_.Q _1944_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2635_.Q _1580_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2635_.Q _1940_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2635_.Q _1945_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2636_.Q _1576_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2636_.Q _1939_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2636_.Q _1947_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2636_.Q _2020_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2637_.Q _1573_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2637_.Q _1936_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2637_.Q _1937_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2638_.Q _1569_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2638_.Q _1935_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2638_.Q _1949_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2639_.Q _1565_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2639_.Q _1934_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2639_.Q _1951_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2640_.Q _1559_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2640_.Q _1933_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2640_.Q _1953_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2641_.Q _1557_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2641_.Q _1932_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2641_.Q _1955_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2642_.Q _1554_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2642_.Q _1931_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2642_.Q _1957_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2643_.Q _1551_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2643_.Q _1930_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2643_.Q _1959_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2644_.Q _1548_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2644_.Q _1929_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2644_.Q _1961_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _2645_.Q _1544_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2645_.Q _1928_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2645_.Q _1963_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2646_.Q _1541_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2646_.Q _1927_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2646_.Q _1965_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2647_.Q _1534_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2647_.Q _1926_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2647_.Q _1967_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2648_.Q _1530_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2648_.Q _1925_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2648_.Q _1969_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _2648_.Q _1973_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT mac_26.LO out[15] (0.000:0.000:0.000))
+    (INTERCONNECT mac_27.LO out[14] (0.000:0.000:0.000))
+    (INTERCONNECT mac_28.LO out[13] (0.000:0.000:0.000))
+    (INTERCONNECT mac_29.LO out[12] (0.000:0.000:0.000))
+    (INTERCONNECT mac_30.LO out[11] (0.000:0.000:0.000))
+    (INTERCONNECT mac_31.LO out[9] (0.000:0.000:0.000))
+    (INTERCONNECT clkbuf_0_clk.X clkbuf_4_0_0_clk.A (0.016:0.016:0.016) (0.015:0.015:0.015))
+    (INTERCONNECT clkbuf_0_clk.X clkbuf_4_1_0_clk.A (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT clkbuf_0_clk.X clkbuf_4_2_0_clk.A (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT clkbuf_0_clk.X clkbuf_4_3_0_clk.A (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT clkbuf_0_clk.X clkbuf_4_4_0_clk.A (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT clkbuf_0_clk.X clkbuf_4_5_0_clk.A (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT clkbuf_0_clk.X clkbuf_4_6_0_clk.A (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT clkbuf_0_clk.X clkbuf_4_7_0_clk.A (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT clkbuf_0_clk.X clkbuf_4_8_0_clk.A (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT clkbuf_0_clk.X clkbuf_4_9_0_clk.A (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT clkbuf_0_clk.X clkbuf_4_10_0_clk.A (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT clkbuf_0_clk.X clkbuf_4_11_0_clk.A (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT clkbuf_0_clk.X clkbuf_4_12_0_clk.A (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT clkbuf_0_clk.X clkbuf_4_13_0_clk.A (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT clkbuf_0_clk.X clkbuf_4_14_0_clk.A (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT clkbuf_0_clk.X clkbuf_4_15_0_clk.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT clkbuf_0_clk.X ANTENNA_clkbuf_4_15_0_clk_A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT clkbuf_0_clk.X ANTENNA_clkbuf_4_14_0_clk_A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT clkbuf_0_clk.X ANTENNA_clkbuf_4_13_0_clk_A.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT clkbuf_0_clk.X ANTENNA_clkbuf_4_12_0_clk_A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT clkbuf_0_clk.X ANTENNA_clkbuf_4_11_0_clk_A.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT clkbuf_0_clk.X ANTENNA_clkbuf_4_10_0_clk_A.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT clkbuf_0_clk.X ANTENNA_clkbuf_4_9_0_clk_A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT clkbuf_0_clk.X ANTENNA_clkbuf_4_8_0_clk_A.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT clkbuf_0_clk.X ANTENNA_clkbuf_4_7_0_clk_A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT clkbuf_0_clk.X ANTENNA_clkbuf_4_6_0_clk_A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT clkbuf_0_clk.X ANTENNA_clkbuf_4_5_0_clk_A.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT clkbuf_0_clk.X ANTENNA_clkbuf_4_4_0_clk_A.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
+    (INTERCONNECT clkbuf_0_clk.X ANTENNA_clkbuf_4_3_0_clk_A.DIODE (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT clkbuf_0_clk.X ANTENNA_clkbuf_4_2_0_clk_A.DIODE (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT clkbuf_0_clk.X ANTENNA_clkbuf_4_1_0_clk_A.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+    (INTERCONNECT clkbuf_0_clk.X ANTENNA_clkbuf_4_0_0_clk_A.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+    (INTERCONNECT input1.X _1527_.A (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT input1.X _1531_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT input1.X _1564_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT input1.X _1993_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT input1.X _2036_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT input1.X _2057_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT input1.X _2137_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT input1.X _2180_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT input1.X ANTENNA__2180__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT input1.X ANTENNA__2137__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT input1.X ANTENNA__2057__A.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT input1.X ANTENNA__2036__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT input1.X ANTENNA__1993__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT input1.X ANTENNA__1564__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT input1.X ANTENNA__1531__A.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT input1.X ANTENNA__1527__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT input2.X _2503_.D (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT input2.X _2511_.D (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT input2.X _2519_.D (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT input2.X _2527_.D (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT input2.X ANTENNA__2527__D.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT input2.X ANTENNA__2519__D.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT input2.X ANTENNA__2511__D.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT input2.X ANTENNA__2503__D.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT input3.X _2504_.D (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT input3.X _2512_.D (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT input3.X _2520_.D (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT input3.X _2528_.D (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT input3.X ANTENNA__2528__D.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT input3.X ANTENNA__2520__D.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT input3.X ANTENNA__2512__D.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT input3.X ANTENNA__2504__D.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT input4.X _2505_.D (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT input4.X _2513_.D (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT input4.X _2521_.D (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT input4.X _2529_.D (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT input4.X ANTENNA__2529__D.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT input4.X ANTENNA__2521__D.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT input4.X ANTENNA__2513__D.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT input4.X ANTENNA__2505__D.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT input5.X _2506_.D (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT input5.X _2514_.D (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT input5.X _2522_.D (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT input5.X _2530_.D (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT input5.X ANTENNA__2530__D.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT input5.X ANTENNA__2522__D.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT input5.X ANTENNA__2514__D.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT input5.X ANTENNA__2506__D.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT input6.X _2507_.D (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT input6.X _2515_.D (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT input6.X _2523_.D (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT input6.X _2531_.D (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT input6.X ANTENNA__2531__D.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT input6.X ANTENNA__2523__D.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT input6.X ANTENNA__2515__D.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT input6.X ANTENNA__2507__D.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT input7.X _2508_.D (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT input7.X _2516_.D (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT input7.X _2524_.D (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT input7.X _2532_.D (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT input7.X ANTENNA__2532__D.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT input7.X ANTENNA__2524__D.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT input7.X ANTENNA__2516__D.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT input7.X ANTENNA__2508__D.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT input8.X _2509_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT input8.X _2517_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT input8.X _2525_.D (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT input8.X _2533_.D (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT input8.X ANTENNA__2533__D.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT input8.X ANTENNA__2525__D.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT input8.X ANTENNA__2517__D.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT input8.X ANTENNA__2509__D.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT input9.X _2510_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT input9.X _2518_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT input9.X _2526_.D (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT input9.X _2534_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT input10.X _2211_.A (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT input10.X _2216_.S (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT input10.X _2218_.S (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT input10.X _2220_.S (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT input10.X _2222_.S (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT input10.X _2224_.S (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT input10.X _2325_.S (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT input10.X ANTENNA__2325__S.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT input10.X ANTENNA__2224__S.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT input10.X ANTENNA__2222__S.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT input10.X ANTENNA__2220__S.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT input10.X ANTENNA__2218__S.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT input10.X ANTENNA__2216__S.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT input10.X ANTENNA__2211__A.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT input11.X _2503_.CLK (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT input11.X _2504_.CLK (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT input11.X _2505_.CLK (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT input11.X _2506_.CLK (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT input11.X _2507_.CLK (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT input11.X _2508_.CLK (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT input11.X _2509_.CLK (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT input11.X _2510_.CLK (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT input11.X ANTENNA__2510__CLK.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT input11.X ANTENNA__2509__CLK.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT input11.X ANTENNA__2508__CLK.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT input11.X ANTENNA__2507__CLK.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT input11.X ANTENNA__2506__CLK.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT input11.X ANTENNA__2505__CLK.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT input11.X ANTENNA__2504__CLK.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT input11.X ANTENNA__2503__CLK.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT input12.X _2511_.CLK (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT input12.X _2512_.CLK (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT input12.X _2513_.CLK (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT input12.X _2514_.CLK (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT input12.X _2515_.CLK (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT input12.X _2516_.CLK (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT input12.X _2517_.CLK (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT input12.X _2518_.CLK (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT input12.X ANTENNA__2518__CLK.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT input12.X ANTENNA__2517__CLK.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT input12.X ANTENNA__2516__CLK.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT input12.X ANTENNA__2515__CLK.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT input12.X ANTENNA__2514__CLK.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT input12.X ANTENNA__2513__CLK.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT input12.X ANTENNA__2512__CLK.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT input12.X ANTENNA__2511__CLK.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT input13.X _2519_.CLK (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT input13.X _2520_.CLK (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT input13.X _2521_.CLK (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT input13.X _2522_.CLK (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT input13.X _2523_.CLK (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT input13.X _2524_.CLK (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT input13.X _2525_.CLK (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT input13.X _2526_.CLK (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT input13.X ANTENNA__2526__CLK.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT input13.X ANTENNA__2525__CLK.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT input13.X ANTENNA__2524__CLK.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT input13.X ANTENNA__2523__CLK.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT input13.X ANTENNA__2522__CLK.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT input13.X ANTENNA__2521__CLK.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT input13.X ANTENNA__2520__CLK.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT input13.X ANTENNA__2519__CLK.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT input14.X _2527_.CLK (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT input14.X _2528_.CLK (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT input14.X _2529_.CLK (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT input14.X _2530_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT input14.X _2531_.CLK (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT input14.X _2532_.CLK (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT input14.X _2533_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT input14.X _2534_.CLK (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT input14.X ANTENNA__2534__CLK.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT input14.X ANTENNA__2533__CLK.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT input14.X ANTENNA__2532__CLK.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT input14.X ANTENNA__2531__CLK.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT input14.X ANTENNA__2530__CLK.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT input14.X ANTENNA__2529__CLK.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT input14.X ANTENNA__2528__CLK.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT input14.X ANTENNA__2527__CLK.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT input15.X _2243_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT input15.X _2267_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT input15.X _2282_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT input15.X _2327_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT input15.X ANTENNA__2327__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT input15.X ANTENNA__2282__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT input15.X ANTENNA__2267__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT input15.X ANTENNA__2243__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT output16.X out[10] (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT output17.X out[1] (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT output18.X out[2] (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT output19.X out[3] (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT output20.X out[4] (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT output21.X out[5] (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT output22.X out[6] (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT output23.X out[7] (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT output24.X out[8] (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT mac_25.LO out[0] (0.000:0.000:0.000))
+    (INTERCONNECT clkbuf_4_0_0_clk.X _2544_.CLK (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT clkbuf_4_0_0_clk.X _2543_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT clkbuf_4_0_0_clk.X _2542_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_0_0_clk.X _2541_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_0_0_clk.X _2539_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_0_0_clk.X _2537_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_0_0_clk.X _2535_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_1_0_clk.X _2549_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_1_0_clk.X _2548_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_1_0_clk.X _2547_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_1_0_clk.X _2540_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_1_0_clk.X _2538_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_1_0_clk.X _2536_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_2_0_clk.X _2583_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_2_0_clk.X _2580_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_2_0_clk.X _2576_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_2_0_clk.X _2572_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_2_0_clk.X _2571_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_2_0_clk.X _2569_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_2_0_clk.X _2568_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT clkbuf_4_3_0_clk.X _2582_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT clkbuf_4_3_0_clk.X _2581_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT clkbuf_4_3_0_clk.X _2579_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT clkbuf_4_3_0_clk.X _2578_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_4_3_0_clk.X _2577_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT clkbuf_4_3_0_clk.X _2575_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT clkbuf_4_3_0_clk.X _2574_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT clkbuf_4_3_0_clk.X _2573_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT clkbuf_4_3_0_clk.X _2570_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_3_0_clk.X ANTENNA__2570__CLK.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT clkbuf_4_3_0_clk.X ANTENNA__2573__CLK.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_3_0_clk.X ANTENNA__2574__CLK.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT clkbuf_4_3_0_clk.X ANTENNA__2575__CLK.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT clkbuf_4_3_0_clk.X ANTENNA__2577__CLK.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT clkbuf_4_3_0_clk.X ANTENNA__2578__CLK.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT clkbuf_4_3_0_clk.X ANTENNA__2579__CLK.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT clkbuf_4_3_0_clk.X ANTENNA__2581__CLK.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT clkbuf_4_3_0_clk.X ANTENNA__2582__CLK.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT clkbuf_4_4_0_clk.X _2602_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_4_0_clk.X _2601_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_4_0_clk.X _2551_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_4_0_clk.X _2550_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_4_0_clk.X _2546_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_4_4_0_clk.X _2545_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_4_4_0_clk.X ANTENNA__2545__CLK.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT clkbuf_4_4_0_clk.X ANTENNA__2546__CLK.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT clkbuf_4_4_0_clk.X ANTENNA__2550__CLK.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT clkbuf_4_4_0_clk.X ANTENNA__2551__CLK.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_4_4_0_clk.X ANTENNA__2601__CLK.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_4_4_0_clk.X ANTENNA__2602__CLK.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_5_0_clk.X _2613_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT clkbuf_4_5_0_clk.X _2612_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT clkbuf_4_5_0_clk.X _2611_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT clkbuf_4_5_0_clk.X _2610_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT clkbuf_4_5_0_clk.X _2609_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_5_0_clk.X _2608_.CLK (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT clkbuf_4_5_0_clk.X _2603_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT clkbuf_4_6_0_clk.X _2615_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_6_0_clk.X _2614_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_6_0_clk.X _2564_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_6_0_clk.X _2557_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_6_0_clk.X _2556_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_6_0_clk.X _2555_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_6_0_clk.X _2553_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_6_0_clk.X _2552_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_7_0_clk.X _2616_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_7_0_clk.X _2607_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_4_7_0_clk.X _2606_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_4_7_0_clk.X _2605_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_4_7_0_clk.X _2604_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_4_7_0_clk.X _2563_.CLK (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_4_7_0_clk.X _2562_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT clkbuf_4_7_0_clk.X _2559_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT clkbuf_4_7_0_clk.X _2558_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT clkbuf_4_7_0_clk.X _2554_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_4_7_0_clk.X ANTENNA__2554__CLK.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_4_7_0_clk.X ANTENNA__2558__CLK.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_4_7_0_clk.X ANTENNA__2559__CLK.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT clkbuf_4_7_0_clk.X ANTENNA__2562__CLK.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_4_7_0_clk.X ANTENNA__2563__CLK.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_4_7_0_clk.X ANTENNA__2604__CLK.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_7_0_clk.X ANTENNA__2605__CLK.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_4_7_0_clk.X ANTENNA__2606__CLK.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_4_7_0_clk.X ANTENNA__2607__CLK.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_4_7_0_clk.X ANTENNA__2616__CLK.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_4_8_0_clk.X _2629_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_8_0_clk.X _2628_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_8_0_clk.X _2618_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_8_0_clk.X _2596_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_4_8_0_clk.X _2595_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_4_8_0_clk.X _2497_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_8_0_clk.X _2496_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_4_8_0_clk.X _2494_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_4_8_0_clk.X _2491_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_4_9_0_clk.X _2627_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT clkbuf_4_9_0_clk.X _2626_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT clkbuf_4_9_0_clk.X _2625_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT clkbuf_4_9_0_clk.X _2594_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT clkbuf_4_9_0_clk.X _2593_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT clkbuf_4_9_0_clk.X _2592_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT clkbuf_4_9_0_clk.X _2495_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT clkbuf_4_9_0_clk.X _2487_.CLK (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT clkbuf_4_10_0_clk.X _2648_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_10_0_clk.X _2647_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_10_0_clk.X _2646_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_10_0_clk.X _2645_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_10_0_clk.X _2624_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_10_0_clk.X _2620_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_10_0_clk.X _2600_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_10_0_clk.X _2599_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_10_0_clk.X _2598_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_10_0_clk.X _2597_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_11_0_clk.X _2632_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_4_11_0_clk.X _2631_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_11_0_clk.X _2630_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_11_0_clk.X _2623_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_4_11_0_clk.X _2622_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_4_11_0_clk.X _2621_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_4_11_0_clk.X _2619_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_4_11_0_clk.X _2617_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_4_11_0_clk.X _2567_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_11_0_clk.X _2502_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_4_11_0_clk.X _2501_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_4_11_0_clk.X _2500_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_4_11_0_clk.X _2499_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_4_11_0_clk.X _2498_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_4_11_0_clk.X _2493_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_12_0_clk.X _2637_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_12_0_clk.X _2634_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_12_0_clk.X _2589_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_12_0_clk.X _2588_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_12_0_clk.X _2586_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_12_0_clk.X _2560_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_4_12_0_clk.X _2489_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_4_13_0_clk.X _2640_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_13_0_clk.X _2638_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_13_0_clk.X _2636_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_13_0_clk.X _2635_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_13_0_clk.X _2590_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_13_0_clk.X _2587_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_4_13_0_clk.X _2585_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_13_0_clk.X _2561_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_4_13_0_clk.X _2488_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_4_14_0_clk.X _2644_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_14_0_clk.X _2643_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT clkbuf_4_14_0_clk.X _2633_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_4_14_0_clk.X _2566_.CLK (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT clkbuf_4_14_0_clk.X _2565_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT clkbuf_4_14_0_clk.X _2492_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT clkbuf_4_14_0_clk.X _2490_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT clkbuf_4_15_0_clk.X _2642_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT clkbuf_4_15_0_clk.X _2641_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT clkbuf_4_15_0_clk.X _2639_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT clkbuf_4_15_0_clk.X _2591_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT clkbuf_4_15_0_clk.X _2584_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT hold1.X _2502_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT hold2.X _2501_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _1239_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.374:0.374:0.374) (0.268:0.268:0.268))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _1240_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.394:0.394:0.394) (0.279:0.279:0.279))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _1241_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.370:0.370:0.370) (0.266:0.266:0.266))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _1242_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.254:0.254:0.254) (0.210:0.210:0.210))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_1")
+  (INSTANCE _1243_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.186:0.186:0.186) (0.233:0.233:0.233))
+    (IOPATH B Y (0.208:0.208:0.208) (0.238:0.238:0.238))
+    (IOPATH C Y (0.209:0.209:0.209) (0.226:0.226:0.226))
+    (IOPATH D Y (0.165:0.165:0.165) (0.195:0.195:0.195))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _1244_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.235:0.235:0.235) (0.272:0.272:0.272))
+    (IOPATH A2 X (0.227:0.227:0.227) (0.292:0.292:0.292))
+    (IOPATH B1 X (0.181:0.181:0.181) (0.212:0.212:0.212))
+    (IOPATH B2 X (0.212:0.212:0.212) (0.264:0.264:0.264))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _1245_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.375:0.375:0.375) (0.268:0.268:0.268))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _1246_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.370:0.370:0.370) (0.265:0.265:0.265))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1247_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.155:0.155:0.155) (0.158:0.158:0.158))
+    (IOPATH B Y (0.160:0.160:0.160) (0.154:0.154:0.154))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1248_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.118:0.118:0.118) (0.109:0.109:0.109))
+    (IOPATH B Y (0.095:0.095:0.095) (0.090:0.090:0.090))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _1249_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.331:0.331:0.331) (0.277:0.277:0.277))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _1250_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.257:0.257:0.257) (0.235:0.235:0.235))
+    (IOPATH B X (0.239:0.239:0.239) (0.252:0.252:0.252))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _1251_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.308:0.308:0.308) (0.251:0.251:0.251))
+    (IOPATH B X (0.307:0.307:0.307) (0.272:0.272:0.272))
+    (IOPATH C X (0.257:0.257:0.257) (0.240:0.240:0.240))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _1252_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.154:0.156:0.158) (0.312:0.319:0.327))
+    (IOPATH A1 X (0.198:0.198:0.198) (0.337:0.337:0.337))
+    (IOPATH S X (0.241:0.241:0.242) (0.367:0.367:0.367))
+    (IOPATH S X (0.188:0.188:0.189) (0.349:0.350:0.350))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _1253_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.233:0.237:0.241) (0.099:0.100:0.100))
+    (IOPATH A X (0.210:0.210:0.210) (0.199:0.203:0.206))
+    (IOPATH B X (0.201:0.201:0.201) (0.089:0.089:0.090))
+    (IOPATH B X (0.209:0.209:0.209) (0.168:0.168:0.168))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _1254_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.263:0.263:0.263) (0.225:0.225:0.225))
+    (IOPATH B X (0.262:0.262:0.262) (0.246:0.246:0.246))
+    (IOPATH C X (0.221:0.223:0.224) (0.204:0.208:0.211))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _1255_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.202:0.204:0.207) (0.213:0.221:0.229))
+    (IOPATH B X (0.188:0.188:0.188) (0.185:0.185:0.185))
+    (IOPATH C X (0.197:0.198:0.198) (0.202:0.202:0.203))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _1256_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.153:0.160:0.166) (0.075:0.077:0.078))
+    (IOPATH A2 Y (0.129:0.129:0.130) (0.062:0.063:0.063))
+    (IOPATH B1 Y (0.104:0.104:0.105) (0.045:0.045:0.045))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _1257_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.135:0.135:0.135) (0.248:0.249:0.249))
+    (IOPATH B X (0.129:0.131:0.133) (0.224:0.227:0.229))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o2bb2ai_1")
+  (INSTANCE _1258_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1_N Y (0.197:0.197:0.197) (0.185:0.185:0.185))
+    (IOPATH A2_N Y (0.187:0.187:0.187) (0.174:0.175:0.175))
+    (IOPATH B1 Y (0.252:0.252:0.252) (0.115:0.116:0.116))
+    (IOPATH B2 Y (0.250:0.254:0.257) (0.109:0.109:0.110))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _1259_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.207:0.207:0.207) (0.187:0.187:0.187))
+    (IOPATH B X (0.152:0.163:0.174) (0.177:0.179:0.182))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _1260_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.254:0.254:0.254) (0.221:0.221:0.221))
+    (IOPATH B X (0.251:0.251:0.251) (0.239:0.239:0.239))
+    (IOPATH C X (0.186:0.187:0.189) (0.201:0.210:0.219))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _1261_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.148:0.148:0.148) (0.196:0.196:0.197))
+    (IOPATH A2 X (0.124:0.124:0.124) (0.175:0.175:0.175))
+    (IOPATH B1 X (0.142:0.143:0.143) (0.114:0.115:0.116))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _1262_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.202:0.202:0.202) (0.135:0.135:0.135))
+    (IOPATH A2 Y (0.217:0.217:0.217) (0.139:0.139:0.139))
+    (IOPATH B1 Y (0.144:0.147:0.150) (0.074:0.075:0.076))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1263_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.148:0.149:0.149) (0.072:0.072:0.073))
+    (IOPATH B Y (0.135:0.143:0.151) (0.075:0.076:0.077))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _1264_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.204:0.204:0.204) (0.148:0.148:0.148))
+    (IOPATH A2 Y (0.217:0.217:0.217) (0.144:0.144:0.144))
+    (IOPATH B1 Y (0.177:0.177:0.177) (0.100:0.100:0.100))
+    (IOPATH B2 Y (0.196:0.196:0.196) (0.113:0.113:0.113))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _1265_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.172:0.172:0.173) (0.275:0.276:0.276))
+    (IOPATH B X (0.163:0.165:0.166) (0.258:0.262:0.265))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _1266_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.357:0.357:0.357) (0.301:0.301:0.301))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _1267_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.198:0.198:0.198) (0.227:0.227:0.227))
+    (IOPATH A2 X (0.198:0.198:0.198) (0.254:0.254:0.254))
+    (IOPATH B1 X (0.131:0.131:0.131) (0.182:0.182:0.182))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _1268_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.192:0.192:0.192) (0.175:0.175:0.175))
+    (IOPATH B X (0.238:0.238:0.238) (0.250:0.250:0.250))
+    (IOPATH C X (0.198:0.199:0.199) (0.205:0.205:0.205))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _1269_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.243:0.243:0.243) (0.255:0.255:0.255))
+    (IOPATH A2 X (0.249:0.249:0.249) (0.285:0.285:0.285))
+    (IOPATH A3 X (0.189:0.190:0.190) (0.245:0.246:0.246))
+    (IOPATH B1 X (0.146:0.146:0.146) (0.213:0.214:0.214))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _1270_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.193:0.193:0.193) (0.129:0.129:0.129))
+    (IOPATH A2 Y (0.179:0.179:0.179) (0.107:0.107:0.107))
+    (IOPATH B1 Y (0.138:0.139:0.139) (0.056:0.057:0.058))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _1271_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.263:0.263:0.263) (0.224:0.224:0.224))
+    (IOPATH B X (0.229:0.229:0.229) (0.208:0.208:0.208))
+    (IOPATH C X (0.205:0.205:0.206) (0.207:0.207:0.208))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1272_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.197:0.207:0.218) (0.092:0.094:0.095))
+    (IOPATH B Y (0.187:0.187:0.188) (0.080:0.081:0.081))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _1273_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.401:0.401:0.401) (0.282:0.282:0.282))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1274_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.131:0.131:0.131) (0.123:0.123:0.123))
+    (IOPATH B Y (0.132:0.132:0.132) (0.121:0.121:0.121))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _1275_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.187:0.187:0.187) (0.156:0.157:0.159))
+    (IOPATH A Y (0.237:0.239:0.240) (0.139:0.139:0.139))
+    (IOPATH B Y (0.160:0.160:0.160) (0.166:0.171:0.175))
+    (IOPATH B Y (0.230:0.235:0.239) (0.096:0.096:0.096))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _1276_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.218:0.218:0.218) (0.199:0.199:0.199))
+    (IOPATH B X (0.151:0.164:0.176) (0.176:0.181:0.185))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _1277_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.183:0.183:0.183) (0.158:0.160:0.161))
+    (IOPATH B X (0.164:0.164:0.164) (0.176:0.176:0.176))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31oi_2")
+  (INSTANCE _1278_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.255:0.255:0.255) (0.199:0.199:0.199))
+    (IOPATH A2 Y (0.286:0.286:0.286) (0.186:0.186:0.186))
+    (IOPATH A3 Y (0.253:0.255:0.256) (0.154:0.154:0.154))
+    (IOPATH B1 Y (0.216:0.217:0.217) (0.066:0.067:0.067))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1279_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.102:0.103:0.104) (0.065:0.065:0.065))
+    (IOPATH B Y (0.086:0.086:0.086) (0.042:0.042:0.043))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1280_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.160:0.160:0.160) (0.075:0.075:0.075))
+    (IOPATH B Y (0.134:0.137:0.140) (0.064:0.064:0.064))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _1281_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.203:0.225:0.248) (0.212:0.216:0.220))
+    (IOPATH B X (0.159:0.159:0.159) (0.162:0.163:0.164))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _1282_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.155:0.164:0.174) (0.153:0.156:0.159))
+    (IOPATH A Y (0.210:0.212:0.215) (0.106:0.118:0.131))
+    (IOPATH B Y (0.140:0.140:0.140) (0.144:0.144:0.144))
+    (IOPATH B Y (0.185:0.186:0.186) (0.082:0.082:0.082))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _1283_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.150:0.150:0.150) (0.197:0.197:0.198))
+    (IOPATH A2 X (0.125:0.125:0.125) (0.175:0.176:0.176))
+    (IOPATH B1 X (0.139:0.152:0.165) (0.115:0.121:0.127))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _1284_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.053:0.054:0.055) (0.040:0.040:0.040))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1285_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.155:0.155:0.155) (0.153:0.153:0.153))
+    (IOPATH B Y (0.155:0.155:0.155) (0.147:0.147:0.147))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _1286_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.257:0.257:0.257) (0.177:0.177:0.177))
+    (IOPATH A2 Y (0.270:0.270:0.270) (0.154:0.154:0.154))
+    (IOPATH B1 Y (0.240:0.240:0.240) (0.138:0.138:0.138))
+    (IOPATH B2 Y (0.250:0.250:0.250) (0.148:0.148:0.148))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _1287_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.252:0.252:0.252) (0.219:0.219:0.219))
+    (IOPATH B X (0.242:0.242:0.242) (0.252:0.252:0.252))
+    (IOPATH C X (0.202:0.202:0.202) (0.207:0.207:0.207))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _1288_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.242:0.246:0.250) (0.117:0.117:0.117))
+    (IOPATH A2 Y (0.223:0.229:0.234) (0.126:0.127:0.129))
+    (IOPATH B1_N Y (0.157:0.157:0.158) (0.186:0.186:0.187))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _1289_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.248:0.248:0.248) (0.220:0.220:0.220))
+    (IOPATH B X (0.208:0.208:0.208) (0.197:0.197:0.197))
+    (IOPATH C X (0.189:0.199:0.209) (0.207:0.208:0.208))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _1290_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.387:0.387:0.387) (0.273:0.273:0.273))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _1291_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.201:0.201:0.201) (0.134:0.134:0.134))
+    (IOPATH A2 Y (0.180:0.180:0.180) (0.107:0.107:0.107))
+    (IOPATH B1 Y (0.149:0.149:0.150) (0.062:0.069:0.076))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1292_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.122:0.133:0.144) (0.070:0.071:0.072))
+    (IOPATH B Y (0.107:0.108:0.108) (0.051:0.051:0.052))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _1293_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.262:0.262:0.262) (0.225:0.225:0.225))
+    (IOPATH B X (0.258:0.258:0.258) (0.242:0.242:0.242))
+    (IOPATH C X (0.203:0.203:0.203) (0.193:0.196:0.198))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _1294_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.149:0.150:0.151) (0.261:0.261:0.262))
+    (IOPATH B X (0.154:0.154:0.155) (0.246:0.246:0.247))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _1295_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.347:0.347:0.347) (0.249:0.249:0.249))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _1296_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.306:0.306:0.306) (0.266:0.266:0.266))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _1297_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.252:0.252:0.252) (0.198:0.198:0.198))
+    (IOPATH B X (0.321:0.321:0.321) (0.271:0.271:0.271))
+    (IOPATH C X (0.312:0.312:0.312) (0.283:0.283:0.283))
+    (IOPATH D X (0.288:0.288:0.288) (0.283:0.283:0.283))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1298_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.148:0.153:0.158) (0.089:0.090:0.091))
+    (IOPATH B Y (0.117:0.117:0.118) (0.058:0.058:0.059))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _1299_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.158:0.158:0.158) (0.172:0.177:0.183))
+    (IOPATH A Y (0.241:0.246:0.250) (0.105:0.105:0.105))
+    (IOPATH B Y (0.163:0.163:0.163) (0.139:0.144:0.149))
+    (IOPATH B Y (0.196:0.201:0.205) (0.101:0.101:0.101))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1300_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.099:0.099:0.099) (0.083:0.083:0.083))
+    (IOPATH B Y (0.103:0.103:0.103) (0.079:0.079:0.079))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _1301_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.259:0.259:0.259) (0.225:0.225:0.225))
+    (IOPATH B X (0.258:0.258:0.258) (0.245:0.245:0.245))
+    (IOPATH C X (0.191:0.191:0.191) (0.202:0.209:0.216))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _1302_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.152:0.166:0.181) (0.154:0.156:0.158))
+    (IOPATH B X (0.154:0.154:0.155) (0.169:0.170:0.171))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1303_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.126:0.126:0.126) (0.119:0.119:0.119))
+    (IOPATH B Y (0.134:0.134:0.134) (0.122:0.122:0.122))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _1304_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.197:0.198:0.199) (0.190:0.190:0.190))
+    (IOPATH B X (0.136:0.136:0.137) (0.164:0.164:0.164))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _1305_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.148:0.148:0.148) (0.160:0.163:0.167))
+    (IOPATH A Y (0.225:0.228:0.231) (0.095:0.095:0.095))
+    (IOPATH B Y (0.140:0.140:0.140) (0.137:0.137:0.137))
+    (IOPATH B Y (0.188:0.189:0.189) (0.079:0.079:0.079))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _1306_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.189:0.189:0.189) (0.222:0.223:0.224))
+    (IOPATH A2 X (0.159:0.159:0.159) (0.198:0.198:0.198))
+    (IOPATH B1 X (0.173:0.187:0.200) (0.137:0.139:0.142))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _1307_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.230:0.230:0.230) (0.153:0.153:0.153))
+    (IOPATH A2 Y (0.241:0.241:0.241) (0.153:0.153:0.153))
+    (IOPATH B1 Y (0.163:0.165:0.167) (0.070:0.070:0.070))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_1")
+  (INSTANCE _1308_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.171:0.172:0.172) (0.068:0.068:0.069))
+    (IOPATH B Y (0.149:0.150:0.150) (0.050:0.050:0.050))
+    (IOPATH C Y (0.118:0.120:0.122) (0.047:0.055:0.062))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _1309_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.156:0.156:0.157) (0.264:0.265:0.266))
+    (IOPATH B X (0.160:0.160:0.160) (0.236:0.239:0.242))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_1")
+  (INSTANCE _1310_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.272:0.284:0.296) (0.099:0.101:0.103))
+    (IOPATH B Y (0.260:0.261:0.261) (0.075:0.076:0.077))
+    (IOPATH C Y (0.231:0.232:0.232) (0.072:0.072:0.072))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _1311_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.146:0.146:0.146) (0.150:0.150:0.150))
+    (IOPATH A Y (0.206:0.206:0.206) (0.096:0.096:0.096))
+    (IOPATH B Y (0.148:0.159:0.171) (0.144:0.148:0.153))
+    (IOPATH B Y (0.185:0.189:0.193) (0.089:0.102:0.114))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _1312_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.155:0.155:0.155) (0.200:0.201:0.202))
+    (IOPATH A2 X (0.170:0.170:0.170) (0.179:0.183:0.187))
+    (IOPATH B1 X (0.142:0.155:0.168) (0.116:0.120:0.124))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_1")
+  (INSTANCE _1313_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.201:0.201:0.202) (0.069:0.069:0.069))
+    (IOPATH B Y (0.182:0.186:0.190) (0.092:0.092:0.092))
+    (IOPATH C Y (0.148:0.151:0.154) (0.052:0.061:0.070))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1314_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.165:0.166:0.167) (0.067:0.067:0.067))
+    (IOPATH B Y (0.147:0.154:0.160) (0.089:0.089:0.089))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _1315_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.141:0.141:0.141) (0.181:0.181:0.181))
+    (IOPATH A2 X (0.160:0.160:0.160) (0.190:0.193:0.196))
+    (IOPATH B1 X (0.106:0.106:0.106) (0.165:0.166:0.167))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _1316_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.152:0.157:0.161) (0.132:0.155:0.178))
+    (IOPATH A Y (0.179:0.200:0.220) (0.107:0.112:0.117))
+    (IOPATH B Y (0.150:0.150:0.150) (0.127:0.129:0.130))
+    (IOPATH B Y (0.159:0.160:0.161) (0.094:0.094:0.094))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _1317_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.153:0.153:0.154) (0.154:0.154:0.155))
+    (IOPATH B X (0.158:0.169:0.180) (0.174:0.178:0.183))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1318_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.151:0.151:0.151) (0.151:0.151:0.151))
+    (IOPATH B Y (0.118:0.118:0.118) (0.103:0.103:0.103))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _1319_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.270:0.270:0.270) (0.187:0.187:0.187))
+    (IOPATH A2 Y (0.277:0.277:0.277) (0.157:0.157:0.157))
+    (IOPATH B1 Y (0.247:0.247:0.247) (0.142:0.142:0.142))
+    (IOPATH B2 Y (0.254:0.254:0.254) (0.150:0.150:0.150))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _1320_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.270:0.270:0.270) (0.216:0.216:0.216))
+    (IOPATH B X (0.280:0.280:0.280) (0.250:0.250:0.250))
+    (IOPATH C X (0.253:0.253:0.253) (0.258:0.258:0.258))
+    (IOPATH D X (0.225:0.225:0.225) (0.231:0.231:0.231))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _1321_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.238:0.249:0.259) (0.119:0.121:0.122))
+    (IOPATH A2 Y (0.234:0.241:0.248) (0.134:0.135:0.136))
+    (IOPATH B1_N Y (0.158:0.159:0.160) (0.188:0.188:0.189))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _1322_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.210:0.210:0.210) (0.208:0.208:0.208))
+    (IOPATH B X (0.162:0.162:0.162) (0.185:0.185:0.185))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1323_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.119:0.119:0.119) (0.064:0.072:0.080))
+    (IOPATH B Y (0.086:0.086:0.086) (0.044:0.044:0.045))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _1324_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.203:0.215:0.228) (0.192:0.192:0.193))
+    (IOPATH B X (0.189:0.189:0.190) (0.189:0.189:0.190))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1325_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.146:0.152:0.157) (0.068:0.068:0.068))
+    (IOPATH B Y (0.147:0.148:0.148) (0.078:0.078:0.078))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _1326_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.249:0.249:0.249) (0.209:0.209:0.209))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _1327_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.200:0.200:0.200) (0.183:0.183:0.183))
+    (IOPATH B X (0.187:0.187:0.187) (0.200:0.200:0.200))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1328_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.102:0.104:0.105) (0.068:0.068:0.068))
+    (IOPATH B Y (0.092:0.092:0.093) (0.052:0.052:0.052))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _1329_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.197:0.197:0.197) (0.164:0.166:0.167))
+    (IOPATH B X (0.186:0.186:0.186) (0.189:0.189:0.190))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _1330_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.137:0.137:0.137) (0.245:0.247:0.249))
+    (IOPATH B X (0.145:0.145:0.146) (0.237:0.237:0.237))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _1331_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.191:0.191:0.191) (0.180:0.180:0.180))
+    (IOPATH B X (0.238:0.238:0.238) (0.244:0.244:0.244))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _1332_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.213:0.213:0.213) (0.259:0.259:0.259))
+    (IOPATH A2 X (0.201:0.201:0.201) (0.279:0.279:0.279))
+    (IOPATH B1 X (0.191:0.191:0.191) (0.225:0.225:0.225))
+    (IOPATH B2 X (0.190:0.190:0.190) (0.244:0.244:0.244))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _1333_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.374:0.374:0.374) (0.267:0.267:0.267))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_1")
+  (INSTANCE _1334_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.123:0.123:0.123) (0.139:0.139:0.139))
+    (IOPATH B Y (0.149:0.149:0.149) (0.160:0.160:0.160))
+    (IOPATH C Y (0.180:0.180:0.180) (0.177:0.177:0.177))
+    (IOPATH D Y (0.183:0.183:0.183) (0.198:0.198:0.198))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _1335_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.178:0.178:0.178) (0.211:0.211:0.211))
+    (IOPATH A2 X (0.176:0.176:0.176) (0.236:0.236:0.236))
+    (IOPATH B1_N X (0.263:0.266:0.270) (0.250:0.254:0.257))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _1336_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.229:0.229:0.229) (0.162:0.162:0.162))
+    (IOPATH A2 Y (0.240:0.240:0.240) (0.156:0.156:0.156))
+    (IOPATH B1 Y (0.191:0.191:0.191) (0.109:0.109:0.109))
+    (IOPATH B2 Y (0.181:0.181:0.181) (0.088:0.088:0.088))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1337_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.169:0.170:0.171) (0.085:0.085:0.085))
+    (IOPATH B Y (0.153:0.162:0.172) (0.085:0.086:0.087))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1338_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.144:0.151:0.157) (0.088:0.089:0.090))
+    (IOPATH B Y (0.110:0.111:0.112) (0.054:0.054:0.055))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _1339_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.161:0.163:0.164) (0.161:0.174:0.186))
+    (IOPATH A Y (0.239:0.250:0.261) (0.107:0.109:0.110))
+    (IOPATH B Y (0.167:0.167:0.168) (0.143:0.149:0.154))
+    (IOPATH B Y (0.207:0.212:0.217) (0.104:0.105:0.105))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _1340_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.207:0.207:0.208) (0.097:0.097:0.098))
+    (IOPATH A X (0.200:0.200:0.200) (0.181:0.182:0.182))
+    (IOPATH B X (0.182:0.183:0.184) (0.109:0.109:0.109))
+    (IOPATH B X (0.211:0.211:0.211) (0.156:0.158:0.159))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1341_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.100:0.102:0.104) (0.091:0.106:0.121))
+    (IOPATH B Y (0.098:0.104:0.110) (0.108:0.110:0.111))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _1342_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.158:0.158:0.158) (0.202:0.202:0.202))
+    (IOPATH A2 X (0.169:0.169:0.169) (0.218:0.220:0.221))
+    (IOPATH B1_N X (0.219:0.225:0.230) (0.232:0.233:0.234))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _1343_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.163:0.174:0.185) (0.157:0.159:0.161))
+    (IOPATH A Y (0.237:0.239:0.241) (0.108:0.122:0.136))
+    (IOPATH B Y (0.163:0.163:0.164) (0.151:0.152:0.152))
+    (IOPATH B Y (0.216:0.216:0.217) (0.099:0.099:0.100))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _1344_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.220:0.221:0.221) (0.095:0.095:0.095))
+    (IOPATH A X (0.212:0.212:0.212) (0.181:0.181:0.181))
+    (IOPATH B X (0.206:0.210:0.214) (0.099:0.115:0.130))
+    (IOPATH B X (0.222:0.230:0.238) (0.166:0.170:0.174))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _1345_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.114:0.127:0.140) (0.230:0.234:0.238))
+    (IOPATH B_N X (0.157:0.158:0.158) (0.235:0.235:0.235))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _1346_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.174:0.174:0.174) (0.222:0.222:0.222))
+    (IOPATH A2 X (0.182:0.183:0.184) (0.200:0.208:0.216))
+    (IOPATH B1 X (0.155:0.156:0.156) (0.131:0.132:0.132))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1347_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.130:0.142:0.155) (0.080:0.082:0.083))
+    (IOPATH B Y (0.116:0.117:0.117) (0.059:0.059:0.060))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _1348_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.162:0.162:0.162) (0.142:0.146:0.149))
+    (IOPATH A Y (0.214:0.217:0.221) (0.110:0.110:0.110))
+    (IOPATH B Y (0.158:0.158:0.158) (0.156:0.156:0.157))
+    (IOPATH B Y (0.211:0.211:0.211) (0.096:0.096:0.096))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _1349_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.118:0.118:0.118) (0.231:0.232:0.233))
+    (IOPATH B_N X (0.165:0.167:0.169) (0.241:0.250:0.259))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _1350_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.138:0.138:0.138) (0.137:0.138:0.139))
+    (IOPATH A Y (0.182:0.183:0.184) (0.090:0.091:0.091))
+    (IOPATH B Y (0.136:0.147:0.159) (0.137:0.139:0.141))
+    (IOPATH B Y (0.166:0.168:0.169) (0.081:0.092:0.104))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _1351_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.202:0.202:0.203) (0.116:0.116:0.116))
+    (IOPATH A2 Y (0.186:0.187:0.187) (0.098:0.098:0.098))
+    (IOPATH B1 Y (0.118:0.121:0.124) (0.092:0.106:0.120))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _1352_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.137:0.137:0.137) (0.143:0.143:0.143))
+    (IOPATH A Y (0.187:0.187:0.187) (0.089:0.089:0.089))
+    (IOPATH B Y (0.150:0.150:0.150) (0.127:0.130:0.133))
+    (IOPATH B Y (0.156:0.159:0.162) (0.094:0.094:0.094))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _1353_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.227:0.227:0.227) (0.092:0.093:0.093))
+    (IOPATH A2 Y (0.251:0.255:0.260) (0.123:0.130:0.136))
+    (IOPATH B1 Y (0.218:0.220:0.221) (0.068:0.081:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _1354_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.128:0.129:0.129) (0.372:0.372:0.373))
+    (IOPATH B X (0.121:0.121:0.121) (0.346:0.347:0.347))
+    (IOPATH C X (0.102:0.115:0.127) (0.304:0.306:0.308))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1355_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.094:0.099:0.104) (0.096:0.102:0.107))
+    (IOPATH B Y (0.102:0.102:0.102) (0.070:0.070:0.071))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _1356_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.284:0.284:0.284) (0.232:0.232:0.232))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _1357_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.212:0.212:0.212) (0.153:0.153:0.153))
+    (IOPATH A2 Y (0.224:0.224:0.224) (0.134:0.134:0.134))
+    (IOPATH B1 Y (0.153:0.153:0.153) (0.080:0.080:0.080))
+    (IOPATH B2 Y (0.209:0.209:0.209) (0.121:0.121:0.121))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _1358_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.277:0.277:0.277) (0.223:0.223:0.223))
+    (IOPATH B X (0.278:0.278:0.278) (0.245:0.245:0.245))
+    (IOPATH C X (0.224:0.224:0.224) (0.217:0.217:0.217))
+    (IOPATH D X (0.226:0.226:0.226) (0.231:0.231:0.231))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1359_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.172:0.181:0.189) (0.088:0.090:0.093))
+    (IOPATH B Y (0.151:0.151:0.152) (0.066:0.066:0.067))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _1360_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.195:0.195:0.195) (0.210:0.210:0.210))
+    (IOPATH A2 X (0.233:0.233:0.233) (0.273:0.273:0.273))
+    (IOPATH A3 X (0.193:0.193:0.193) (0.231:0.234:0.237))
+    (IOPATH B1 X (0.129:0.129:0.130) (0.200:0.200:0.201))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _1361_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.160:0.160:0.160) (0.102:0.102:0.102))
+    (IOPATH A2 Y (0.176:0.176:0.176) (0.093:0.093:0.093))
+    (IOPATH B1 Y (0.134:0.134:0.135) (0.051:0.052:0.052))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _1362_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.205:0.205:0.205) (0.175:0.175:0.175))
+    (IOPATH B X (0.193:0.193:0.193) (0.194:0.194:0.194))
+    (IOPATH C X (0.180:0.181:0.182) (0.191:0.191:0.192))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1363_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.177:0.183:0.190) (0.086:0.089:0.091))
+    (IOPATH B Y (0.165:0.166:0.167) (0.068:0.068:0.069))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _1364_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.243:0.243:0.243) (0.240:0.240:0.240))
+    (IOPATH A2 X (0.275:0.275:0.275) (0.299:0.299:0.299))
+    (IOPATH A3 X (0.239:0.239:0.239) (0.259:0.261:0.264))
+    (IOPATH B1 X (0.170:0.170:0.170) (0.227:0.227:0.228))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _1365_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.127:0.130:0.134) (0.106:0.110:0.113))
+    (IOPATH B Y (0.114:0.114:0.114) (0.116:0.117:0.117))
+    (IOPATH C Y (0.114:0.114:0.115) (0.115:0.115:0.115))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1366_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.114:0.114:0.114) (0.105:0.105:0.105))
+    (IOPATH B Y (0.158:0.158:0.158) (0.152:0.152:0.152))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _1367_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.200:0.200:0.200) (0.100:0.100:0.100))
+    (IOPATH A2 Y (0.231:0.231:0.231) (0.105:0.105:0.105))
+    (IOPATH B1 Y (0.167:0.167:0.167) (0.079:0.079:0.079))
+    (IOPATH B2 Y (0.199:0.199:0.199) (0.090:0.090:0.090))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _1368_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.238:0.238:0.238) (0.191:0.191:0.191))
+    (IOPATH B X (0.232:0.232:0.232) (0.207:0.207:0.207))
+    (IOPATH C X (0.238:0.238:0.238) (0.229:0.229:0.229))
+    (IOPATH D X (0.242:0.242:0.242) (0.235:0.235:0.235))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _1369_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.202:0.207:0.213) (0.102:0.103:0.105))
+    (IOPATH A2 Y (0.181:0.182:0.183) (0.104:0.106:0.108))
+    (IOPATH B1_N Y (0.144:0.144:0.145) (0.173:0.175:0.176))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _1370_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.138:0.142:0.145) (0.197:0.200:0.204))
+    (IOPATH A2 X (0.143:0.143:0.143) (0.195:0.195:0.195))
+    (IOPATH B1 X (0.116:0.116:0.116) (0.169:0.169:0.170))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _1371_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.138:0.139:0.140) (0.130:0.131:0.132))
+    (IOPATH B Y (0.144:0.146:0.147) (0.139:0.151:0.162))
+    (IOPATH C Y (0.130:0.130:0.130) (0.132:0.132:0.132))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1372_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.092:0.092:0.092) (0.093:0.093:0.093))
+    (IOPATH B Y (0.133:0.133:0.133) (0.120:0.120:0.120))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _1373_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.140:0.142:0.144) (0.149:0.153:0.156))
+    (IOPATH A Y (0.211:0.214:0.217) (0.087:0.090:0.092))
+    (IOPATH B Y (0.164:0.164:0.164) (0.136:0.139:0.143))
+    (IOPATH B Y (0.183:0.186:0.189) (0.106:0.106:0.106))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _1374_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.150:0.151:0.152) (0.193:0.194:0.195))
+    (IOPATH A2 X (0.145:0.145:0.146) (0.197:0.197:0.198))
+    (IOPATH B1 X (0.126:0.134:0.141) (0.182:0.184:0.185))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _1375_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.151:0.153:0.154) (0.138:0.140:0.142))
+    (IOPATH B Y (0.138:0.140:0.142) (0.133:0.147:0.161))
+    (IOPATH C Y (0.133:0.133:0.133) (0.134:0.134:0.135))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1376_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.131:0.133:0.134) (0.105:0.107:0.108))
+    (IOPATH B Y (0.137:0.139:0.141) (0.105:0.107:0.108))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _1377_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.129:0.143:0.156) (0.245:0.247:0.249))
+    (IOPATH B X (0.141:0.142:0.144) (0.219:0.224:0.229))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _1378_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.182:0.183:0.184) (0.171:0.176:0.181))
+    (IOPATH B X (0.191:0.192:0.192) (0.193:0.195:0.196))
+    (IOPATH C X (0.186:0.186:0.186) (0.201:0.201:0.201))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1379_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.098:0.098:0.098) (0.099:0.099:0.099))
+    (IOPATH B Y (0.099:0.099:0.099) (0.086:0.086:0.086))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _1380_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.219:0.221:0.224) (0.123:0.123:0.123))
+    (IOPATH A X (0.234:0.234:0.234) (0.178:0.180:0.183))
+    (IOPATH B X (0.204:0.207:0.211) (0.087:0.088:0.088))
+    (IOPATH B X (0.216:0.216:0.216) (0.162:0.166:0.170))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1381_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.081:0.087:0.092) (0.069:0.070:0.071))
+    (IOPATH B Y (0.084:0.084:0.084) (0.066:0.067:0.067))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _1382_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.160:0.161:0.162) (0.160:0.161:0.163))
+    (IOPATH A Y (0.235:0.237:0.238) (0.106:0.106:0.107))
+    (IOPATH B Y (0.149:0.150:0.150) (0.145:0.146:0.147))
+    (IOPATH B Y (0.206:0.207:0.208) (0.086:0.087:0.087))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _1383_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.204:0.210:0.216) (0.215:0.216:0.217))
+    (IOPATH B X (0.146:0.158:0.170) (0.170:0.173:0.175))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _1384_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.183:0.183:0.184) (0.081:0.081:0.081))
+    (IOPATH A X (0.170:0.170:0.170) (0.173:0.173:0.174))
+    (IOPATH B X (0.159:0.167:0.174) (0.106:0.107:0.109))
+    (IOPATH B X (0.192:0.193:0.194) (0.149:0.157:0.165))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _1385_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.132:0.132:0.132) (0.387:0.388:0.388))
+    (IOPATH B X (0.120:0.121:0.121) (0.361:0.361:0.361))
+    (IOPATH C X (0.142:0.143:0.145) (0.325:0.330:0.335))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _1386_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.159:0.159:0.160) (0.088:0.088:0.088))
+    (IOPATH A2 Y (0.142:0.142:0.142) (0.069:0.069:0.069))
+    (IOPATH B1 Y (0.090:0.098:0.105) (0.099:0.100:0.102))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _1387_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.153:0.153:0.154) (0.196:0.196:0.197))
+    (IOPATH A2 X (0.134:0.135:0.135) (0.219:0.219:0.220))
+    (IOPATH B1_N X (0.198:0.203:0.209) (0.229:0.233:0.238))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _1388_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.115:0.116:0.117) (0.235:0.239:0.243))
+    (IOPATH B_N X (0.164:0.164:0.164) (0.240:0.240:0.240))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _1389_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.146:0.151:0.156) (0.142:0.148:0.153))
+    (IOPATH B X (0.137:0.137:0.137) (0.177:0.177:0.177))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _1390_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.168:0.168:0.168) (0.160:0.160:0.161))
+    (IOPATH A Y (0.233:0.233:0.234) (0.115:0.115:0.116))
+    (IOPATH B Y (0.148:0.149:0.149) (0.141:0.142:0.142))
+    (IOPATH B Y (0.201:0.201:0.201) (0.086:0.086:0.086))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1391_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.112:0.112:0.112) (0.115:0.115:0.115))
+    (IOPATH B Y (0.113:0.113:0.113) (0.099:0.099:0.099))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _1392_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.236:0.236:0.236) (0.122:0.122:0.122))
+    (IOPATH A2 Y (0.279:0.279:0.279) (0.158:0.158:0.158))
+    (IOPATH B1 Y (0.209:0.209:0.209) (0.103:0.103:0.103))
+    (IOPATH B2 Y (0.260:0.260:0.260) (0.154:0.154:0.154))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _1393_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.253:0.253:0.253) (0.199:0.199:0.199))
+    (IOPATH B X (0.262:0.262:0.262) (0.227:0.227:0.227))
+    (IOPATH C X (0.265:0.265:0.265) (0.243:0.243:0.243))
+    (IOPATH D X (0.267:0.267:0.267) (0.257:0.257:0.257))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1394_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.138:0.144:0.151) (0.088:0.089:0.090))
+    (IOPATH B Y (0.117:0.118:0.119) (0.066:0.066:0.066))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _1395_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.173:0.173:0.173) (0.166:0.171:0.176))
+    (IOPATH A Y (0.264:0.268:0.272) (0.112:0.112:0.113))
+    (IOPATH B Y (0.181:0.181:0.181) (0.154:0.158:0.162))
+    (IOPATH B Y (0.237:0.240:0.244) (0.111:0.112:0.112))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _1396_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.133:0.133:0.133) (0.386:0.387:0.388))
+    (IOPATH B X (0.129:0.131:0.133) (0.371:0.376:0.381))
+    (IOPATH C X (0.143:0.145:0.148) (0.328:0.329:0.330))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _1397_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.185:0.186:0.187) (0.101:0.101:0.102))
+    (IOPATH A2 Y (0.175:0.176:0.177) (0.102:0.104:0.107))
+    (IOPATH B1 Y (0.124:0.130:0.137) (0.095:0.098:0.100))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1398_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.127:0.127:0.127) (0.117:0.117:0.117))
+    (IOPATH B Y (0.117:0.117:0.117) (0.098:0.098:0.098))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _1399_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.208:0.208:0.208) (0.106:0.106:0.106))
+    (IOPATH A2 Y (0.235:0.235:0.235) (0.106:0.106:0.106))
+    (IOPATH B1 Y (0.171:0.171:0.171) (0.081:0.081:0.081))
+    (IOPATH B2 Y (0.195:0.195:0.195) (0.082:0.082:0.082))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _1400_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.226:0.226:0.226) (0.183:0.183:0.183))
+    (IOPATH B X (0.240:0.240:0.240) (0.213:0.213:0.213))
+    (IOPATH C X (0.240:0.240:0.240) (0.231:0.231:0.231))
+    (IOPATH D X (0.245:0.245:0.245) (0.237:0.237:0.237))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _1401_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.202:0.203:0.204) (0.106:0.107:0.107))
+    (IOPATH A2 Y (0.177:0.179:0.181) (0.104:0.106:0.108))
+    (IOPATH B1_N Y (0.144:0.144:0.144) (0.173:0.174:0.175))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _1402_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.172:0.172:0.172) (0.208:0.208:0.209))
+    (IOPATH A2 X (0.195:0.200:0.205) (0.225:0.226:0.228))
+    (IOPATH B1 X (0.156:0.163:0.171) (0.201:0.202:0.204))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _1403_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.150:0.150:0.150) (0.137:0.137:0.138))
+    (IOPATH B Y (0.161:0.162:0.164) (0.155:0.167:0.178))
+    (IOPATH C Y (0.154:0.156:0.158) (0.165:0.170:0.175))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _1404_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.144:0.158:0.171) (0.192:0.194:0.195))
+    (IOPATH A2 X (0.145:0.146:0.146) (0.212:0.212:0.212))
+    (IOPATH B1_N X (0.235:0.237:0.238) (0.234:0.235:0.237))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _1405_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.146:0.147:0.148) (0.197:0.198:0.200))
+    (IOPATH A2 X (0.137:0.137:0.137) (0.191:0.191:0.191))
+    (IOPATH B1 X (0.109:0.118:0.128) (0.168:0.169:0.171))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _1406_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.142:0.144:0.146) (0.130:0.132:0.134))
+    (IOPATH B Y (0.126:0.126:0.126) (0.120:0.120:0.121))
+    (IOPATH C Y (0.121:0.121:0.122) (0.123:0.123:0.123))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _1407_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.231:0.235:0.239) (0.114:0.115:0.115))
+    (IOPATH A2 Y (0.221:0.228:0.235) (0.131:0.132:0.134))
+    (IOPATH B1_N Y (0.171:0.171:0.171) (0.195:0.196:0.197))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _1408_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.174:0.174:0.174) (0.168:0.168:0.168))
+    (IOPATH B X (0.156:0.156:0.156) (0.180:0.180:0.180))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1409_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.163:0.163:0.163) (0.079:0.090:0.101))
+    (IOPATH B Y (0.130:0.130:0.130) (0.055:0.055:0.056))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _1410_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.152:0.164:0.176) (0.161:0.162:0.162))
+    (IOPATH B X (0.137:0.137:0.137) (0.158:0.158:0.158))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1411_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.129:0.135:0.141) (0.078:0.078:0.078))
+    (IOPATH B Y (0.115:0.116:0.116) (0.051:0.051:0.052))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _1412_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.258:0.258:0.258) (0.214:0.214:0.214))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1413_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.114:0.114:0.114) (0.116:0.116:0.116))
+    (IOPATH B Y (0.117:0.117:0.117) (0.103:0.103:0.103))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _1414_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.157:0.157:0.157) (0.134:0.138:0.141))
+    (IOPATH A Y (0.199:0.203:0.206) (0.105:0.105:0.105))
+    (IOPATH B Y (0.148:0.148:0.149) (0.148:0.152:0.156))
+    (IOPATH B Y (0.197:0.200:0.204) (0.087:0.088:0.088))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _1415_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.156:0.157:0.159) (0.203:0.205:0.207))
+    (IOPATH A2 X (0.141:0.142:0.142) (0.194:0.195:0.195))
+    (IOPATH B1 X (0.113:0.113:0.114) (0.172:0.172:0.173))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _1416_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.131:0.132:0.133) (0.120:0.121:0.123))
+    (IOPATH B Y (0.123:0.126:0.128) (0.120:0.133:0.147))
+    (IOPATH C Y (0.118:0.118:0.119) (0.118:0.119:0.119))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _1417_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.170:0.172:0.174) (0.183:0.184:0.185))
+    (IOPATH B X (0.169:0.170:0.172) (0.198:0.201:0.203))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _1418_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.221:0.226:0.232) (0.123:0.125:0.127))
+    (IOPATH A X (0.234:0.235:0.236) (0.180:0.185:0.191))
+    (IOPATH B X (0.206:0.208:0.210) (0.098:0.113:0.129))
+    (IOPATH B X (0.222:0.230:0.238) (0.165:0.167:0.170))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _1419_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.195:0.195:0.195) (0.206:0.213:0.219))
+    (IOPATH A2 X (0.168:0.168:0.169) (0.206:0.209:0.213))
+    (IOPATH B1_N X (0.252:0.252:0.252) (0.173:0.173:0.173))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _1420_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.200:0.201:0.201) (0.092:0.092:0.092))
+    (IOPATH A X (0.196:0.196:0.196) (0.175:0.175:0.175))
+    (IOPATH B X (0.183:0.190:0.198) (0.117:0.119:0.121))
+    (IOPATH B X (0.216:0.217:0.218) (0.157:0.165:0.172))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _1421_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.107:0.107:0.107) (0.220:0.221:0.221))
+    (IOPATH B_N X (0.148:0.156:0.164) (0.243:0.244:0.245))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _1422_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.199:0.200:0.200) (0.106:0.106:0.106))
+    (IOPATH A2 Y (0.187:0.194:0.202) (0.117:0.118:0.120))
+    (IOPATH B1 Y (0.119:0.119:0.119) (0.082:0.082:0.082))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _1423_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.121:0.134:0.147) (0.237:0.239:0.241))
+    (IOPATH B_N X (0.175:0.176:0.176) (0.254:0.257:0.261))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _1424_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.119:0.120:0.121) (0.102:0.103:0.105))
+    (IOPATH B Y (0.106:0.108:0.110) (0.102:0.116:0.129))
+    (IOPATH C Y (0.102:0.102:0.103) (0.103:0.104:0.104))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _1425_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.190:0.190:0.190) (0.245:0.245:0.245))
+    (IOPATH A2 X (0.170:0.170:0.170) (0.254:0.254:0.254))
+    (IOPATH B1 X (0.156:0.156:0.156) (0.206:0.206:0.206))
+    (IOPATH B2 X (0.158:0.158:0.158) (0.222:0.222:0.222))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_1")
+  (INSTANCE _1426_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.109:0.109:0.109) (0.121:0.121:0.121))
+    (IOPATH B Y (0.141:0.141:0.141) (0.148:0.148:0.148))
+    (IOPATH C Y (0.132:0.132:0.132) (0.133:0.133:0.133))
+    (IOPATH D Y (0.130:0.130:0.130) (0.134:0.134:0.134))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _1427_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.163:0.163:0.163) (0.167:0.167:0.167))
+    (IOPATH B X (0.160:0.160:0.160) (0.182:0.182:0.182))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _1428_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.143:0.143:0.143) (0.068:0.069:0.069))
+    (IOPATH A2 Y (0.181:0.184:0.186) (0.078:0.081:0.084))
+    (IOPATH B1 Y (0.133:0.133:0.134) (0.049:0.049:0.049))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _1429_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.221:0.221:0.221) (0.182:0.183:0.183))
+    (IOPATH B X (0.225:0.226:0.226) (0.206:0.206:0.206))
+    (IOPATH C X (0.234:0.236:0.239) (0.244:0.247:0.250))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1430_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.189:0.193:0.196) (0.090:0.093:0.095))
+    (IOPATH B Y (0.190:0.190:0.191) (0.092:0.092:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _1431_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.148:0.148:0.148) (0.403:0.404:0.405))
+    (IOPATH B X (0.150:0.150:0.151) (0.390:0.392:0.393))
+    (IOPATH C X (0.158:0.161:0.163) (0.344:0.346:0.348))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _1432_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.189:0.190:0.191) (0.104:0.104:0.104))
+    (IOPATH A2 Y (0.178:0.180:0.182) (0.105:0.107:0.109))
+    (IOPATH B1 Y (0.129:0.130:0.132) (0.104:0.104:0.105))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1433_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.115:0.115:0.115) (0.106:0.106:0.106))
+    (IOPATH B Y (0.160:0.160:0.160) (0.155:0.155:0.155))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _1434_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.254:0.254:0.254) (0.166:0.166:0.166))
+    (IOPATH A2 Y (0.246:0.246:0.246) (0.110:0.110:0.110))
+    (IOPATH B1 Y (0.218:0.218:0.218) (0.123:0.123:0.123))
+    (IOPATH B2 Y (0.209:0.209:0.209) (0.091:0.091:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _1435_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.242:0.242:0.242) (0.194:0.194:0.194))
+    (IOPATH B X (0.250:0.250:0.250) (0.218:0.218:0.218))
+    (IOPATH C X (0.250:0.250:0.250) (0.238:0.238:0.238))
+    (IOPATH D X (0.255:0.255:0.255) (0.243:0.243:0.243))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _1436_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.259:0.265:0.270) (0.126:0.128:0.130))
+    (IOPATH A2 Y (0.240:0.251:0.262) (0.133:0.135:0.137))
+    (IOPATH B1_N Y (0.179:0.179:0.179) (0.200:0.201:0.202))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _1437_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.156:0.156:0.156) (0.201:0.201:0.201))
+    (IOPATH A2 X (0.174:0.179:0.184) (0.212:0.214:0.216))
+    (IOPATH B1 X (0.144:0.153:0.161) (0.200:0.201:0.202))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _1438_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.122:0.122:0.122) (0.108:0.108:0.108))
+    (IOPATH B Y (0.139:0.141:0.142) (0.131:0.146:0.161))
+    (IOPATH C Y (0.123:0.125:0.127) (0.130:0.135:0.140))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _1439_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.172:0.172:0.172) (0.194:0.195:0.196))
+    (IOPATH A2 X (0.149:0.149:0.149) (0.216:0.216:0.217))
+    (IOPATH B1_N X (0.227:0.231:0.235) (0.233:0.235:0.237))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _1440_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.144:0.145:0.147) (0.200:0.202:0.203))
+    (IOPATH A2 X (0.142:0.143:0.143) (0.193:0.194:0.194))
+    (IOPATH B1 X (0.112:0.122:0.132) (0.172:0.174:0.176))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _1441_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.253:0.254:0.254) (0.210:0.214:0.219))
+    (IOPATH B X (0.259:0.260:0.260) (0.225:0.225:0.226))
+    (IOPATH C X (0.257:0.257:0.258) (0.233:0.234:0.234))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _1442_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.232:0.232:0.232) (0.180:0.180:0.180))
+    (IOPATH B X (0.245:0.245:0.245) (0.210:0.210:0.210))
+    (IOPATH C X (0.257:0.257:0.257) (0.259:0.259:0.259))
+    (IOPATH D X (0.260:0.260:0.260) (0.282:0.282:0.282))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_1")
+  (INSTANCE _1443_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.193:0.193:0.193) (0.246:0.247:0.248))
+    (IOPATH A2 X (0.187:0.187:0.187) (0.240:0.241:0.242))
+    (IOPATH B1 X (0.215:0.215:0.215) (0.171:0.171:0.171))
+    (IOPATH C1 X (0.194:0.194:0.194) (0.151:0.151:0.151))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211oi_1")
+  (INSTANCE _1444_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.219:0.219:0.219) (0.103:0.103:0.103))
+    (IOPATH A2 Y (0.246:0.246:0.246) (0.091:0.091:0.091))
+    (IOPATH B1 Y (0.209:0.209:0.210) (0.055:0.055:0.055))
+    (IOPATH C1 Y (0.180:0.180:0.181) (0.062:0.062:0.062))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _1445_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.157:0.158:0.158) (0.268:0.270:0.271))
+    (IOPATH B X (0.175:0.178:0.181) (0.245:0.251:0.257))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _1446_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.243:0.247:0.251) (0.104:0.104:0.104))
+    (IOPATH A2 Y (0.249:0.250:0.250) (0.100:0.100:0.101))
+    (IOPATH B1 Y (0.231:0.231:0.231) (0.074:0.074:0.074))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_1")
+  (INSTANCE _1447_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.302:0.302:0.303) (0.108:0.108:0.108))
+    (IOPATH B Y (0.284:0.285:0.285) (0.083:0.083:0.083))
+    (IOPATH C Y (0.240:0.245:0.250) (0.106:0.108:0.110))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _1448_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.143:0.145:0.147) (0.193:0.194:0.195))
+    (IOPATH A2 X (0.136:0.136:0.137) (0.191:0.191:0.191))
+    (IOPATH B1 X (0.110:0.120:0.130) (0.169:0.171:0.173))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_1")
+  (INSTANCE _1449_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.213:0.213:0.213) (0.260:0.261:0.261))
+    (IOPATH A2 X (0.209:0.209:0.209) (0.228:0.234:0.239))
+    (IOPATH B1 X (0.176:0.176:0.176) (0.143:0.143:0.144))
+    (IOPATH C1 X (0.180:0.182:0.184) (0.151:0.153:0.156))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211oi_1")
+  (INSTANCE _1450_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.300:0.302:0.304) (0.098:0.100:0.102))
+    (IOPATH A2 Y (0.310:0.310:0.311) (0.088:0.089:0.089))
+    (IOPATH B1 Y (0.294:0.299:0.304) (0.114:0.114:0.114))
+    (IOPATH C1 Y (0.268:0.269:0.269) (0.089:0.089:0.089))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3b_1")
+  (INSTANCE _1451_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.157:0.157:0.157) (0.423:0.424:0.426))
+    (IOPATH B X (0.202:0.204:0.207) (0.405:0.409:0.413))
+    (IOPATH C_N X (0.208:0.209:0.211) (0.401:0.401:0.401))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _1452_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.135:0.135:0.136) (0.249:0.251:0.252))
+    (IOPATH B_N X (0.207:0.207:0.208) (0.264:0.264:0.264))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _1453_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.163:0.164:0.164) (0.154:0.155:0.156))
+    (IOPATH A Y (0.231:0.232:0.233) (0.109:0.109:0.109))
+    (IOPATH B Y (0.177:0.178:0.180) (0.148:0.156:0.164))
+    (IOPATH B Y (0.210:0.217:0.224) (0.117:0.118:0.120))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1454_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.083:0.083:0.083) (0.071:0.071:0.071))
+    (IOPATH B Y (0.090:0.092:0.094) (0.078:0.090:0.102))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _1455_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.100:0.104:0.108) (0.090:0.092:0.094))
+    (IOPATH B Y (0.097:0.098:0.099) (0.119:0.119:0.119))
+    (IOPATH C Y (0.096:0.096:0.096) (0.096:0.097:0.097))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _1456_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.157:0.157:0.157) (0.220:0.220:0.220))
+    (IOPATH A2 X (0.186:0.186:0.186) (0.273:0.273:0.273))
+    (IOPATH B1 X (0.176:0.176:0.176) (0.232:0.232:0.232))
+    (IOPATH B2 X (0.157:0.157:0.157) (0.213:0.213:0.213))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _1457_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.226:0.226:0.226) (0.189:0.189:0.189))
+    (IOPATH B X (0.219:0.219:0.219) (0.201:0.201:0.201))
+    (IOPATH C X (0.216:0.216:0.216) (0.213:0.213:0.213))
+    (IOPATH D X (0.219:0.219:0.219) (0.227:0.227:0.227))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _1458_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.070:0.071:0.072) (0.052:0.052:0.053))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _1459_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.149:0.150:0.151) (0.153:0.153:0.153))
+    (IOPATH B X (0.159:0.159:0.159) (0.171:0.171:0.171))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _1460_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.138:0.138:0.138) (0.389:0.389:0.390))
+    (IOPATH B X (0.129:0.132:0.134) (0.372:0.377:0.382))
+    (IOPATH C X (0.148:0.150:0.152) (0.331:0.340:0.349))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _1461_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.171:0.172:0.173) (0.098:0.098:0.098))
+    (IOPATH A2 Y (0.161:0.171:0.181) (0.099:0.100:0.102))
+    (IOPATH B1 Y (0.112:0.119:0.126) (0.089:0.091:0.094))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1462_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.115:0.115:0.115) (0.118:0.118:0.118))
+    (IOPATH B Y (0.153:0.153:0.153) (0.144:0.144:0.144))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _1463_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.240:0.240:0.240) (0.140:0.140:0.140))
+    (IOPATH A2 Y (0.274:0.274:0.274) (0.150:0.150:0.150))
+    (IOPATH B1 Y (0.242:0.242:0.242) (0.153:0.153:0.153))
+    (IOPATH B2 Y (0.262:0.262:0.262) (0.155:0.155:0.155))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _1464_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.217:0.217:0.217) (0.179:0.179:0.179))
+    (IOPATH B X (0.226:0.226:0.226) (0.206:0.206:0.206))
+    (IOPATH C X (0.226:0.226:0.226) (0.223:0.223:0.223))
+    (IOPATH D X (0.270:0.270:0.270) (0.272:0.272:0.272))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _1465_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.188:0.190:0.193) (0.093:0.095:0.097))
+    (IOPATH A2 Y (0.175:0.182:0.190) (0.106:0.107:0.108))
+    (IOPATH B1_N Y (0.130:0.131:0.131) (0.164:0.165:0.166))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _1466_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.145:0.146:0.146) (0.194:0.194:0.194))
+    (IOPATH A2 X (0.164:0.169:0.174) (0.208:0.209:0.210))
+    (IOPATH B1 X (0.127:0.134:0.142) (0.184:0.186:0.187))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _1467_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.175:0.175:0.175) (0.175:0.175:0.175))
+    (IOPATH B X (0.187:0.197:0.207) (0.187:0.188:0.190))
+    (IOPATH C X (0.197:0.201:0.205) (0.202:0.203:0.204))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _1468_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.134:0.134:0.134) (0.167:0.168:0.168))
+    (IOPATH A2 X (0.136:0.136:0.136) (0.190:0.190:0.190))
+    (IOPATH B1 X (0.107:0.107:0.107) (0.164:0.164:0.165))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _1469_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.134:0.136:0.138) (0.184:0.188:0.192))
+    (IOPATH A2 X (0.136:0.136:0.137) (0.189:0.190:0.190))
+    (IOPATH B1 X (0.127:0.127:0.127) (0.167:0.167:0.168))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _1470_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.249:0.250:0.251) (0.208:0.211:0.215))
+    (IOPATH B X (0.251:0.251:0.252) (0.218:0.218:0.219))
+    (IOPATH C X (0.256:0.256:0.256) (0.233:0.233:0.234))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _1471_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.259:0.262:0.265) (0.109:0.110:0.111))
+    (IOPATH A2 Y (0.267:0.267:0.268) (0.109:0.109:0.109))
+    (IOPATH B1 Y (0.243:0.244:0.244) (0.071:0.072:0.072))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_1")
+  (INSTANCE _1472_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.323:0.323:0.323) (0.076:0.076:0.076))
+    (IOPATH B Y (0.328:0.329:0.329) (0.109:0.109:0.109))
+    (IOPATH C Y (0.281:0.287:0.293) (0.123:0.125:0.127))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _1473_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.186:0.187:0.188) (0.117:0.117:0.117))
+    (IOPATH A2 Y (0.160:0.166:0.171) (0.112:0.114:0.116))
+    (IOPATH B1 Y (0.116:0.116:0.116) (0.097:0.097:0.097))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _1474_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.160:0.160:0.160) (0.402:0.403:0.403))
+    (IOPATH B X (0.138:0.138:0.138) (0.375:0.375:0.376))
+    (IOPATH C X (0.164:0.166:0.168) (0.330:0.335:0.340))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_1")
+  (INSTANCE _1475_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.293:0.293:0.293) (0.315:0.315:0.316))
+    (IOPATH A2 X (0.296:0.296:0.296) (0.285:0.293:0.300))
+    (IOPATH B1 X (0.271:0.275:0.280) (0.204:0.206:0.207))
+    (IOPATH C1 X (0.249:0.249:0.249) (0.193:0.193:0.193))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _1476_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.207:0.209:0.210) (0.105:0.105:0.105))
+    (IOPATH A2 Y (0.199:0.203:0.208) (0.133:0.135:0.138))
+    (IOPATH B1_N Y (0.148:0.148:0.149) (0.181:0.183:0.185))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _1477_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.122:0.122:0.122) (0.111:0.111:0.111))
+    (IOPATH B Y (0.140:0.142:0.144) (0.140:0.140:0.140))
+    (IOPATH C Y (0.124:0.126:0.129) (0.121:0.131:0.141))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _1478_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.171:0.171:0.171) (0.174:0.174:0.175))
+    (IOPATH B X (0.197:0.197:0.197) (0.197:0.199:0.200))
+    (IOPATH C X (0.179:0.188:0.197) (0.199:0.201:0.204))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _1479_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.175:0.176:0.176) (0.084:0.084:0.084))
+    (IOPATH A2 Y (0.183:0.186:0.188) (0.088:0.099:0.110))
+    (IOPATH B1 Y (0.168:0.169:0.171) (0.075:0.075:0.075))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211oi_1")
+  (INSTANCE _1480_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.363:0.363:0.364) (0.101:0.101:0.102))
+    (IOPATH A2 Y (0.390:0.391:0.392) (0.121:0.126:0.132))
+    (IOPATH B1 Y (0.368:0.374:0.381) (0.138:0.138:0.138))
+    (IOPATH C1 Y (0.340:0.340:0.341) (0.104:0.104:0.104))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _1481_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.243:0.243:0.243) (0.187:0.187:0.187))
+    (IOPATH B X (0.253:0.253:0.253) (0.227:0.227:0.227))
+    (IOPATH C X (0.260:0.260:0.260) (0.256:0.256:0.256))
+    (IOPATH D X (0.279:0.279:0.279) (0.278:0.278:0.278))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _1482_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.214:0.214:0.214) (0.129:0.129:0.129))
+    (IOPATH A2 Y (0.237:0.237:0.237) (0.135:0.135:0.135))
+    (IOPATH B1 Y (0.205:0.205:0.205) (0.130:0.130:0.130))
+    (IOPATH B2 Y (0.190:0.190:0.190) (0.104:0.104:0.104))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4bb_1")
+  (INSTANCE _1483_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.269:0.270:0.271) (0.203:0.203:0.203))
+    (IOPATH B_N X (0.296:0.306:0.316) (0.246:0.247:0.248))
+    (IOPATH C X (0.232:0.232:0.232) (0.222:0.222:0.222))
+    (IOPATH D X (0.269:0.269:0.269) (0.271:0.271:0.271))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1484_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.166:0.167:0.168) (0.075:0.076:0.076))
+    (IOPATH B Y (0.150:0.151:0.151) (0.066:0.067:0.067))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1485_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.129:0.130:0.131) (0.062:0.063:0.063))
+    (IOPATH B Y (0.132:0.139:0.147) (0.081:0.082:0.083))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _1486_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.205:0.207:0.208) (0.201:0.204:0.207))
+    (IOPATH A Y (0.336:0.338:0.341) (0.138:0.140:0.142))
+    (IOPATH B Y (0.213:0.214:0.214) (0.177:0.181:0.186))
+    (IOPATH B Y (0.297:0.302:0.306) (0.137:0.138:0.138))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _1487_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.214:0.215:0.216) (0.219:0.219:0.219))
+    (IOPATH B X (0.175:0.191:0.206) (0.201:0.203:0.206))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _1488_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.192:0.192:0.192) (0.160:0.161:0.162))
+    (IOPATH A Y (0.258:0.259:0.260) (0.137:0.137:0.137))
+    (IOPATH B Y (0.193:0.206:0.219) (0.186:0.189:0.191))
+    (IOPATH B Y (0.266:0.268:0.270) (0.129:0.149:0.168))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _1489_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.223:0.223:0.223) (0.186:0.186:0.186))
+    (IOPATH B X (0.249:0.249:0.249) (0.255:0.255:0.255))
+    (IOPATH C X (0.207:0.221:0.234) (0.215:0.222:0.229))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _1490_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.199:0.200:0.200) (0.191:0.191:0.191))
+    (IOPATH B X (0.139:0.139:0.140) (0.165:0.166:0.166))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _1491_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.265:0.265:0.265) (0.115:0.115:0.115))
+    (IOPATH A X (0.260:0.260:0.260) (0.193:0.193:0.193))
+    (IOPATH B X (0.241:0.241:0.241) (0.100:0.100:0.100))
+    (IOPATH B X (0.256:0.256:0.256) (0.169:0.169:0.169))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_2")
+  (INSTANCE _1492_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.255:0.255:0.256) (0.107:0.108:0.108))
+    (IOPATH A2 Y (0.236:0.237:0.237) (0.105:0.105:0.105))
+    (IOPATH B1 Y (0.124:0.130:0.135) (0.153:0.155:0.156))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _1493_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.143:0.143:0.143) (0.190:0.191:0.192))
+    (IOPATH A2 X (0.139:0.141:0.143) (0.162:0.170:0.177))
+    (IOPATH B1 X (0.106:0.106:0.106) (0.089:0.089:0.089))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _1494_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.252:0.252:0.252) (0.295:0.302:0.309))
+    (IOPATH B X (0.181:0.182:0.182) (0.265:0.266:0.267))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o22a_1")
+  (INSTANCE _1495_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.193:0.194:0.194) (0.242:0.244:0.246))
+    (IOPATH A2 X (0.207:0.209:0.211) (0.218:0.224:0.231))
+    (IOPATH B1 X (0.174:0.190:0.207) (0.204:0.210:0.217))
+    (IOPATH B2 X (0.167:0.167:0.167) (0.195:0.195:0.195))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _1496_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.249:0.249:0.249) (0.191:0.191:0.191))
+    (IOPATH B X (0.251:0.251:0.251) (0.214:0.214:0.214))
+    (IOPATH C X (0.259:0.259:0.259) (0.255:0.255:0.255))
+    (IOPATH D X (0.279:0.279:0.279) (0.277:0.277:0.277))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o2bb2a_1")
+  (INSTANCE _1497_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1_N X (0.261:0.261:0.261) (0.242:0.242:0.242))
+    (IOPATH A2_N X (0.281:0.281:0.281) (0.268:0.268:0.268))
+    (IOPATH B1 X (0.156:0.156:0.156) (0.289:0.290:0.291))
+    (IOPATH B2 X (0.162:0.163:0.164) (0.286:0.295:0.304))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1498_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.177:0.178:0.179) (0.075:0.076:0.076))
+    (IOPATH B Y (0.161:0.163:0.165) (0.059:0.059:0.059))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _1499_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.168:0.168:0.168) (0.159:0.160:0.161))
+    (IOPATH B X (0.190:0.190:0.190) (0.175:0.177:0.178))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1500_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.094:0.094:0.094) (0.095:0.095:0.095))
+    (IOPATH B Y (0.143:0.143:0.143) (0.114:0.114:0.114))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _1501_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.135:0.138:0.141) (0.147:0.148:0.150))
+    (IOPATH A Y (0.202:0.203:0.204) (0.084:0.087:0.091))
+    (IOPATH B Y (0.152:0.164:0.176) (0.149:0.156:0.163))
+    (IOPATH B Y (0.188:0.194:0.200) (0.094:0.107:0.121))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _1502_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.184:0.184:0.184) (0.169:0.170:0.170))
+    (IOPATH B X (0.181:0.192:0.204) (0.187:0.192:0.197))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _1503_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.176:0.177:0.179) (0.392:0.396:0.400))
+    (IOPATH B X (0.127:0.127:0.128) (0.363:0.363:0.363))
+    (IOPATH C X (0.129:0.129:0.130) (0.326:0.327:0.328))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _1504_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.123:0.130:0.138) (0.128:0.147:0.166))
+    (IOPATH B Y (0.129:0.130:0.130) (0.131:0.131:0.131))
+    (IOPATH C Y (0.133:0.133:0.133) (0.118:0.118:0.118))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _1505_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.170:0.170:0.170) (0.281:0.281:0.281))
+    (IOPATH B X (0.152:0.154:0.156) (0.261:0.267:0.272))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or4_1")
+  (INSTANCE _1506_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.143:0.143:0.143) (0.530:0.531:0.533))
+    (IOPATH B X (0.174:0.175:0.177) (0.503:0.508:0.513))
+    (IOPATH C X (0.125:0.139:0.154) (0.471:0.476:0.481))
+    (IOPATH D X (0.131:0.131:0.131) (0.409:0.409:0.409))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _1507_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.199:0.200:0.202) (0.101:0.101:0.102))
+    (IOPATH A2 Y (0.196:0.196:0.196) (0.096:0.096:0.096))
+    (IOPATH B1 Y (0.136:0.136:0.136) (0.084:0.085:0.086))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3b_1")
+  (INSTANCE _1508_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.145:0.145:0.145) (0.412:0.413:0.413))
+    (IOPATH B X (0.165:0.166:0.168) (0.393:0.395:0.397))
+    (IOPATH C_N X (0.206:0.209:0.211) (0.405:0.408:0.412))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _1509_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.139:0.139:0.139) (0.145:0.145:0.145))
+    (IOPATH A Y (0.198:0.198:0.198) (0.089:0.089:0.089))
+    (IOPATH B Y (0.144:0.155:0.167) (0.142:0.144:0.146))
+    (IOPATH B Y (0.179:0.181:0.182) (0.086:0.098:0.111))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _1510_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.145:0.147:0.149) (0.192:0.194:0.196))
+    (IOPATH A2 X (0.148:0.148:0.148) (0.214:0.214:0.214))
+    (IOPATH B1 X (0.115:0.124:0.134) (0.172:0.175:0.178))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _1511_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.188:0.188:0.189) (0.095:0.101:0.106))
+    (IOPATH A X (0.184:0.188:0.192) (0.176:0.177:0.177))
+    (IOPATH B X (0.164:0.166:0.169) (0.083:0.096:0.109))
+    (IOPATH B X (0.180:0.188:0.196) (0.153:0.155:0.158))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _1512_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.134:0.135:0.135) (0.173:0.176:0.179))
+    (IOPATH A2 X (0.141:0.142:0.142) (0.194:0.195:0.195))
+    (IOPATH B1 X (0.128:0.129:0.130) (0.169:0.175:0.182))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _1513_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.187:0.187:0.188) (0.080:0.081:0.081))
+    (IOPATH A X (0.177:0.178:0.178) (0.169:0.170:0.170))
+    (IOPATH B X (0.172:0.176:0.180) (0.080:0.081:0.082))
+    (IOPATH B X (0.186:0.186:0.187) (0.154:0.158:0.163))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _1514_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.133:0.133:0.134) (0.175:0.175:0.175))
+    (IOPATH A2 X (0.142:0.142:0.143) (0.195:0.195:0.195))
+    (IOPATH B1 X (0.131:0.132:0.133) (0.170:0.173:0.175))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _1515_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.134:0.134:0.134) (0.139:0.139:0.139))
+    (IOPATH B X (0.165:0.171:0.176) (0.165:0.170:0.175))
+    (IOPATH C X (0.150:0.159:0.168) (0.171:0.173:0.175))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _1516_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.157:0.159:0.160) (0.239:0.247:0.254))
+    (IOPATH B X (0.107:0.108:0.108) (0.213:0.214:0.214))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _1517_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.237:0.237:0.237) (0.097:0.097:0.097))
+    (IOPATH A2 Y (0.254:0.254:0.255) (0.107:0.107:0.107))
+    (IOPATH B1 Y (0.232:0.232:0.232) (0.068:0.068:0.069))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _1518_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.092:0.092:0.093) (0.214:0.214:0.214))
+    (IOPATH B X (0.092:0.103:0.115) (0.195:0.199:0.203))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _1519_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.209:0.209:0.210) (0.198:0.198:0.198))
+    (IOPATH B X (0.134:0.134:0.134) (0.166:0.166:0.166))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _1520_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.198:0.200:0.202) (0.209:0.216:0.223))
+    (IOPATH A2 X (0.181:0.182:0.184) (0.193:0.199:0.205))
+    (IOPATH B1 X (0.154:0.154:0.154) (0.123:0.123:0.123))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _1521_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.113:0.113:0.113) (0.361:0.361:0.361))
+    (IOPATH B X (0.098:0.098:0.098) (0.334:0.334:0.334))
+    (IOPATH C X (0.102:0.115:0.128) (0.299:0.304:0.308))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _1522_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.124:0.124:0.124) (0.134:0.134:0.134))
+    (IOPATH B X (0.128:0.128:0.129) (0.168:0.168:0.168))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _1523_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.202:0.202:0.203) (0.107:0.107:0.107))
+    (IOPATH A2 Y (0.189:0.190:0.191) (0.093:0.093:0.093))
+    (IOPATH B1 Y (0.119:0.120:0.120) (0.093:0.093:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _1524_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.113:0.113:0.114) (0.364:0.365:0.365))
+    (IOPATH B X (0.100:0.100:0.101) (0.338:0.338:0.338))
+    (IOPATH C X (0.107:0.108:0.109) (0.303:0.304:0.304))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _1525_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.191:0.192:0.193) (0.181:0.182:0.182))
+    (IOPATH B X (0.122:0.122:0.123) (0.167:0.167:0.167))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21boi_1")
+  (INSTANCE _1526_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.182:0.182:0.182) (0.076:0.076:0.076))
+    (IOPATH A2 Y (0.220:0.222:0.225) (0.111:0.118:0.124))
+    (IOPATH B1_N Y (0.223:0.223:0.223) (0.141:0.141:0.141))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _1527_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.338:0.338:0.338) (0.276:0.276:0.276))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _1528_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.371:0.371:0.371) (0.289:0.289:0.289))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1529_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.104:0.104:0.104) (0.083:0.083:0.083))
+    (IOPATH B Y (0.111:0.117:0.123) (0.070:0.071:0.072))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o32a_1")
+  (INSTANCE _1530_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.147:0.147:0.147) (0.332:0.333:0.334))
+    (IOPATH A2 X (0.172:0.175:0.177) (0.320:0.325:0.330))
+    (IOPATH A3 X (0.129:0.131:0.132) (0.274:0.278:0.283))
+    (IOPATH B1 X (0.198:0.198:0.198) (0.210:0.210:0.210))
+    (IOPATH B2 X (0.138:0.138:0.138) (0.158:0.158:0.158))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _1531_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.308:0.308:0.308) (0.208:0.208:0.208))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _1532_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.389:0.389:0.389) (0.317:0.317:0.317))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3b_1")
+  (INSTANCE _1533_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.203:0.203:0.203) (0.171:0.171:0.171))
+    (IOPATH B X (0.148:0.148:0.148) (0.150:0.150:0.150))
+    (IOPATH C X (0.178:0.183:0.187) (0.184:0.187:0.190))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1534_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.102:0.102:0.102) (0.084:0.084:0.084))
+    (IOPATH B Y (0.073:0.073:0.073) (0.063:0.063:0.063))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o31ai_1")
+  (INSTANCE _1535_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.270:0.270:0.270) (0.121:0.121:0.121))
+    (IOPATH A2 Y (0.216:0.221:0.227) (0.097:0.099:0.100))
+    (IOPATH A3 Y (0.179:0.180:0.180) (0.059:0.059:0.059))
+    (IOPATH B1 Y (0.055:0.063:0.070) (0.058:0.061:0.063))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _1536_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.376:0.376:0.376) (0.286:0.286:0.286))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _1537_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.399:0.399:0.399) (0.312:0.312:0.312))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _1538_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.376:0.376:0.376) (0.291:0.291:0.291))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _1539_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.097:0.097:0.097) (0.351:0.352:0.352))
+    (IOPATH B X (0.104:0.104:0.104) (0.331:0.331:0.332))
+    (IOPATH C X (0.101:0.101:0.101) (0.293:0.294:0.295))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _1540_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.203:0.203:0.203) (0.199:0.199:0.199))
+    (IOPATH B X (0.170:0.177:0.183) (0.167:0.171:0.174))
+    (IOPATH C X (0.145:0.145:0.145) (0.179:0.179:0.179))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _1541_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.154:0.154:0.154) (0.204:0.204:0.204))
+    (IOPATH A2 X (0.115:0.115:0.115) (0.177:0.177:0.177))
+    (IOPATH B1 X (0.077:0.077:0.078) (0.142:0.143:0.143))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_1")
+  (INSTANCE _1542_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.174:0.174:0.174) (0.053:0.053:0.053))
+    (IOPATH B Y (0.163:0.170:0.177) (0.079:0.080:0.081))
+    (IOPATH C Y (0.128:0.133:0.139) (0.070:0.071:0.072))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1543_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.115:0.116:0.117) (0.058:0.058:0.058))
+    (IOPATH B Y (0.093:0.098:0.103) (0.062:0.063:0.063))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _1544_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.145:0.145:0.145) (0.292:0.292:0.292))
+    (IOPATH A1 X (0.139:0.139:0.139) (0.288:0.289:0.290))
+    (IOPATH S X (0.254:0.254:0.254) (0.356:0.356:0.356))
+    (IOPATH S X (0.187:0.187:0.187) (0.357:0.357:0.357))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _1545_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.079:0.079:0.080) (0.091:0.091:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _1546_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.132:0.133:0.133) (0.138:0.138:0.138))
+    (IOPATH B X (0.137:0.137:0.137) (0.156:0.156:0.156))
+    (IOPATH C X (0.146:0.146:0.146) (0.169:0.169:0.170))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _1547_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.162:0.162:0.162) (0.396:0.396:0.396))
+    (IOPATH B X (0.141:0.143:0.145) (0.331:0.337:0.342))
+    (IOPATH C X (0.084:0.084:0.084) (0.287:0.287:0.288))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _1548_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.155:0.155:0.155) (0.217:0.217:0.217))
+    (IOPATH A2 X (0.126:0.126:0.126) (0.200:0.200:0.200))
+    (IOPATH B1_N X (0.176:0.176:0.176) (0.184:0.186:0.187))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _1549_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.168:0.169:0.171) (0.139:0.142:0.144))
+    (IOPATH B X (0.145:0.145:0.145) (0.162:0.162:0.162))
+    (IOPATH C X (0.154:0.154:0.154) (0.175:0.175:0.175))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3b_1")
+  (INSTANCE _1550_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.116:0.116:0.117) (0.387:0.387:0.387))
+    (IOPATH B X (0.184:0.184:0.184) (0.413:0.413:0.413))
+    (IOPATH C_N X (0.176:0.177:0.177) (0.367:0.368:0.368))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _1551_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.155:0.155:0.155) (0.217:0.217:0.217))
+    (IOPATH A2 X (0.123:0.123:0.123) (0.197:0.197:0.197))
+    (IOPATH B1_N X (0.186:0.186:0.186) (0.195:0.196:0.196))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _1552_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.161:0.163:0.165) (0.135:0.143:0.150))
+    (IOPATH B X (0.143:0.144:0.145) (0.158:0.161:0.165))
+    (IOPATH C X (0.151:0.151:0.151) (0.173:0.173:0.173))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3b_1")
+  (INSTANCE _1553_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.107:0.107:0.107) (0.376:0.377:0.377))
+    (IOPATH B X (0.175:0.175:0.175) (0.403:0.403:0.403))
+    (IOPATH C_N X (0.168:0.168:0.168) (0.357:0.358:0.358))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _1554_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.153:0.153:0.153) (0.215:0.215:0.215))
+    (IOPATH A2 X (0.115:0.115:0.115) (0.192:0.192:0.192))
+    (IOPATH B1_N X (0.182:0.182:0.182) (0.191:0.191:0.192))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _1555_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.138:0.151:0.165) (0.136:0.139:0.142))
+    (IOPATH B X (0.148:0.150:0.152) (0.174:0.176:0.179))
+    (IOPATH C X (0.151:0.151:0.151) (0.191:0.191:0.191))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3b_1")
+  (INSTANCE _1556_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.121:0.121:0.122) (0.395:0.395:0.396))
+    (IOPATH B X (0.191:0.191:0.191) (0.422:0.422:0.422))
+    (IOPATH C_N X (0.184:0.184:0.185) (0.377:0.377:0.377))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _1557_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.155:0.155:0.155) (0.217:0.217:0.217))
+    (IOPATH A2 X (0.118:0.118:0.118) (0.194:0.194:0.194))
+    (IOPATH B1_N X (0.189:0.189:0.189) (0.198:0.199:0.199))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _1558_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.137:0.137:0.138) (0.075:0.075:0.075))
+    (IOPATH A2 Y (0.127:0.129:0.132) (0.077:0.079:0.080))
+    (IOPATH B1_N Y (0.130:0.135:0.141) (0.159:0.162:0.165))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _1559_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.180:0.180:0.180) (0.178:0.178:0.178))
+    (IOPATH B X (0.145:0.145:0.145) (0.173:0.173:0.173))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _1560_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.177:0.177:0.177) (0.218:0.218:0.218))
+    (IOPATH A2 X (0.141:0.141:0.141) (0.217:0.218:0.218))
+    (IOPATH A3 X (0.136:0.142:0.149) (0.206:0.207:0.209))
+    (IOPATH B1 X (0.086:0.087:0.088) (0.173:0.173:0.173))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor4_1")
+  (INSTANCE _1561_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.289:0.290:0.292) (0.085:0.085:0.085))
+    (IOPATH B Y (0.266:0.271:0.277) (0.102:0.103:0.104))
+    (IOPATH C Y (0.235:0.241:0.247) (0.068:0.077:0.086))
+    (IOPATH D Y (0.186:0.186:0.186) (0.066:0.066:0.066))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1562_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.131:0.139:0.147) (0.093:0.093:0.094))
+    (IOPATH B Y (0.119:0.120:0.122) (0.052:0.053:0.053))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _1563_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.197:0.197:0.197) (0.164:0.171:0.178))
+    (IOPATH A Y (0.278:0.284:0.291) (0.137:0.137:0.137))
+    (IOPATH B Y (0.190:0.190:0.190) (0.180:0.180:0.181))
+    (IOPATH B Y (0.277:0.277:0.277) (0.120:0.120:0.120))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _1564_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.317:0.317:0.317) (0.264:0.264:0.264))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _1565_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.132:0.132:0.132) (0.282:0.282:0.282))
+    (IOPATH A1 X (0.149:0.167:0.185) (0.303:0.305:0.307))
+    (IOPATH S X (0.224:0.224:0.224) (0.347:0.347:0.347))
+    (IOPATH S X (0.177:0.177:0.177) (0.329:0.329:0.329))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _1566_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.081:0.082:0.083) (0.092:0.092:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1567_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.093:0.100:0.108) (0.088:0.100:0.113))
+    (IOPATH B Y (0.109:0.115:0.121) (0.083:0.085:0.086))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _1568_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.187:0.187:0.187) (0.184:0.184:0.184))
+    (IOPATH A Y (0.270:0.270:0.270) (0.135:0.135:0.135))
+    (IOPATH B Y (0.162:0.164:0.165) (0.158:0.165:0.172))
+    (IOPATH B Y (0.231:0.237:0.244) (0.098:0.099:0.100))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _1569_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.133:0.133:0.133) (0.283:0.283:0.283))
+    (IOPATH A1 X (0.143:0.159:0.175) (0.298:0.301:0.304))
+    (IOPATH S X (0.225:0.225:0.225) (0.348:0.348:0.348))
+    (IOPATH S X (0.178:0.178:0.178) (0.330:0.330:0.330))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _1570_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.077:0.078:0.079) (0.089:0.089:0.089))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _1571_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.124:0.137:0.149) (0.164:0.171:0.178))
+    (IOPATH A2 X (0.108:0.108:0.109) (0.183:0.183:0.183))
+    (IOPATH B1 X (0.095:0.095:0.095) (0.153:0.153:0.153))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _1572_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.238:0.238:0.238) (0.222:0.222:0.222))
+    (IOPATH B X (0.194:0.196:0.198) (0.207:0.213:0.219))
+    (IOPATH C X (0.180:0.180:0.180) (0.189:0.190:0.190))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _1573_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.156:0.156:0.156) (0.205:0.205:0.205))
+    (IOPATH A2 X (0.117:0.117:0.117) (0.178:0.178:0.178))
+    (IOPATH B1 X (0.088:0.089:0.089) (0.150:0.150:0.151))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1574_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.114:0.115:0.115) (0.059:0.059:0.059))
+    (IOPATH B Y (0.098:0.102:0.106) (0.048:0.056:0.065))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1575_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.113:0.113:0.114) (0.064:0.064:0.064))
+    (IOPATH B Y (0.086:0.089:0.092) (0.051:0.051:0.051))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _1576_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.140:0.140:0.140) (0.289:0.289:0.289))
+    (IOPATH A1 X (0.135:0.135:0.135) (0.286:0.287:0.288))
+    (IOPATH S X (0.227:0.227:0.227) (0.351:0.351:0.351))
+    (IOPATH S X (0.180:0.180:0.180) (0.333:0.333:0.333))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _1577_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.078:0.078:0.079) (0.090:0.090:0.090))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _1578_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.111:0.112:0.112) (0.225:0.226:0.226))
+    (IOPATH B X (0.129:0.129:0.129) (0.204:0.205:0.206))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1579_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.136:0.136:0.136) (0.087:0.087:0.087))
+    (IOPATH B Y (0.088:0.088:0.089) (0.048:0.048:0.048))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _1580_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.198:0.198:0.198) (0.257:0.257:0.257))
+    (IOPATH A2 X (0.154:0.154:0.154) (0.240:0.240:0.240))
+    (IOPATH B1 X (0.120:0.121:0.121) (0.182:0.182:0.182))
+    (IOPATH B2 X (0.134:0.135:0.136) (0.195:0.201:0.208))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _1581_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.175:0.175:0.175) (0.224:0.224:0.224))
+    (IOPATH A2 X (0.183:0.183:0.183) (0.268:0.268:0.268))
+    (IOPATH B1 X (0.191:0.191:0.191) (0.227:0.227:0.227))
+    (IOPATH B2 X (0.163:0.163:0.163) (0.217:0.217:0.217))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1582_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.137:0.137:0.137) (0.088:0.088:0.088))
+    (IOPATH B Y (0.088:0.089:0.090) (0.048:0.048:0.049))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _1583_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.184:0.184:0.184) (0.245:0.245:0.245))
+    (IOPATH A2 X (0.148:0.148:0.148) (0.234:0.234:0.234))
+    (IOPATH B1 X (0.108:0.109:0.110) (0.168:0.168:0.169))
+    (IOPATH B2 X (0.121:0.122:0.123) (0.183:0.190:0.196))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _1584_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.243:0.243:0.243) (0.226:0.226:0.226))
+    (IOPATH B X (0.225:0.225:0.225) (0.209:0.209:0.209))
+    (IOPATH C X (0.251:0.251:0.251) (0.261:0.261:0.261))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _1585_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.157:0.157:0.157) (0.206:0.206:0.206))
+    (IOPATH A2 X (0.127:0.127:0.127) (0.185:0.185:0.185))
+    (IOPATH B1 X (0.091:0.091:0.092) (0.152:0.153:0.153))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _1586_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.240:0.240:0.240) (0.203:0.203:0.203))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _1587_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.262:0.262:0.262) (0.217:0.217:0.217))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _1588_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.362:0.362:0.362) (0.270:0.270:0.270))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _1589_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.332:0.332:0.332) (0.256:0.256:0.256))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _1590_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.243:0.243:0.243) (0.187:0.187:0.187))
+    (IOPATH B X (0.254:0.254:0.254) (0.215:0.215:0.215))
+    (IOPATH C X (0.279:0.279:0.279) (0.257:0.257:0.257))
+    (IOPATH D X (0.273:0.273:0.273) (0.262:0.262:0.262))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _1591_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.234:0.234:0.234) (0.140:0.140:0.140))
+    (IOPATH A2 Y (0.267:0.267:0.267) (0.167:0.167:0.167))
+    (IOPATH B1 Y (0.221:0.221:0.221) (0.142:0.142:0.142))
+    (IOPATH B2 Y (0.219:0.219:0.219) (0.115:0.115:0.115))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1592_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.182:0.183:0.184) (0.080:0.081:0.081))
+    (IOPATH B Y (0.178:0.184:0.191) (0.100:0.101:0.102))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _1593_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.339:0.339:0.339) (0.252:0.252:0.252))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _1594_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.255:0.255:0.255) (0.210:0.210:0.210))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1595_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.103:0.103:0.103) (0.105:0.105:0.105))
+    (IOPATH B Y (0.133:0.133:0.133) (0.127:0.127:0.127))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1596_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.116:0.116:0.116) (0.121:0.121:0.121))
+    (IOPATH B Y (0.144:0.144:0.144) (0.144:0.144:0.144))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _1597_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.322:0.322:0.322) (0.272:0.272:0.272))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _1598_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.267:0.267:0.267) (0.219:0.219:0.219))
+    (IOPATH B X (0.278:0.278:0.278) (0.269:0.269:0.269))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _1599_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.157:0.157:0.157) (0.175:0.175:0.175))
+    (IOPATH B Y (0.202:0.202:0.202) (0.214:0.214:0.214))
+    (IOPATH C Y (0.171:0.172:0.172) (0.181:0.181:0.181))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkinv_2")
+  (INSTANCE _1600_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.058:0.058:0.058) (0.060:0.060:0.060))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _1601_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.150:0.150:0.150) (0.271:0.271:0.271))
+    (IOPATH B X (0.184:0.185:0.187) (0.296:0.299:0.303))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _1602_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.137:0.138:0.140) (0.199:0.200:0.202))
+    (IOPATH A2 X (0.153:0.154:0.156) (0.243:0.246:0.249))
+    (IOPATH B1_N X (0.214:0.214:0.214) (0.233:0.233:0.233))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _1603_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.132:0.134:0.136) (0.155:0.157:0.159))
+    (IOPATH B X (0.134:0.134:0.134) (0.160:0.160:0.161))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1604_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.245:0.247:0.248) (0.085:0.087:0.089))
+    (IOPATH B Y (0.219:0.219:0.219) (0.078:0.079:0.079))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1605_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.143:0.143:0.143) (0.058:0.058:0.058))
+    (IOPATH B Y (0.137:0.138:0.139) (0.096:0.096:0.096))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _1606_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.254:0.254:0.254) (0.205:0.205:0.205))
+    (IOPATH B X (0.287:0.287:0.287) (0.254:0.254:0.254))
+    (IOPATH C X (0.245:0.246:0.246) (0.218:0.225:0.233))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _1607_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.060:0.061:0.062) (0.061:0.061:0.061))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _1608_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.161:0.161:0.161) (0.138:0.143:0.148))
+    (IOPATH A Y (0.194:0.198:0.202) (0.114:0.114:0.114))
+    (IOPATH B Y (0.155:0.155:0.155) (0.145:0.146:0.147))
+    (IOPATH B Y (0.184:0.184:0.185) (0.097:0.097:0.097))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _1609_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.183:0.183:0.183) (0.097:0.097:0.097))
+    (IOPATH A2 Y (0.187:0.187:0.187) (0.072:0.072:0.072))
+    (IOPATH B1 Y (0.160:0.163:0.167) (0.057:0.068:0.078))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _1610_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.173:0.173:0.173) (0.180:0.184:0.188))
+    (IOPATH A2 X (0.169:0.169:0.169) (0.210:0.211:0.212))
+    (IOPATH B1 X (0.137:0.139:0.140) (0.174:0.178:0.182))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _1611_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.229:0.229:0.229) (0.193:0.193:0.193))
+    (IOPATH B X (0.263:0.263:0.263) (0.242:0.242:0.242))
+    (IOPATH C X (0.211:0.212:0.213) (0.228:0.230:0.231))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _1612_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.134:0.134:0.134) (0.170:0.171:0.171))
+    (IOPATH A2 X (0.146:0.146:0.147) (0.194:0.195:0.196))
+    (IOPATH B1 X (0.113:0.113:0.113) (0.167:0.168:0.169))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _1613_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.158:0.158:0.158) (0.098:0.098:0.098))
+    (IOPATH A2 Y (0.198:0.198:0.198) (0.126:0.126:0.126))
+    (IOPATH B1 Y (0.129:0.135:0.141) (0.063:0.063:0.063))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1614_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.140:0.141:0.141) (0.078:0.078:0.078))
+    (IOPATH B Y (0.115:0.121:0.126) (0.064:0.066:0.068))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _1615_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.192:0.192:0.192) (0.237:0.237:0.237))
+    (IOPATH A2 X (0.217:0.217:0.217) (0.282:0.282:0.282))
+    (IOPATH B1 X (0.190:0.190:0.190) (0.235:0.235:0.235))
+    (IOPATH B2 X (0.174:0.174:0.174) (0.226:0.226:0.226))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _1616_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.250:0.250:0.250) (0.211:0.211:0.211))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _1617_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.347:0.347:0.347) (0.260:0.260:0.260))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _1618_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.154:0.154:0.154) (0.182:0.182:0.182))
+    (IOPATH A2 X (0.187:0.187:0.187) (0.228:0.228:0.228))
+    (IOPATH B1 X (0.126:0.126:0.126) (0.182:0.182:0.183))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _1619_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.185:0.185:0.185) (0.171:0.171:0.171))
+    (IOPATH B X (0.232:0.232:0.232) (0.224:0.224:0.224))
+    (IOPATH C X (0.201:0.201:0.201) (0.211:0.211:0.211))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _1620_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.257:0.257:0.257) (0.249:0.249:0.249))
+    (IOPATH A2 X (0.285:0.285:0.285) (0.302:0.302:0.302))
+    (IOPATH A3 X (0.228:0.228:0.228) (0.266:0.266:0.267))
+    (IOPATH B1 X (0.185:0.186:0.186) (0.237:0.237:0.238))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _1621_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.145:0.145:0.145) (0.095:0.095:0.095))
+    (IOPATH A2 Y (0.179:0.179:0.179) (0.116:0.116:0.116))
+    (IOPATH B1 Y (0.131:0.132:0.132) (0.060:0.060:0.060))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _1622_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.242:0.242:0.242) (0.198:0.198:0.198))
+    (IOPATH B X (0.265:0.265:0.265) (0.239:0.239:0.239))
+    (IOPATH C X (0.232:0.232:0.232) (0.229:0.230:0.231))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1623_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.142:0.145:0.149) (0.067:0.070:0.073))
+    (IOPATH B Y (0.132:0.133:0.133) (0.070:0.070:0.070))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _1624_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.155:0.155:0.155) (0.097:0.097:0.097))
+    (IOPATH A2 Y (0.197:0.197:0.197) (0.127:0.127:0.127))
+    (IOPATH B1 Y (0.128:0.129:0.130) (0.062:0.062:0.062))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _1625_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.230:0.230:0.230) (0.191:0.191:0.191))
+    (IOPATH B X (0.264:0.264:0.264) (0.241:0.241:0.241))
+    (IOPATH C X (0.221:0.221:0.221) (0.206:0.206:0.207))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1626_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.128:0.133:0.139) (0.068:0.071:0.073))
+    (IOPATH B Y (0.117:0.118:0.119) (0.062:0.063:0.063))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _1627_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.209:0.211:0.213) (0.210:0.214:0.218))
+    (IOPATH B X (0.191:0.191:0.191) (0.187:0.187:0.187))
+    (IOPATH C X (0.205:0.205:0.205) (0.198:0.199:0.200))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1628_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.089:0.091:0.093) (0.097:0.097:0.097))
+    (IOPATH B Y (0.102:0.103:0.104) (0.092:0.092:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _1629_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.109:0.109:0.109) (0.211:0.213:0.214))
+    (IOPATH B X (0.099:0.099:0.099) (0.197:0.198:0.198))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _1630_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.136:0.137:0.137) (0.149:0.150:0.151))
+    (IOPATH B X (0.123:0.123:0.123) (0.156:0.156:0.156))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _1631_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.223:0.224:0.225) (0.123:0.123:0.123))
+    (IOPATH A2 Y (0.209:0.210:0.211) (0.106:0.107:0.107))
+    (IOPATH B1 Y (0.130:0.131:0.131) (0.098:0.098:0.098))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _1632_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.157:0.157:0.157) (0.155:0.155:0.155))
+    (IOPATH B X (0.135:0.135:0.135) (0.158:0.158:0.158))
+    (IOPATH C X (0.151:0.161:0.171) (0.173:0.177:0.181))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _1633_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.148:0.150:0.152) (0.241:0.245:0.249))
+    (IOPATH B X (0.115:0.115:0.115) (0.219:0.220:0.220))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _1634_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.154:0.155:0.156) (0.190:0.191:0.192))
+    (IOPATH A2 X (0.177:0.183:0.189) (0.215:0.217:0.220))
+    (IOPATH B1 X (0.125:0.125:0.125) (0.186:0.186:0.186))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _1635_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.345:0.345:0.345) (0.250:0.250:0.250))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _1636_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.341:0.341:0.341) (0.255:0.255:0.255))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _1637_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.248:0.248:0.248) (0.197:0.197:0.197))
+    (IOPATH B X (0.272:0.272:0.272) (0.225:0.225:0.225))
+    (IOPATH C X (0.302:0.302:0.302) (0.275:0.275:0.275))
+    (IOPATH D X (0.295:0.295:0.295) (0.280:0.280:0.280))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1638_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.109:0.109:0.109) (0.109:0.109:0.109))
+    (IOPATH B Y (0.144:0.144:0.144) (0.138:0.138:0.138))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _1639_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.241:0.241:0.241) (0.143:0.143:0.143))
+    (IOPATH A2 Y (0.275:0.275:0.275) (0.153:0.153:0.153))
+    (IOPATH B1 Y (0.233:0.233:0.233) (0.148:0.148:0.148))
+    (IOPATH B2 Y (0.225:0.225:0.225) (0.120:0.120:0.120))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _1640_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.210:0.210:0.210) (0.180:0.180:0.180))
+    (IOPATH B X (0.239:0.239:0.239) (0.228:0.228:0.228))
+    (IOPATH C X (0.207:0.207:0.207) (0.215:0.215:0.216))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1641_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.143:0.150:0.157) (0.090:0.091:0.092))
+    (IOPATH B Y (0.114:0.114:0.115) (0.055:0.055:0.056))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _1642_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.154:0.156:0.157) (0.162:0.165:0.168))
+    (IOPATH A Y (0.233:0.236:0.238) (0.101:0.102:0.104))
+    (IOPATH B Y (0.163:0.163:0.163) (0.139:0.144:0.150))
+    (IOPATH B Y (0.196:0.201:0.207) (0.101:0.101:0.101))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1643_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.074:0.074:0.074) (0.071:0.071:0.071))
+    (IOPATH B Y (0.105:0.105:0.105) (0.090:0.090:0.090))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _1644_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.233:0.233:0.233) (0.195:0.195:0.195))
+    (IOPATH B X (0.272:0.272:0.272) (0.251:0.251:0.251))
+    (IOPATH C X (0.203:0.205:0.207) (0.214:0.216:0.218))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _1645_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.153:0.167:0.181) (0.155:0.157:0.159))
+    (IOPATH B X (0.160:0.160:0.161) (0.173:0.174:0.175))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1646_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.093:0.093:0.093) (0.093:0.093:0.093))
+    (IOPATH B Y (0.122:0.122:0.122) (0.112:0.112:0.112))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _1647_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.206:0.207:0.208) (0.194:0.195:0.195))
+    (IOPATH B X (0.142:0.143:0.143) (0.167:0.167:0.168))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _1648_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.140:0.141:0.143) (0.150:0.151:0.153))
+    (IOPATH A Y (0.211:0.212:0.214) (0.087:0.089:0.091))
+    (IOPATH B Y (0.141:0.141:0.141) (0.137:0.137:0.137))
+    (IOPATH B Y (0.184:0.184:0.184) (0.081:0.081:0.081))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _1649_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.236:0.237:0.237) (0.250:0.251:0.252))
+    (IOPATH A2 X (0.209:0.209:0.210) (0.228:0.228:0.229))
+    (IOPATH B1 X (0.222:0.235:0.248) (0.164:0.167:0.169))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _1650_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.268:0.268:0.268) (0.223:0.223:0.223))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _1651_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.187:0.189:0.192) (0.093:0.095:0.096))
+    (IOPATH A2 Y (0.174:0.181:0.188) (0.106:0.107:0.108))
+    (IOPATH B1_N Y (0.130:0.130:0.131) (0.164:0.165:0.165))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _1652_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.138:0.138:0.138) (0.088:0.088:0.088))
+    (IOPATH A2 Y (0.175:0.175:0.175) (0.114:0.114:0.114))
+    (IOPATH B1 Y (0.117:0.118:0.120) (0.046:0.052:0.058))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _1653_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.193:0.193:0.193) (0.169:0.169:0.169))
+    (IOPATH B X (0.223:0.223:0.223) (0.213:0.213:0.213))
+    (IOPATH C X (0.174:0.182:0.190) (0.192:0.193:0.194))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1654_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.133:0.140:0.146) (0.065:0.068:0.071))
+    (IOPATH B Y (0.121:0.122:0.122) (0.054:0.054:0.054))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _1655_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.189:0.189:0.189) (0.116:0.116:0.116))
+    (IOPATH A2 Y (0.229:0.229:0.229) (0.144:0.144:0.144))
+    (IOPATH B1 Y (0.158:0.160:0.161) (0.073:0.073:0.073))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _1656_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.223:0.223:0.223) (0.186:0.186:0.186))
+    (IOPATH B X (0.252:0.252:0.252) (0.233:0.233:0.233))
+    (IOPATH C X (0.209:0.209:0.209) (0.194:0.196:0.199))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_1")
+  (INSTANCE _1657_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.169:0.170:0.171) (0.066:0.066:0.067))
+    (IOPATH B Y (0.150:0.150:0.150) (0.050:0.050:0.050))
+    (IOPATH C Y (0.117:0.119:0.121) (0.046:0.054:0.061))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _1658_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.180:0.180:0.180) (0.282:0.283:0.284))
+    (IOPATH B X (0.168:0.168:0.168) (0.240:0.243:0.246))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_1")
+  (INSTANCE _1659_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.582:0.588:0.594) (0.154:0.157:0.160))
+    (IOPATH B Y (0.566:0.567:0.568) (0.127:0.128:0.128))
+    (IOPATH C Y (0.540:0.540:0.540) (0.130:0.130:0.130))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _1660_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.161:0.165:0.168) (0.082:0.084:0.085))
+    (IOPATH A2 Y (0.140:0.140:0.141) (0.066:0.066:0.066))
+    (IOPATH B1 Y (0.111:0.111:0.112) (0.052:0.052:0.052))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1661_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.128:0.128:0.129) (0.064:0.064:0.064))
+    (IOPATH B Y (0.107:0.110:0.113) (0.056:0.058:0.060))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _1662_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.248:0.249:0.250) (0.144:0.144:0.144))
+    (IOPATH A2 Y (0.242:0.244:0.247) (0.206:0.206:0.207))
+    (IOPATH B1 Y (0.139:0.139:0.140) (0.123:0.123:0.123))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _1663_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.249:0.249:0.250) (0.328:0.328:0.329))
+    (IOPATH B X (0.257:0.258:0.258) (0.314:0.314:0.315))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _1664_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.132:0.133:0.133) (0.371:0.372:0.373))
+    (IOPATH B X (0.194:0.194:0.194) (0.353:0.356:0.358))
+    (IOPATH C X (0.109:0.109:0.109) (0.292:0.292:0.293))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _1665_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.185:0.193:0.200) (0.179:0.193:0.207))
+    (IOPATH B X (0.148:0.150:0.152) (0.180:0.180:0.180))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1666_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.117:0.117:0.117) (0.108:0.108:0.109))
+    (IOPATH B Y (0.086:0.086:0.086) (0.077:0.077:0.077))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _1667_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.124:0.124:0.124) (0.367:0.368:0.369))
+    (IOPATH B X (0.119:0.119:0.119) (0.343:0.344:0.344))
+    (IOPATH C X (0.097:0.097:0.097) (0.300:0.300:0.300))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1668_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.091:0.094:0.097) (0.092:0.098:0.104))
+    (IOPATH B Y (0.093:0.093:0.093) (0.063:0.063:0.063))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _1669_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.176:0.182:0.189) (0.203:0.215:0.227))
+    (IOPATH A2 X (0.154:0.155:0.155) (0.205:0.212:0.218))
+    (IOPATH B1 X (0.124:0.125:0.126) (0.181:0.186:0.192))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _1670_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.203:0.203:0.203) (0.201:0.201:0.201))
+    (IOPATH A Y (0.289:0.289:0.289) (0.154:0.154:0.155))
+    (IOPATH B Y (0.171:0.172:0.172) (0.157:0.157:0.158))
+    (IOPATH B Y (0.234:0.235:0.235) (0.104:0.105:0.105))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _1671_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.182:0.182:0.182) (0.114:0.114:0.114))
+    (IOPATH A2 Y (0.222:0.222:0.222) (0.131:0.131:0.131))
+    (IOPATH B1 Y (0.180:0.180:0.180) (0.114:0.114:0.114))
+    (IOPATH B2 Y (0.174:0.174:0.174) (0.097:0.097:0.097))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _1672_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.255:0.255:0.255) (0.194:0.194:0.194))
+    (IOPATH B X (0.255:0.255:0.255) (0.215:0.215:0.215))
+    (IOPATH C X (0.248:0.248:0.248) (0.232:0.232:0.232))
+    (IOPATH D X (0.248:0.248:0.248) (0.244:0.244:0.244))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1673_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.180:0.186:0.192) (0.091:0.093:0.094))
+    (IOPATH B Y (0.159:0.160:0.161) (0.075:0.075:0.075))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _1674_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.188:0.188:0.188) (0.206:0.206:0.206))
+    (IOPATH A2 X (0.217:0.217:0.217) (0.259:0.259:0.259))
+    (IOPATH A3 X (0.186:0.186:0.186) (0.228:0.230:0.233))
+    (IOPATH B1 X (0.128:0.128:0.128) (0.198:0.199:0.200))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _1675_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.176:0.176:0.176) (0.168:0.168:0.168))
+    (IOPATH B X (0.170:0.170:0.170) (0.198:0.198:0.198))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1676_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.144:0.145:0.145) (0.060:0.061:0.062))
+    (IOPATH B Y (0.129:0.129:0.129) (0.057:0.057:0.057))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _1677_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.256:0.256:0.256) (0.212:0.212:0.212))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1678_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.125:0.125:0.125) (0.129:0.129:0.129))
+    (IOPATH B Y (0.146:0.146:0.146) (0.132:0.132:0.132))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _1679_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.130:0.131:0.132) (0.140:0.140:0.141))
+    (IOPATH B X (0.135:0.135:0.135) (0.157:0.157:0.158))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _1680_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.211:0.212:0.212) (0.124:0.124:0.124))
+    (IOPATH A2 Y (0.217:0.218:0.220) (0.101:0.102:0.103))
+    (IOPATH B1_N Y (0.145:0.145:0.145) (0.178:0.178:0.179))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _1681_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.136:0.136:0.136) (0.232:0.233:0.233))
+    (IOPATH B X (0.110:0.110:0.110) (0.214:0.214:0.215))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _1682_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.158:0.158:0.158) (0.156:0.157:0.157))
+    (IOPATH A Y (0.243:0.243:0.243) (0.100:0.100:0.100))
+    (IOPATH B Y (0.169:0.170:0.170) (0.169:0.171:0.172))
+    (IOPATH B Y (0.238:0.239:0.240) (0.103:0.104:0.105))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _1683_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.185:0.185:0.185) (0.178:0.178:0.178))
+    (IOPATH B X (0.228:0.228:0.228) (0.236:0.236:0.236))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _1684_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.383:0.383:0.383) (0.273:0.273:0.273))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _1685_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.216:0.216:0.216) (0.262:0.262:0.262))
+    (IOPATH A2 X (0.200:0.200:0.200) (0.279:0.279:0.279))
+    (IOPATH B1 X (0.242:0.242:0.242) (0.262:0.262:0.262))
+    (IOPATH B2 X (0.194:0.194:0.194) (0.247:0.247:0.247))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_1")
+  (INSTANCE _1686_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.127:0.127:0.127) (0.144:0.144:0.144))
+    (IOPATH B Y (0.152:0.152:0.152) (0.164:0.164:0.164))
+    (IOPATH C Y (0.182:0.182:0.182) (0.196:0.196:0.196))
+    (IOPATH D Y (0.185:0.185:0.185) (0.201:0.201:0.201))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _1687_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.166:0.166:0.166) (0.203:0.204:0.204))
+    (IOPATH A2 X (0.168:0.168:0.169) (0.231:0.231:0.231))
+    (IOPATH B1_N X (0.256:0.260:0.263) (0.246:0.249:0.252))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _1688_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.194:0.194:0.194) (0.123:0.123:0.123))
+    (IOPATH A2 Y (0.234:0.234:0.234) (0.152:0.152:0.152))
+    (IOPATH B1 Y (0.189:0.189:0.189) (0.119:0.119:0.119))
+    (IOPATH B2 Y (0.180:0.180:0.180) (0.088:0.088:0.088))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1689_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.209:0.210:0.211) (0.094:0.094:0.095))
+    (IOPATH B Y (0.194:0.203:0.212) (0.098:0.099:0.100))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1690_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.094:0.094:0.094) (0.094:0.094:0.094))
+    (IOPATH B Y (0.102:0.102:0.102) (0.087:0.087:0.087))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _1691_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.136:0.136:0.137) (0.141:0.144:0.148))
+    (IOPATH A Y (0.196:0.199:0.203) (0.086:0.086:0.087))
+    (IOPATH B Y (0.161:0.161:0.161) (0.136:0.139:0.141))
+    (IOPATH B Y (0.176:0.179:0.181) (0.105:0.105:0.105))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _1692_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.179:0.180:0.180) (0.084:0.084:0.084))
+    (IOPATH A X (0.172:0.172:0.172) (0.170:0.171:0.171))
+    (IOPATH B X (0.160:0.162:0.163) (0.109:0.109:0.109))
+    (IOPATH B X (0.193:0.193:0.193) (0.151:0.153:0.155))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1693_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.091:0.093:0.095) (0.081:0.095:0.108))
+    (IOPATH B Y (0.093:0.101:0.109) (0.099:0.101:0.103))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _1694_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.143:0.143:0.143) (0.191:0.191:0.191))
+    (IOPATH A2 X (0.170:0.170:0.170) (0.213:0.215:0.216))
+    (IOPATH B1_N X (0.206:0.210:0.214) (0.222:0.223:0.224))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _1695_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.178:0.189:0.200) (0.168:0.170:0.172))
+    (IOPATH A Y (0.266:0.268:0.270) (0.119:0.133:0.148))
+    (IOPATH B Y (0.183:0.184:0.184) (0.165:0.166:0.167))
+    (IOPATH B Y (0.248:0.248:0.249) (0.115:0.116:0.116))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _1696_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.220:0.220:0.220) (0.091:0.092:0.092))
+    (IOPATH A X (0.210:0.211:0.211) (0.178:0.179:0.179))
+    (IOPATH B X (0.214:0.217:0.221) (0.106:0.124:0.141))
+    (IOPATH B X (0.228:0.236:0.245) (0.172:0.176:0.180))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _1697_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.116:0.130:0.144) (0.231:0.235:0.238))
+    (IOPATH B_N X (0.150:0.151:0.151) (0.227:0.227:0.228))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _1698_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.169:0.183:0.197) (0.214:0.216:0.218))
+    (IOPATH A2 X (0.170:0.171:0.172) (0.191:0.201:0.211))
+    (IOPATH B1 X (0.141:0.141:0.142) (0.122:0.122:0.122))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1699_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.136:0.142:0.149) (0.080:0.082:0.084))
+    (IOPATH B Y (0.121:0.122:0.122) (0.061:0.061:0.061))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _1700_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.169:0.169:0.169) (0.146:0.150:0.153))
+    (IOPATH A Y (0.224:0.228:0.231) (0.115:0.115:0.115))
+    (IOPATH B Y (0.166:0.166:0.166) (0.162:0.162:0.162))
+    (IOPATH B Y (0.223:0.223:0.223) (0.103:0.103:0.103))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _1701_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.162:0.162:0.162) (0.153:0.154:0.155))
+    (IOPATH A Y (0.235:0.236:0.237) (0.106:0.106:0.106))
+    (IOPATH B Y (0.167:0.178:0.190) (0.158:0.160:0.163))
+    (IOPATH B Y (0.224:0.226:0.228) (0.102:0.117:0.131))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _1702_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.095:0.096:0.096) (0.210:0.211:0.212))
+    (IOPATH B_N X (0.150:0.153:0.155) (0.225:0.234:0.242))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _1703_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.258:0.269:0.279) (0.266:0.269:0.272))
+    (IOPATH A2 X (0.257:0.270:0.283) (0.290:0.293:0.295))
+    (IOPATH B1_N X (0.306:0.306:0.307) (0.279:0.279:0.280))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _1704_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.133:0.146:0.159) (0.246:0.250:0.254))
+    (IOPATH B_N X (0.202:0.202:0.202) (0.269:0.269:0.269))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _1705_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.121:0.124:0.127) (0.098:0.102:0.106))
+    (IOPATH B Y (0.103:0.104:0.104) (0.105:0.105:0.105))
+    (IOPATH C Y (0.107:0.107:0.107) (0.107:0.107:0.108))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1706_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.122:0.122:0.122) (0.117:0.117:0.117))
+    (IOPATH B Y (0.109:0.109:0.109) (0.092:0.092:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _1707_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.202:0.202:0.202) (0.103:0.103:0.103))
+    (IOPATH A2 Y (0.230:0.230:0.230) (0.103:0.103:0.103))
+    (IOPATH B1 Y (0.172:0.172:0.172) (0.083:0.083:0.083))
+    (IOPATH B2 Y (0.201:0.201:0.201) (0.091:0.091:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _1708_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.243:0.243:0.243) (0.195:0.195:0.195))
+    (IOPATH B X (0.238:0.238:0.238) (0.211:0.211:0.211))
+    (IOPATH C X (0.239:0.239:0.239) (0.230:0.230:0.230))
+    (IOPATH D X (0.249:0.249:0.249) (0.243:0.243:0.243))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _1709_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.226:0.230:0.233) (0.113:0.114:0.114))
+    (IOPATH A2 Y (0.208:0.210:0.212) (0.115:0.118:0.120))
+    (IOPATH B1_N Y (0.160:0.160:0.160) (0.186:0.187:0.188))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _1710_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.138:0.141:0.145) (0.198:0.201:0.204))
+    (IOPATH A2 X (0.142:0.143:0.143) (0.194:0.195:0.195))
+    (IOPATH B1 X (0.112:0.112:0.112) (0.166:0.167:0.167))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _1711_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.115:0.116:0.118) (0.108:0.109:0.110))
+    (IOPATH B Y (0.131:0.133:0.135) (0.126:0.138:0.151))
+    (IOPATH C Y (0.112:0.112:0.113) (0.112:0.113:0.113))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1712_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.093:0.093:0.093) (0.093:0.093:0.093))
+    (IOPATH B Y (0.099:0.099:0.099) (0.084:0.084:0.084))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _1713_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.202:0.202:0.202) (0.127:0.127:0.127))
+    (IOPATH A2 Y (0.240:0.240:0.240) (0.139:0.139:0.139))
+    (IOPATH B1 Y (0.174:0.174:0.174) (0.089:0.089:0.089))
+    (IOPATH B2 Y (0.188:0.188:0.188) (0.101:0.101:0.101))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _1714_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.227:0.227:0.227) (0.178:0.178:0.178))
+    (IOPATH B X (0.240:0.240:0.240) (0.206:0.206:0.206))
+    (IOPATH C X (0.228:0.228:0.228) (0.220:0.220:0.220))
+    (IOPATH D X (0.229:0.229:0.229) (0.232:0.232:0.232))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1715_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.188:0.191:0.193) (0.098:0.100:0.102))
+    (IOPATH B Y (0.164:0.165:0.166) (0.070:0.070:0.071))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _1716_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.139:0.139:0.140) (0.141:0.145:0.149))
+    (IOPATH A Y (0.203:0.206:0.210) (0.086:0.087:0.087))
+    (IOPATH B Y (0.167:0.167:0.167) (0.137:0.142:0.146))
+    (IOPATH B Y (0.184:0.187:0.191) (0.109:0.109:0.109))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _1717_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.133:0.134:0.134) (0.178:0.180:0.181))
+    (IOPATH A2 X (0.130:0.131:0.131) (0.186:0.186:0.186))
+    (IOPATH B1 X (0.117:0.125:0.133) (0.177:0.178:0.180))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _1718_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.132:0.135:0.138) (0.122:0.124:0.125))
+    (IOPATH B Y (0.129:0.132:0.134) (0.125:0.138:0.152))
+    (IOPATH C Y (0.120:0.121:0.121) (0.121:0.121:0.122))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1719_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.118:0.121:0.124) (0.095:0.097:0.099))
+    (IOPATH B Y (0.130:0.132:0.134) (0.100:0.102:0.104))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _1720_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.131:0.144:0.156) (0.249:0.250:0.252))
+    (IOPATH B X (0.145:0.146:0.148) (0.226:0.233:0.239))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _1721_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.161:0.162:0.163) (0.156:0.160:0.163))
+    (IOPATH B X (0.170:0.171:0.172) (0.179:0.180:0.180))
+    (IOPATH C X (0.170:0.170:0.170) (0.192:0.192:0.192))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _1722_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.187:0.187:0.187) (0.205:0.205:0.205))
+    (IOPATH A2 X (0.215:0.215:0.215) (0.256:0.256:0.256))
+    (IOPATH A3 X (0.186:0.186:0.186) (0.225:0.229:0.233))
+    (IOPATH B1 X (0.119:0.119:0.120) (0.192:0.193:0.194))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _1723_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.151:0.151:0.151) (0.098:0.098:0.098))
+    (IOPATH A2 Y (0.185:0.185:0.185) (0.119:0.119:0.119))
+    (IOPATH B1 Y (0.121:0.121:0.121) (0.045:0.045:0.046))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _1724_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.210:0.210:0.210) (0.179:0.179:0.179))
+    (IOPATH B X (0.233:0.233:0.233) (0.219:0.219:0.219))
+    (IOPATH C X (0.179:0.180:0.181) (0.189:0.190:0.191))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1725_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.183:0.190:0.198) (0.083:0.086:0.090))
+    (IOPATH B Y (0.173:0.174:0.174) (0.071:0.072:0.072))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _1726_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.409:0.409:0.409) (0.292:0.292:0.292))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1727_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.125:0.125:0.125) (0.116:0.116:0.116))
+    (IOPATH B Y (0.114:0.114:0.114) (0.098:0.098:0.098))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _1728_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.206:0.208:0.209) (0.119:0.119:0.120))
+    (IOPATH A X (0.221:0.221:0.221) (0.175:0.177:0.179))
+    (IOPATH B X (0.192:0.199:0.206) (0.084:0.085:0.086))
+    (IOPATH B X (0.203:0.203:0.204) (0.161:0.169:0.176))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1729_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.079:0.082:0.086) (0.068:0.069:0.070))
+    (IOPATH B Y (0.087:0.087:0.087) (0.070:0.070:0.070))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _1730_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.160:0.161:0.161) (0.159:0.160:0.160))
+    (IOPATH A Y (0.236:0.236:0.237) (0.105:0.106:0.107))
+    (IOPATH B Y (0.149:0.150:0.151) (0.145:0.146:0.147))
+    (IOPATH B Y (0.207:0.208:0.209) (0.086:0.087:0.088))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _1731_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.213:0.220:0.226) (0.219:0.221:0.222))
+    (IOPATH B X (0.157:0.170:0.182) (0.179:0.181:0.184))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _1732_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.177:0.179:0.181) (0.086:0.098:0.111))
+    (IOPATH A X (0.169:0.179:0.189) (0.172:0.174:0.176))
+    (IOPATH B X (0.151:0.160:0.169) (0.102:0.103:0.105))
+    (IOPATH B X (0.184:0.185:0.186) (0.146:0.156:0.166))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _1733_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.199:0.200:0.200) (0.101:0.101:0.101))
+    (IOPATH A2 Y (0.190:0.190:0.190) (0.090:0.091:0.091))
+    (IOPATH B1 Y (0.121:0.128:0.136) (0.116:0.118:0.120))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _1734_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.250:0.250:0.250) (0.261:0.261:0.261))
+    (IOPATH A2 X (0.248:0.248:0.248) (0.279:0.279:0.279))
+    (IOPATH A3 X (0.220:0.220:0.220) (0.248:0.250:0.251))
+    (IOPATH B1 X (0.150:0.150:0.150) (0.215:0.216:0.216))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _1735_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.099:0.099:0.099) (0.354:0.354:0.355))
+    (IOPATH B X (0.101:0.101:0.102) (0.333:0.333:0.333))
+    (IOPATH C X (0.115:0.116:0.118) (0.294:0.299:0.304))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _1736_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.182:0.188:0.194) (0.167:0.171:0.176))
+    (IOPATH B X (0.151:0.151:0.152) (0.182:0.182:0.182))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1737_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.086:0.086:0.087) (0.081:0.082:0.083))
+    (IOPATH B Y (0.089:0.090:0.090) (0.080:0.080:0.080))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _1738_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.162:0.171:0.180) (0.156:0.159:0.162))
+    (IOPATH A Y (0.228:0.231:0.233) (0.108:0.119:0.131))
+    (IOPATH B Y (0.160:0.172:0.183) (0.153:0.156:0.159))
+    (IOPATH B Y (0.209:0.212:0.215) (0.097:0.111:0.125))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _1739_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.298:0.303:0.308) (0.264:0.268:0.273))
+    (IOPATH A2 X (0.281:0.282:0.282) (0.282:0.283:0.284))
+    (IOPATH B1 X (0.258:0.268:0.278) (0.261:0.265:0.269))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _1740_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.190:0.190:0.191) (0.174:0.175:0.175))
+    (IOPATH A Y (0.284:0.285:0.285) (0.128:0.129:0.129))
+    (IOPATH B Y (0.186:0.186:0.187) (0.168:0.168:0.168))
+    (IOPATH B Y (0.263:0.263:0.263) (0.115:0.116:0.116))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1741_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.150:0.150:0.150) (0.148:0.148:0.148))
+    (IOPATH B Y (0.119:0.119:0.119) (0.103:0.103:0.103))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _1742_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.236:0.236:0.236) (0.122:0.122:0.122))
+    (IOPATH A2 Y (0.274:0.274:0.274) (0.153:0.153:0.153))
+    (IOPATH B1 Y (0.208:0.208:0.208) (0.102:0.102:0.102))
+    (IOPATH B2 Y (0.224:0.224:0.224) (0.119:0.119:0.119))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _1743_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.226:0.226:0.226) (0.188:0.188:0.188))
+    (IOPATH B X (0.218:0.218:0.218) (0.200:0.200:0.200))
+    (IOPATH C X (0.222:0.222:0.222) (0.217:0.217:0.217))
+    (IOPATH D X (0.222:0.222:0.222) (0.229:0.229:0.229))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1744_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.141:0.144:0.147) (0.089:0.091:0.092))
+    (IOPATH B Y (0.112:0.113:0.114) (0.054:0.054:0.054))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _1745_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.177:0.179:0.180) (0.170:0.182:0.195))
+    (IOPATH A Y (0.271:0.282:0.293) (0.116:0.118:0.119))
+    (IOPATH B Y (0.185:0.185:0.185) (0.152:0.158:0.164))
+    (IOPATH B Y (0.240:0.245:0.250) (0.115:0.115:0.115))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _1746_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.135:0.135:0.135) (0.388:0.389:0.389))
+    (IOPATH B X (0.130:0.130:0.131) (0.370:0.373:0.376))
+    (IOPATH C X (0.144:0.146:0.149) (0.329:0.331:0.332))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _1747_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.164:0.165:0.166) (0.092:0.092:0.092))
+    (IOPATH A2 Y (0.153:0.155:0.157) (0.091:0.093:0.095))
+    (IOPATH B1 Y (0.105:0.109:0.113) (0.085:0.086:0.087))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _1748_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.216:0.216:0.216) (0.119:0.119:0.119))
+    (IOPATH A2 Y (0.235:0.235:0.235) (0.105:0.105:0.105))
+    (IOPATH B1 Y (0.176:0.176:0.176) (0.086:0.086:0.086))
+    (IOPATH B2 Y (0.197:0.197:0.197) (0.085:0.085:0.085))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1749_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.117:0.117:0.117) (0.108:0.108:0.108))
+    (IOPATH B Y (0.146:0.146:0.146) (0.137:0.137:0.137))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _1750_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.235:0.235:0.235) (0.189:0.189:0.189))
+    (IOPATH B X (0.258:0.258:0.258) (0.225:0.225:0.225))
+    (IOPATH C X (0.245:0.245:0.245) (0.234:0.234:0.234))
+    (IOPATH D X (0.255:0.255:0.255) (0.246:0.246:0.246))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _1751_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.185:0.188:0.191) (0.114:0.116:0.119))
+    (IOPATH A2 Y (0.178:0.181:0.184) (0.083:0.084:0.086))
+    (IOPATH B1_N Y (0.142:0.142:0.142) (0.171:0.172:0.173))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _1752_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.149:0.149:0.150) (0.195:0.195:0.195))
+    (IOPATH A2 X (0.166:0.171:0.176) (0.207:0.208:0.210))
+    (IOPATH B1 X (0.132:0.139:0.147) (0.183:0.187:0.190))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _1753_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.119:0.119:0.119) (0.105:0.105:0.105))
+    (IOPATH B Y (0.123:0.127:0.131) (0.121:0.131:0.141))
+    (IOPATH C Y (0.120:0.122:0.123) (0.127:0.131:0.135))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _1754_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.157:0.171:0.185) (0.202:0.204:0.206))
+    (IOPATH A2 X (0.148:0.148:0.149) (0.215:0.216:0.216))
+    (IOPATH B1_N X (0.228:0.230:0.231) (0.233:0.235:0.237))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _1755_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.141:0.143:0.145) (0.189:0.192:0.195))
+    (IOPATH A2 X (0.134:0.134:0.135) (0.189:0.189:0.189))
+    (IOPATH B1 X (0.111:0.121:0.131) (0.170:0.172:0.174))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _1756_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.127:0.129:0.131) (0.116:0.118:0.120))
+    (IOPATH B Y (0.119:0.119:0.120) (0.114:0.114:0.115))
+    (IOPATH C Y (0.112:0.113:0.113) (0.113:0.113:0.114))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _1757_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.201:0.211:0.221) (0.104:0.105:0.106))
+    (IOPATH A2 Y (0.190:0.193:0.196) (0.117:0.118:0.120))
+    (IOPATH B1_N Y (0.138:0.139:0.139) (0.172:0.173:0.174))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _1758_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.216:0.216:0.216) (0.212:0.212:0.212))
+    (IOPATH B X (0.175:0.175:0.175) (0.201:0.201:0.201))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1759_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.156:0.156:0.157) (0.071:0.081:0.092))
+    (IOPATH B Y (0.132:0.132:0.132) (0.060:0.060:0.061))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _1760_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.145:0.156:0.167) (0.155:0.155:0.156))
+    (IOPATH B X (0.142:0.143:0.143) (0.162:0.162:0.162))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1761_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.129:0.133:0.137) (0.077:0.077:0.077))
+    (IOPATH B Y (0.115:0.115:0.115) (0.051:0.051:0.052))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _1762_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.377:0.377:0.377) (0.273:0.273:0.273))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1763_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.144:0.144:0.144) (0.143:0.143:0.143))
+    (IOPATH B Y (0.134:0.134:0.134) (0.119:0.119:0.119))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _1764_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.159:0.159:0.159) (0.136:0.139:0.143))
+    (IOPATH A Y (0.204:0.208:0.211) (0.107:0.107:0.107))
+    (IOPATH B Y (0.152:0.152:0.153) (0.155:0.163:0.171))
+    (IOPATH B Y (0.206:0.213:0.221) (0.090:0.091:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _1765_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.140:0.142:0.144) (0.190:0.192:0.194))
+    (IOPATH A2 X (0.131:0.131:0.131) (0.186:0.186:0.187))
+    (IOPATH B1 X (0.106:0.106:0.106) (0.166:0.166:0.167))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _1766_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.142:0.143:0.143) (0.133:0.134:0.136))
+    (IOPATH B Y (0.142:0.144:0.146) (0.138:0.153:0.167))
+    (IOPATH C Y (0.130:0.130:0.131) (0.132:0.132:0.133))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _1767_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.167:0.169:0.171) (0.178:0.179:0.180))
+    (IOPATH B X (0.176:0.177:0.179) (0.210:0.212:0.214))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _1768_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.245:0.250:0.256) (0.129:0.131:0.132))
+    (IOPATH A X (0.257:0.258:0.259) (0.186:0.191:0.196))
+    (IOPATH B X (0.232:0.234:0.236) (0.107:0.124:0.140))
+    (IOPATH B X (0.248:0.256:0.264) (0.173:0.175:0.177))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _1769_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.181:0.181:0.181) (0.198:0.202:0.207))
+    (IOPATH A2 X (0.156:0.156:0.157) (0.201:0.208:0.215))
+    (IOPATH B1_N X (0.239:0.239:0.239) (0.164:0.164:0.165))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _1770_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.207:0.207:0.207) (0.095:0.095:0.095))
+    (IOPATH A X (0.202:0.202:0.202) (0.177:0.177:0.177))
+    (IOPATH B X (0.191:0.199:0.207) (0.128:0.130:0.131))
+    (IOPATH B X (0.226:0.227:0.228) (0.161:0.170:0.178))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _1771_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.099:0.099:0.099) (0.214:0.215:0.215))
+    (IOPATH B_N X (0.145:0.155:0.165) (0.240:0.241:0.242))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _1772_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.199:0.200:0.200) (0.106:0.106:0.106))
+    (IOPATH A2 Y (0.189:0.197:0.205) (0.124:0.125:0.127))
+    (IOPATH B1 Y (0.118:0.118:0.118) (0.081:0.081:0.081))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _1773_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.188:0.203:0.217) (0.290:0.292:0.294))
+    (IOPATH B_N X (0.231:0.233:0.234) (0.297:0.301:0.304))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _1774_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.105:0.107:0.108) (0.095:0.097:0.099))
+    (IOPATH B Y (0.111:0.113:0.116) (0.108:0.122:0.137))
+    (IOPATH C Y (0.099:0.099:0.100) (0.100:0.100:0.101))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _1775_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.194:0.194:0.194) (0.248:0.248:0.248))
+    (IOPATH A2 X (0.173:0.173:0.173) (0.257:0.257:0.257))
+    (IOPATH B1 X (0.158:0.158:0.158) (0.207:0.207:0.207))
+    (IOPATH B2 X (0.160:0.160:0.160) (0.224:0.224:0.224))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_1")
+  (INSTANCE _1776_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.118:0.118:0.118) (0.146:0.146:0.146))
+    (IOPATH B Y (0.148:0.148:0.148) (0.156:0.156:0.156))
+    (IOPATH C Y (0.170:0.170:0.170) (0.163:0.163:0.163))
+    (IOPATH D Y (0.134:0.134:0.134) (0.139:0.139:0.139))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _1777_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.168:0.168:0.168) (0.173:0.173:0.173))
+    (IOPATH B X (0.159:0.159:0.159) (0.184:0.184:0.184))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _1778_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.131:0.131:0.132) (0.064:0.065:0.065))
+    (IOPATH A2 Y (0.172:0.175:0.178) (0.075:0.079:0.082))
+    (IOPATH B1 Y (0.120:0.121:0.121) (0.045:0.045:0.045))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _1779_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.203:0.203:0.203) (0.172:0.173:0.173))
+    (IOPATH B X (0.208:0.209:0.209) (0.196:0.197:0.197))
+    (IOPATH C X (0.218:0.221:0.224) (0.237:0.241:0.245))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1780_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.175:0.178:0.182) (0.081:0.084:0.087))
+    (IOPATH B Y (0.173:0.173:0.174) (0.083:0.083:0.083))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _1781_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.140:0.140:0.140) (0.392:0.393:0.394))
+    (IOPATH B X (0.152:0.155:0.157) (0.371:0.374:0.377))
+    (IOPATH C X (0.127:0.129:0.131) (0.340:0.343:0.345))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _1782_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.189:0.190:0.191) (0.105:0.105:0.105))
+    (IOPATH A2 Y (0.178:0.181:0.184) (0.104:0.107:0.109))
+    (IOPATH B1 Y (0.127:0.131:0.134) (0.093:0.095:0.098))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1783_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.109:0.109:0.109) (0.100:0.100:0.100))
+    (IOPATH B Y (0.151:0.151:0.151) (0.144:0.144:0.144))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _1784_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.220:0.220:0.220) (0.122:0.122:0.122))
+    (IOPATH A2 Y (0.259:0.259:0.259) (0.151:0.151:0.151))
+    (IOPATH B1 Y (0.209:0.209:0.209) (0.116:0.116:0.116))
+    (IOPATH B2 Y (0.206:0.206:0.206) (0.094:0.094:0.094))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _1785_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.250:0.250:0.250) (0.199:0.199:0.199))
+    (IOPATH B X (0.261:0.261:0.261) (0.230:0.230:0.230))
+    (IOPATH C X (0.244:0.244:0.244) (0.233:0.233:0.233))
+    (IOPATH D X (0.254:0.254:0.254) (0.246:0.246:0.246))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _1786_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.206:0.211:0.216) (0.102:0.104:0.106))
+    (IOPATH A2 Y (0.189:0.198:0.207) (0.107:0.109:0.112))
+    (IOPATH B1_N Y (0.149:0.150:0.150) (0.177:0.178:0.179))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _1787_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.148:0.148:0.148) (0.194:0.194:0.194))
+    (IOPATH A2 X (0.170:0.175:0.180) (0.209:0.211:0.212))
+    (IOPATH B1 X (0.132:0.140:0.147) (0.187:0.188:0.189))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _1788_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.122:0.122:0.122) (0.108:0.108:0.109))
+    (IOPATH B Y (0.131:0.133:0.134) (0.126:0.137:0.148))
+    (IOPATH C Y (0.127:0.129:0.130) (0.134:0.139:0.144))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _1789_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.150:0.150:0.150) (0.177:0.177:0.178))
+    (IOPATH A2 X (0.129:0.129:0.129) (0.200:0.201:0.201))
+    (IOPATH B1_N X (0.211:0.213:0.215) (0.219:0.221:0.223))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _1790_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.132:0.134:0.136) (0.183:0.185:0.186))
+    (IOPATH A2 X (0.133:0.133:0.133) (0.187:0.187:0.188))
+    (IOPATH B1 X (0.113:0.123:0.133) (0.173:0.175:0.177))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _1791_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.233:0.235:0.236) (0.200:0.206:0.211))
+    (IOPATH B X (0.233:0.233:0.233) (0.208:0.208:0.209))
+    (IOPATH C X (0.238:0.238:0.238) (0.222:0.223:0.223))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _1792_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.238:0.238:0.238) (0.184:0.184:0.184))
+    (IOPATH B X (0.283:0.283:0.283) (0.250:0.250:0.250))
+    (IOPATH C X (0.254:0.254:0.254) (0.254:0.254:0.254))
+    (IOPATH D X (0.266:0.266:0.266) (0.263:0.263:0.263))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_1")
+  (INSTANCE _1793_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.183:0.183:0.184) (0.238:0.239:0.240))
+    (IOPATH A2 X (0.172:0.172:0.172) (0.227:0.228:0.229))
+    (IOPATH B1 X (0.235:0.235:0.235) (0.197:0.197:0.197))
+    (IOPATH C1 X (0.192:0.192:0.192) (0.155:0.155:0.155))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211oi_1")
+  (INSTANCE _1794_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.245:0.245:0.245) (0.127:0.127:0.127))
+    (IOPATH A2 Y (0.253:0.253:0.253) (0.097:0.097:0.097))
+    (IOPATH B1 Y (0.206:0.207:0.208) (0.055:0.056:0.056))
+    (IOPATH C1 Y (0.173:0.173:0.174) (0.059:0.059:0.059))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _1795_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.138:0.139:0.140) (0.254:0.256:0.257))
+    (IOPATH B X (0.160:0.163:0.165) (0.234:0.245:0.256))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _1796_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.215:0.219:0.224) (0.092:0.094:0.095))
+    (IOPATH A2 Y (0.220:0.220:0.220) (0.089:0.090:0.090))
+    (IOPATH B1 Y (0.196:0.196:0.197) (0.058:0.059:0.059))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_1")
+  (INSTANCE _1797_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.287:0.287:0.288) (0.099:0.099:0.099))
+    (IOPATH B Y (0.270:0.271:0.271) (0.074:0.074:0.074))
+    (IOPATH C Y (0.226:0.230:0.235) (0.095:0.097:0.099))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _1798_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.159:0.161:0.163) (0.202:0.203:0.204))
+    (IOPATH A2 X (0.152:0.152:0.153) (0.202:0.203:0.203))
+    (IOPATH B1 X (0.131:0.141:0.151) (0.186:0.188:0.190))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_1")
+  (INSTANCE _1799_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.202:0.202:0.202) (0.251:0.252:0.253))
+    (IOPATH A2 X (0.202:0.202:0.202) (0.222:0.227:0.231))
+    (IOPATH B1 X (0.179:0.179:0.179) (0.145:0.146:0.146))
+    (IOPATH C1 X (0.182:0.184:0.186) (0.158:0.160:0.161))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211oi_1")
+  (INSTANCE _1800_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.299:0.301:0.302) (0.103:0.105:0.107))
+    (IOPATH A2 Y (0.305:0.305:0.305) (0.095:0.095:0.095))
+    (IOPATH B1 Y (0.282:0.286:0.290) (0.108:0.108:0.108))
+    (IOPATH C1 Y (0.253:0.254:0.255) (0.081:0.081:0.081))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3b_1")
+  (INSTANCE _1801_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.153:0.153:0.153) (0.420:0.422:0.423))
+    (IOPATH B X (0.196:0.200:0.203) (0.401:0.405:0.410))
+    (IOPATH C_N X (0.202:0.204:0.206) (0.396:0.397:0.397))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _1802_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.134:0.134:0.134) (0.248:0.250:0.251))
+    (IOPATH B_N X (0.207:0.207:0.207) (0.264:0.264:0.264))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _1803_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.181:0.181:0.181) (0.166:0.167:0.168))
+    (IOPATH A Y (0.272:0.273:0.274) (0.120:0.120:0.121))
+    (IOPATH B Y (0.201:0.202:0.203) (0.164:0.174:0.184))
+    (IOPATH B Y (0.255:0.264:0.272) (0.136:0.138:0.139))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1804_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.099:0.099:0.099) (0.084:0.084:0.084))
+    (IOPATH B Y (0.114:0.117:0.119) (0.100:0.116:0.132))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _1805_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.119:0.121:0.123) (0.108:0.110:0.112))
+    (IOPATH B Y (0.112:0.112:0.113) (0.135:0.135:0.135))
+    (IOPATH C Y (0.111:0.111:0.111) (0.112:0.113:0.113))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _1806_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.158:0.158:0.158) (0.220:0.220:0.220))
+    (IOPATH A2 X (0.174:0.174:0.174) (0.261:0.261:0.261))
+    (IOPATH B1 X (0.171:0.171:0.171) (0.210:0.210:0.210))
+    (IOPATH B2 X (0.177:0.177:0.177) (0.240:0.240:0.240))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _1807_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.233:0.233:0.233) (0.194:0.194:0.194))
+    (IOPATH B X (0.232:0.232:0.232) (0.212:0.212:0.212))
+    (IOPATH C X (0.223:0.223:0.223) (0.218:0.218:0.218))
+    (IOPATH D X (0.223:0.223:0.223) (0.230:0.230:0.230))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _1808_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.061:0.062:0.063) (0.047:0.047:0.047))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _1809_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.143:0.144:0.145) (0.149:0.149:0.149))
+    (IOPATH B X (0.152:0.152:0.152) (0.166:0.166:0.166))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _1810_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.144:0.144:0.144) (0.397:0.398:0.399))
+    (IOPATH B X (0.135:0.137:0.139) (0.380:0.385:0.390))
+    (IOPATH C X (0.152:0.155:0.157) (0.341:0.349:0.357))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _1811_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.170:0.171:0.172) (0.097:0.097:0.097))
+    (IOPATH A2 Y (0.162:0.171:0.180) (0.096:0.098:0.100))
+    (IOPATH B1 Y (0.111:0.117:0.124) (0.086:0.089:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1812_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.149:0.149:0.149) (0.147:0.147:0.147))
+    (IOPATH B Y (0.150:0.150:0.150) (0.142:0.142:0.142))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _1813_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.255:0.255:0.255) (0.148:0.148:0.148))
+    (IOPATH A2 Y (0.286:0.286:0.286) (0.176:0.176:0.176))
+    (IOPATH B1 Y (0.255:0.255:0.255) (0.161:0.161:0.161))
+    (IOPATH B2 Y (0.239:0.239:0.239) (0.125:0.125:0.125))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _1814_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.241:0.241:0.241) (0.197:0.197:0.197))
+    (IOPATH B X (0.232:0.232:0.232) (0.210:0.210:0.210))
+    (IOPATH C X (0.231:0.231:0.231) (0.226:0.226:0.226))
+    (IOPATH D X (0.241:0.241:0.241) (0.238:0.238:0.238))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _1815_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.185:0.189:0.193) (0.093:0.093:0.093))
+    (IOPATH A2 Y (0.167:0.176:0.185) (0.105:0.106:0.106))
+    (IOPATH B1_N Y (0.129:0.129:0.129) (0.162:0.163:0.164))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _1816_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.130:0.130:0.130) (0.183:0.183:0.183))
+    (IOPATH A2 X (0.146:0.151:0.155) (0.193:0.194:0.196))
+    (IOPATH B1 X (0.107:0.115:0.123) (0.169:0.171:0.172))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _1817_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.173:0.173:0.173) (0.174:0.175:0.175))
+    (IOPATH B X (0.181:0.190:0.199) (0.182:0.184:0.186))
+    (IOPATH C X (0.192:0.196:0.200) (0.198:0.199:0.201))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _1818_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.134:0.134:0.134) (0.168:0.168:0.168))
+    (IOPATH A2 X (0.130:0.130:0.130) (0.186:0.186:0.186))
+    (IOPATH B1 X (0.107:0.107:0.107) (0.164:0.164:0.165))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _1819_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.139:0.141:0.143) (0.188:0.190:0.192))
+    (IOPATH A2 X (0.137:0.138:0.138) (0.191:0.191:0.191))
+    (IOPATH B1 X (0.127:0.127:0.127) (0.166:0.167:0.167))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _1820_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.216:0.217:0.219) (0.195:0.198:0.200))
+    (IOPATH B X (0.212:0.212:0.213) (0.196:0.196:0.197))
+    (IOPATH C X (0.217:0.217:0.218) (0.211:0.212:0.212))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _1821_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.196:0.199:0.201) (0.089:0.091:0.093))
+    (IOPATH A2 Y (0.196:0.197:0.197) (0.084:0.084:0.084))
+    (IOPATH B1 Y (0.171:0.172:0.172) (0.055:0.055:0.056))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_1")
+  (INSTANCE _1822_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.299:0.299:0.299) (0.066:0.067:0.067))
+    (IOPATH B Y (0.298:0.298:0.299) (0.091:0.091:0.091))
+    (IOPATH C Y (0.253:0.257:0.261) (0.095:0.097:0.099))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _1823_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.221:0.222:0.222) (0.129:0.129:0.129))
+    (IOPATH A2 Y (0.195:0.201:0.206) (0.123:0.125:0.127))
+    (IOPATH B1 Y (0.139:0.139:0.139) (0.107:0.107:0.107))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _1824_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.158:0.158:0.158) (0.404:0.405:0.405))
+    (IOPATH B X (0.136:0.136:0.136) (0.378:0.378:0.378))
+    (IOPATH C X (0.160:0.162:0.164) (0.332:0.337:0.341))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_1")
+  (INSTANCE _1825_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.238:0.238:0.238) (0.276:0.277:0.278))
+    (IOPATH A2 X (0.249:0.249:0.249) (0.254:0.257:0.261))
+    (IOPATH B1 X (0.236:0.243:0.249) (0.184:0.186:0.188))
+    (IOPATH C1 X (0.207:0.208:0.208) (0.168:0.168:0.168))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _1826_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.227:0.229:0.230) (0.112:0.112:0.112))
+    (IOPATH A2 Y (0.218:0.223:0.227) (0.140:0.143:0.146))
+    (IOPATH B1_N Y (0.155:0.155:0.156) (0.186:0.188:0.190))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _1827_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.118:0.118:0.118) (0.106:0.106:0.106))
+    (IOPATH B Y (0.121:0.123:0.125) (0.121:0.121:0.121))
+    (IOPATH C Y (0.125:0.127:0.129) (0.120:0.131:0.142))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _1828_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.170:0.170:0.170) (0.174:0.174:0.174))
+    (IOPATH B X (0.183:0.183:0.183) (0.182:0.184:0.186))
+    (IOPATH C X (0.183:0.193:0.203) (0.204:0.206:0.208))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _1829_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.182:0.182:0.182) (0.086:0.086:0.086))
+    (IOPATH A2 Y (0.195:0.197:0.198) (0.096:0.108:0.120))
+    (IOPATH B1 Y (0.163:0.164:0.166) (0.069:0.069:0.069))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211oi_1")
+  (INSTANCE _1830_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.295:0.295:0.296) (0.087:0.087:0.087))
+    (IOPATH A2 Y (0.325:0.327:0.328) (0.114:0.121:0.128))
+    (IOPATH B1 Y (0.294:0.298:0.301) (0.115:0.115:0.115))
+    (IOPATH C1 Y (0.260:0.261:0.261) (0.078:0.078:0.078))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _1831_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.240:0.240:0.240) (0.194:0.194:0.194))
+    (IOPATH B X (0.269:0.269:0.269) (0.238:0.238:0.238))
+    (IOPATH C X (0.247:0.247:0.247) (0.236:0.236:0.236))
+    (IOPATH D X (0.294:0.294:0.294) (0.288:0.288:0.288))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _1832_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.220:0.220:0.220) (0.134:0.134:0.134))
+    (IOPATH A2 Y (0.243:0.243:0.243) (0.156:0.156:0.156))
+    (IOPATH B1 Y (0.211:0.211:0.211) (0.134:0.134:0.134))
+    (IOPATH B2 Y (0.197:0.197:0.197) (0.108:0.108:0.108))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4bb_1")
+  (INSTANCE _1833_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.271:0.272:0.273) (0.206:0.206:0.207))
+    (IOPATH B_N X (0.297:0.306:0.316) (0.247:0.248:0.249))
+    (IOPATH C X (0.238:0.238:0.238) (0.230:0.230:0.230))
+    (IOPATH D X (0.267:0.267:0.267) (0.268:0.268:0.268))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1834_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.178:0.179:0.180) (0.083:0.083:0.083))
+    (IOPATH B Y (0.159:0.160:0.161) (0.069:0.069:0.069))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1835_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.132:0.133:0.134) (0.065:0.065:0.065))
+    (IOPATH B Y (0.133:0.142:0.151) (0.084:0.085:0.085))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _1836_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.159:0.159:0.160) (0.172:0.177:0.182))
+    (IOPATH A Y (0.243:0.248:0.252) (0.106:0.106:0.106))
+    (IOPATH B Y (0.165:0.166:0.166) (0.142:0.147:0.153))
+    (IOPATH B Y (0.201:0.206:0.210) (0.103:0.104:0.104))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _1837_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.223:0.224:0.226) (0.226:0.226:0.226))
+    (IOPATH B X (0.167:0.179:0.192) (0.186:0.188:0.190))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _1838_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.172:0.172:0.172) (0.145:0.147:0.149))
+    (IOPATH A Y (0.216:0.217:0.219) (0.123:0.124:0.124))
+    (IOPATH B Y (0.157:0.168:0.179) (0.151:0.153:0.155))
+    (IOPATH B Y (0.205:0.207:0.209) (0.095:0.109:0.122))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _1839_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.258:0.258:0.258) (0.221:0.221:0.221))
+    (IOPATH B X (0.254:0.254:0.254) (0.236:0.236:0.236))
+    (IOPATH C X (0.201:0.212:0.224) (0.207:0.211:0.214))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _1840_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.197:0.198:0.199) (0.189:0.189:0.189))
+    (IOPATH B X (0.132:0.133:0.133) (0.160:0.160:0.161))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _1841_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.226:0.226:0.226) (0.100:0.100:0.100))
+    (IOPATH A X (0.221:0.221:0.221) (0.181:0.181:0.182))
+    (IOPATH B X (0.203:0.203:0.203) (0.086:0.086:0.086))
+    (IOPATH B X (0.219:0.219:0.219) (0.158:0.158:0.159))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_2")
+  (INSTANCE _1842_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.232:0.232:0.232) (0.101:0.101:0.102))
+    (IOPATH A2 Y (0.211:0.212:0.212) (0.096:0.096:0.097))
+    (IOPATH B1 Y (0.107:0.111:0.115) (0.127:0.129:0.131))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _1843_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.135:0.135:0.135) (0.184:0.185:0.186))
+    (IOPATH A2 X (0.126:0.128:0.129) (0.158:0.163:0.168))
+    (IOPATH B1 X (0.106:0.106:0.106) (0.089:0.089:0.090))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _1844_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.232:0.232:0.232) (0.282:0.286:0.289))
+    (IOPATH B X (0.166:0.166:0.166) (0.256:0.256:0.257))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o22a_1")
+  (INSTANCE _1845_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.175:0.175:0.175) (0.228:0.230:0.231))
+    (IOPATH A2 X (0.191:0.193:0.196) (0.207:0.214:0.222))
+    (IOPATH B1 X (0.165:0.180:0.195) (0.197:0.202:0.206))
+    (IOPATH B2 X (0.158:0.158:0.158) (0.188:0.188:0.188))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _1846_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.279:0.279:0.279) (0.224:0.224:0.224))
+    (IOPATH B X (0.278:0.278:0.278) (0.245:0.245:0.245))
+    (IOPATH C X (0.269:0.269:0.269) (0.253:0.253:0.253))
+    (IOPATH D X (0.272:0.272:0.272) (0.274:0.274:0.274))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o2bb2a_1")
+  (INSTANCE _1847_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1_N X (0.297:0.297:0.297) (0.267:0.267:0.267))
+    (IOPATH A2_N X (0.279:0.279:0.279) (0.267:0.267:0.267))
+    (IOPATH B1 X (0.160:0.160:0.161) (0.291:0.292:0.293))
+    (IOPATH B2 X (0.163:0.165:0.166) (0.288:0.297:0.305))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1848_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.135:0.136:0.137) (0.063:0.063:0.064))
+    (IOPATH B Y (0.119:0.121:0.123) (0.048:0.048:0.048))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _1849_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.183:0.183:0.183) (0.168:0.169:0.170))
+    (IOPATH B X (0.195:0.195:0.195) (0.179:0.181:0.183))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1850_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.124:0.124:0.124) (0.118:0.118:0.118))
+    (IOPATH B Y (0.128:0.128:0.128) (0.118:0.118:0.118))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _1851_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.135:0.135:0.135) (0.149:0.153:0.157))
+    (IOPATH A Y (0.199:0.202:0.206) (0.086:0.086:0.086))
+    (IOPATH B Y (0.140:0.151:0.162) (0.138:0.142:0.145))
+    (IOPATH B Y (0.173:0.177:0.180) (0.082:0.094:0.106))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _1852_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.187:0.187:0.187) (0.171:0.172:0.172))
+    (IOPATH B X (0.174:0.186:0.197) (0.184:0.187:0.190))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _1853_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.167:0.168:0.170) (0.393:0.396:0.399))
+    (IOPATH B X (0.134:0.134:0.134) (0.369:0.369:0.369))
+    (IOPATH C X (0.133:0.134:0.134) (0.331:0.332:0.332))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _1854_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.141:0.146:0.152) (0.146:0.163:0.180))
+    (IOPATH B Y (0.152:0.153:0.153) (0.153:0.153:0.153))
+    (IOPATH C Y (0.157:0.157:0.157) (0.143:0.143:0.143))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _1855_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.155:0.155:0.155) (0.266:0.267:0.267))
+    (IOPATH B X (0.150:0.152:0.153) (0.264:0.267:0.271))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor4_1")
+  (INSTANCE _1856_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.311:0.312:0.314) (0.079:0.079:0.079))
+    (IOPATH B Y (0.292:0.298:0.305) (0.102:0.104:0.106))
+    (IOPATH C Y (0.265:0.269:0.273) (0.069:0.079:0.089))
+    (IOPATH D Y (0.216:0.216:0.216) (0.070:0.070:0.070))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _1857_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.146:0.147:0.147) (0.191:0.192:0.194))
+    (IOPATH A2 X (0.137:0.137:0.137) (0.185:0.185:0.185))
+    (IOPATH B1_N X (0.223:0.231:0.239) (0.199:0.200:0.200))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _1858_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.154:0.154:0.155) (0.416:0.417:0.417))
+    (IOPATH B X (0.175:0.177:0.179) (0.396:0.399:0.403))
+    (IOPATH C X (0.149:0.149:0.149) (0.356:0.357:0.357))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _1859_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.156:0.156:0.156) (0.157:0.157:0.157))
+    (IOPATH A Y (0.231:0.231:0.231) (0.101:0.101:0.101))
+    (IOPATH B Y (0.168:0.181:0.194) (0.162:0.164:0.167))
+    (IOPATH B Y (0.220:0.222:0.224) (0.106:0.123:0.139))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _1860_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.157:0.159:0.160) (0.199:0.201:0.203))
+    (IOPATH A2 X (0.165:0.165:0.166) (0.225:0.226:0.226))
+    (IOPATH B1 X (0.134:0.144:0.153) (0.187:0.192:0.196))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _1861_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.280:0.281:0.282) (0.130:0.137:0.143))
+    (IOPATH A X (0.276:0.280:0.284) (0.203:0.205:0.206))
+    (IOPATH B X (0.267:0.268:0.270) (0.129:0.150:0.172))
+    (IOPATH B X (0.278:0.287:0.296) (0.190:0.193:0.195))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _1862_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.176:0.177:0.178) (0.202:0.207:0.213))
+    (IOPATH A2 X (0.183:0.183:0.183) (0.221:0.221:0.222))
+    (IOPATH B1 X (0.182:0.183:0.184) (0.202:0.213:0.225))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _1863_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.183:0.187:0.192) (0.205:0.214:0.222))
+    (IOPATH B Y (0.196:0.197:0.198) (0.189:0.189:0.190))
+    (IOPATH C Y (0.190:0.195:0.199) (0.199:0.212:0.226))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1864_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.117:0.117:0.117) (0.113:0.113:0.113))
+    (IOPATH B Y (0.148:0.149:0.151) (0.100:0.102:0.104))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _1865_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.174:0.174:0.174) (0.203:0.203:0.203))
+    (IOPATH A2 X (0.174:0.174:0.174) (0.216:0.216:0.217))
+    (IOPATH B1 X (0.131:0.133:0.135) (0.190:0.194:0.199))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _1866_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.222:0.222:0.222) (0.120:0.120:0.120))
+    (IOPATH A X (0.211:0.211:0.211) (0.200:0.201:0.201))
+    (IOPATH B X (0.183:0.187:0.192) (0.095:0.110:0.125))
+    (IOPATH B X (0.198:0.206:0.214) (0.163:0.167:0.172))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _1867_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.198:0.198:0.198) (0.221:0.221:0.221))
+    (IOPATH A2 X (0.163:0.164:0.164) (0.209:0.209:0.210))
+    (IOPATH B1 X (0.146:0.147:0.148) (0.181:0.189:0.198))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _1868_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.097:0.109:0.121) (0.103:0.110:0.116))
+    (IOPATH B Y (0.089:0.097:0.104) (0.084:0.085:0.086))
+    (IOPATH C Y (0.088:0.095:0.101) (0.087:0.087:0.088))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1869_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.084:0.085:0.085) (0.077:0.078:0.078))
+    (IOPATH B Y (0.094:0.100:0.107) (0.073:0.074:0.076))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _1870_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.154:0.154:0.154) (0.189:0.189:0.189))
+    (IOPATH A2 X (0.167:0.167:0.167) (0.211:0.211:0.212))
+    (IOPATH B1 X (0.131:0.132:0.133) (0.186:0.187:0.187))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _1871_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.083:0.084:0.085) (0.081:0.082:0.083))
+    (IOPATH B Y (0.100:0.103:0.106) (0.105:0.111:0.116))
+    (IOPATH C Y (0.094:0.094:0.094) (0.087:0.087:0.087))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1872_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.080:0.081:0.081) (0.073:0.073:0.074))
+    (IOPATH B Y (0.089:0.094:0.098) (0.068:0.070:0.071))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _1873_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.145:0.145:0.146) (0.177:0.178:0.178))
+    (IOPATH A2 X (0.151:0.151:0.152) (0.200:0.201:0.201))
+    (IOPATH B1 X (0.112:0.113:0.114) (0.172:0.173:0.174))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _1874_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.146:0.146:0.147) (0.144:0.144:0.145))
+    (IOPATH A Y (0.206:0.207:0.207) (0.095:0.095:0.095))
+    (IOPATH B Y (0.154:0.154:0.155) (0.144:0.145:0.146))
+    (IOPATH B Y (0.191:0.191:0.192) (0.094:0.095:0.095))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _1875_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.220:0.220:0.221) (0.102:0.103:0.103))
+    (IOPATH A2 Y (0.236:0.236:0.237) (0.101:0.101:0.102))
+    (IOPATH B1 Y (0.213:0.215:0.216) (0.072:0.085:0.098))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _1876_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.151:0.151:0.151) (0.272:0.272:0.272))
+    (IOPATH B X (0.150:0.150:0.150) (0.257:0.257:0.257))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o31a_1")
+  (INSTANCE _1877_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.176:0.176:0.176) (0.332:0.332:0.332))
+    (IOPATH A2 X (0.124:0.124:0.125) (0.266:0.266:0.266))
+    (IOPATH A3 X (0.143:0.145:0.146) (0.231:0.236:0.241))
+    (IOPATH B1 X (0.115:0.115:0.115) (0.097:0.097:0.097))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _1878_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.181:0.195:0.210) (0.164:0.166:0.168))
+    (IOPATH B X (0.187:0.187:0.187) (0.184:0.185:0.185))
+    (IOPATH C X (0.187:0.187:0.187) (0.197:0.197:0.197))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1879_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.111:0.111:0.111) (0.094:0.094:0.094))
+    (IOPATH B Y (0.118:0.118:0.118) (0.100:0.100:0.100))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o31ai_1")
+  (INSTANCE _1880_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.273:0.273:0.273) (0.122:0.122:0.122))
+    (IOPATH A2 Y (0.220:0.225:0.230) (0.103:0.105:0.107))
+    (IOPATH A3 Y (0.186:0.187:0.187) (0.068:0.068:0.068))
+    (IOPATH B1 Y (0.070:0.072:0.074) (0.066:0.066:0.067))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _1881_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.063:0.064:0.066) (0.063:0.064:0.065))
+    (IOPATH B Y (0.080:0.080:0.081) (0.077:0.077:0.078))
+    (IOPATH C Y (0.082:0.082:0.083) (0.081:0.082:0.082))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _1882_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.247:0.247:0.247) (0.229:0.229:0.229))
+    (IOPATH B X (0.195:0.195:0.195) (0.190:0.190:0.190))
+    (IOPATH C X (0.192:0.193:0.195) (0.204:0.204:0.205))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _1883_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.153:0.153:0.153) (0.203:0.203:0.203))
+    (IOPATH A2 X (0.119:0.119:0.119) (0.179:0.179:0.179))
+    (IOPATH B1 X (0.089:0.089:0.089) (0.149:0.150:0.151))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _1884_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.070:0.071:0.072) (0.068:0.070:0.071))
+    (IOPATH B Y (0.085:0.085:0.085) (0.074:0.074:0.074))
+    (IOPATH C Y (0.085:0.085:0.085) (0.084:0.084:0.085))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _1885_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.182:0.182:0.182) (0.180:0.180:0.180))
+    (IOPATH B X (0.145:0.145:0.145) (0.172:0.172:0.172))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _1886_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.180:0.180:0.180) (0.220:0.220:0.220))
+    (IOPATH A2 X (0.145:0.145:0.146) (0.204:0.205:0.205))
+    (IOPATH A3 X (0.136:0.137:0.139) (0.212:0.212:0.213))
+    (IOPATH B1 X (0.090:0.090:0.091) (0.175:0.175:0.175))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _1887_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.070:0.079:0.089) (0.094:0.096:0.097))
+    (IOPATH B Y (0.126:0.126:0.126) (0.121:0.121:0.121))
+    (IOPATH C Y (0.088:0.089:0.089) (0.088:0.088:0.089))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _1888_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.180:0.180:0.180) (0.178:0.178:0.178))
+    (IOPATH B X (0.143:0.143:0.143) (0.170:0.170:0.170))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _1889_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.180:0.180:0.180) (0.221:0.221:0.221))
+    (IOPATH A2 X (0.144:0.144:0.145) (0.204:0.204:0.204))
+    (IOPATH A3 X (0.137:0.139:0.142) (0.214:0.220:0.225))
+    (IOPATH B1 X (0.089:0.090:0.090) (0.175:0.175:0.175))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _1890_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.079:0.084:0.089) (0.074:0.077:0.079))
+    (IOPATH B Y (0.105:0.105:0.105) (0.096:0.097:0.097))
+    (IOPATH C Y (0.095:0.095:0.095) (0.095:0.095:0.095))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _1891_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.181:0.181:0.181) (0.179:0.179:0.179))
+    (IOPATH B X (0.145:0.145:0.145) (0.172:0.172:0.172))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _1892_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.179:0.179:0.179) (0.220:0.220:0.220))
+    (IOPATH A2 X (0.144:0.144:0.144) (0.203:0.204:0.204))
+    (IOPATH A3 X (0.136:0.138:0.139) (0.214:0.215:0.216))
+    (IOPATH B1 X (0.088:0.089:0.090) (0.174:0.174:0.174))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _1893_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.101:0.114:0.126) (0.147:0.148:0.150))
+    (IOPATH B Y (0.114:0.120:0.125) (0.106:0.106:0.107))
+    (IOPATH C Y (0.108:0.109:0.109) (0.110:0.110:0.110))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _1894_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.180:0.180:0.180) (0.191:0.191:0.191))
+    (IOPATH B X (0.145:0.145:0.145) (0.173:0.173:0.173))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _1895_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.179:0.179:0.179) (0.220:0.220:0.220))
+    (IOPATH A2 X (0.151:0.151:0.151) (0.208:0.209:0.209))
+    (IOPATH A3 X (0.143:0.144:0.146) (0.225:0.231:0.238))
+    (IOPATH B1 X (0.087:0.088:0.088) (0.173:0.174:0.174))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _1896_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.076:0.081:0.086) (0.079:0.091:0.103))
+    (IOPATH B Y (0.100:0.102:0.105) (0.082:0.084:0.085))
+    (IOPATH C Y (0.106:0.106:0.107) (0.088:0.088:0.088))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _1897_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.204:0.204:0.204) (0.207:0.207:0.207))
+    (IOPATH B X (0.170:0.170:0.170) (0.192:0.192:0.192))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _1898_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.183:0.183:0.183) (0.223:0.223:0.223))
+    (IOPATH A2 X (0.146:0.146:0.146) (0.206:0.206:0.206))
+    (IOPATH A3 X (0.139:0.141:0.142) (0.216:0.221:0.226))
+    (IOPATH B1 X (0.099:0.100:0.100) (0.181:0.181:0.181))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _1899_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.156:0.157:0.158) (0.083:0.083:0.083))
+    (IOPATH A2 Y (0.147:0.151:0.156) (0.089:0.091:0.092))
+    (IOPATH B1 Y (0.091:0.092:0.092) (0.076:0.076:0.077))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _1900_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.196:0.196:0.196) (0.201:0.201:0.201))
+    (IOPATH B X (0.156:0.156:0.156) (0.180:0.180:0.180))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _1901_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.185:0.185:0.185) (0.225:0.225:0.225))
+    (IOPATH A2 X (0.152:0.152:0.152) (0.226:0.227:0.227))
+    (IOPATH A3 X (0.154:0.158:0.161) (0.217:0.218:0.219))
+    (IOPATH B1 X (0.098:0.099:0.099) (0.182:0.182:0.182))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _1902_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.159:0.160:0.160) (0.232:0.238:0.245))
+    (IOPATH B X (0.109:0.109:0.109) (0.214:0.215:0.216))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _1903_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.211:0.211:0.212) (0.086:0.086:0.086))
+    (IOPATH A X (0.196:0.197:0.197) (0.179:0.179:0.179))
+    (IOPATH B X (0.198:0.198:0.198) (0.091:0.091:0.091))
+    (IOPATH B X (0.209:0.209:0.209) (0.165:0.165:0.166))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _1904_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.129:0.129:0.129) (0.281:0.281:0.281))
+    (IOPATH A1 X (0.159:0.161:0.162) (0.289:0.292:0.295))
+    (IOPATH S X (0.224:0.224:0.224) (0.348:0.348:0.348))
+    (IOPATH S X (0.178:0.178:0.178) (0.329:0.329:0.329))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _1905_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.078:0.078:0.079) (0.090:0.090:0.090))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1906_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.081:0.086:0.091) (0.076:0.087:0.097))
+    (IOPATH B Y (0.116:0.119:0.122) (0.084:0.085:0.086))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _1907_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.165:0.165:0.165) (0.167:0.167:0.167))
+    (IOPATH A Y (0.232:0.232:0.232) (0.116:0.116:0.116))
+    (IOPATH B Y (0.142:0.145:0.147) (0.145:0.149:0.154))
+    (IOPATH B Y (0.196:0.200:0.204) (0.083:0.085:0.087))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _1908_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.134:0.134:0.134) (0.287:0.287:0.287))
+    (IOPATH A1 X (0.139:0.153:0.168) (0.297:0.300:0.302))
+    (IOPATH S X (0.228:0.228:0.228) (0.353:0.353:0.353))
+    (IOPATH S X (0.182:0.182:0.182) (0.334:0.334:0.334))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _1909_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.079:0.080:0.081) (0.091:0.092:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _1910_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.142:0.153:0.165) (0.177:0.182:0.186))
+    (IOPATH A2 X (0.132:0.132:0.132) (0.202:0.202:0.202))
+    (IOPATH B1 X (0.115:0.115:0.115) (0.169:0.170:0.170))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _1911_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.182:0.182:0.182) (0.191:0.191:0.191))
+    (IOPATH B X (0.129:0.129:0.129) (0.158:0.158:0.158))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _1912_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.178:0.178:0.178) (0.219:0.219:0.219))
+    (IOPATH A2 X (0.153:0.155:0.157) (0.233:0.236:0.240))
+    (IOPATH A3 X (0.136:0.137:0.137) (0.207:0.207:0.207))
+    (IOPATH B1 X (0.086:0.087:0.088) (0.173:0.173:0.173))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1913_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.115:0.115:0.115) (0.064:0.064:0.064))
+    (IOPATH B Y (0.094:0.096:0.099) (0.046:0.054:0.062))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1914_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.146:0.146:0.146) (0.074:0.075:0.075))
+    (IOPATH B Y (0.119:0.122:0.125) (0.062:0.062:0.062))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _1915_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.140:0.140:0.140) (0.288:0.288:0.288))
+    (IOPATH A1 X (0.148:0.148:0.148) (0.287:0.288:0.289))
+    (IOPATH S X (0.225:0.225:0.225) (0.349:0.349:0.349))
+    (IOPATH S X (0.179:0.179:0.179) (0.330:0.330:0.330))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _1916_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.075:0.076:0.077) (0.088:0.089:0.089))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _1917_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.132:0.132:0.133) (0.245:0.246:0.247))
+    (IOPATH B X (0.142:0.142:0.142) (0.222:0.223:0.225))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1918_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.144:0.144:0.144) (0.093:0.093:0.093))
+    (IOPATH B Y (0.100:0.100:0.101) (0.057:0.057:0.057))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _1919_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.186:0.186:0.186) (0.247:0.247:0.247))
+    (IOPATH A2 X (0.145:0.145:0.145) (0.232:0.232:0.232))
+    (IOPATH B1 X (0.119:0.119:0.120) (0.179:0.179:0.179))
+    (IOPATH B2 X (0.126:0.127:0.127) (0.187:0.193:0.199))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _1920_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.206:0.206:0.206) (0.250:0.250:0.250))
+    (IOPATH A2 X (0.199:0.199:0.199) (0.268:0.268:0.268))
+    (IOPATH B1 X (0.188:0.188:0.188) (0.226:0.226:0.226))
+    (IOPATH B2 X (0.189:0.189:0.189) (0.249:0.249:0.249))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3b_1")
+  (INSTANCE _1921_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.215:0.216:0.217) (0.187:0.187:0.187))
+    (IOPATH B X (0.159:0.159:0.160) (0.163:0.163:0.163))
+    (IOPATH C X (0.212:0.212:0.212) (0.245:0.245:0.245))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _1922_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.153:0.153:0.153) (0.202:0.202:0.202))
+    (IOPATH A2 X (0.123:0.123:0.123) (0.182:0.182:0.182))
+    (IOPATH B1 X (0.079:0.079:0.080) (0.143:0.144:0.144))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _1923_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.210:0.210:0.210) (0.205:0.205:0.205))
+    (IOPATH B X (0.223:0.223:0.223) (0.222:0.222:0.222))
+    (IOPATH C X (0.217:0.217:0.217) (0.240:0.240:0.240))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _1924_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.152:0.152:0.152) (0.202:0.202:0.202))
+    (IOPATH A2 X (0.124:0.124:0.124) (0.182:0.182:0.182))
+    (IOPATH B1 X (0.077:0.077:0.077) (0.141:0.142:0.142))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _1925_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.155:0.155:0.155) (0.158:0.158:0.158))
+    (IOPATH B X (0.150:0.150:0.150) (0.173:0.173:0.173))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1926_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.136:0.136:0.136) (0.067:0.067:0.067))
+    (IOPATH B Y (0.125:0.125:0.125) (0.065:0.065:0.065))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _1927_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.126:0.126:0.126) (0.245:0.245:0.245))
+    (IOPATH B X (0.134:0.134:0.134) (0.233:0.233:0.233))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1928_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.139:0.139:0.139) (0.072:0.072:0.072))
+    (IOPATH B Y (0.131:0.131:0.131) (0.070:0.070:0.070))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _1929_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.140:0.140:0.140) (0.254:0.254:0.254))
+    (IOPATH B X (0.133:0.133:0.133) (0.232:0.232:0.232))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1930_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.152:0.152:0.152) (0.077:0.077:0.077))
+    (IOPATH B Y (0.136:0.136:0.136) (0.069:0.069:0.069))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _1931_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.130:0.130:0.130) (0.247:0.247:0.247))
+    (IOPATH B X (0.144:0.144:0.144) (0.243:0.243:0.243))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1932_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.137:0.137:0.137) (0.068:0.068:0.068))
+    (IOPATH B Y (0.120:0.120:0.120) (0.058:0.058:0.058))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1933_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.164:0.164:0.164) (0.085:0.085:0.085))
+    (IOPATH B Y (0.140:0.140:0.140) (0.068:0.068:0.068))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1934_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.147:0.147:0.147) (0.068:0.068:0.068))
+    (IOPATH B Y (0.132:0.132:0.132) (0.063:0.063:0.063))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1935_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.151:0.151:0.151) (0.069:0.069:0.069))
+    (IOPATH B Y (0.134:0.134:0.134) (0.061:0.061:0.061))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _1936_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.164:0.164:0.164) (0.162:0.162:0.162))
+    (IOPATH B X (0.165:0.165:0.165) (0.180:0.180:0.180))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1937_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.114:0.114:0.114) (0.056:0.056:0.056))
+    (IOPATH B Y (0.098:0.098:0.098) (0.049:0.049:0.049))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1938_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.190:0.190:0.190) (0.080:0.080:0.080))
+    (IOPATH B Y (0.167:0.168:0.168) (0.074:0.074:0.074))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _1939_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.169:0.169:0.169) (0.276:0.276:0.276))
+    (IOPATH B X (0.166:0.166:0.166) (0.258:0.258:0.258))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _1940_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.149:0.149:0.149) (0.072:0.072:0.072))
+    (IOPATH B Y (0.133:0.133:0.133) (0.064:0.064:0.064))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1941_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.092:0.092:0.092) (0.086:0.086:0.086))
+    (IOPATH B Y (0.101:0.101:0.101) (0.089:0.089:0.089))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _1942_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.136:0.136:0.136) (0.248:0.248:0.248))
+    (IOPATH B X (0.133:0.133:0.133) (0.231:0.231:0.231))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _1943_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.221:0.221:0.221) (0.178:0.178:0.178))
+    (IOPATH B X (0.231:0.231:0.231) (0.205:0.205:0.205))
+    (IOPATH C X (0.220:0.221:0.221) (0.210:0.211:0.212))
+    (IOPATH D X (0.224:0.224:0.224) (0.219:0.220:0.220))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _1944_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.245:0.245:0.245) (0.121:0.121:0.121))
+    (IOPATH A2 Y (0.265:0.265:0.265) (0.125:0.125:0.125))
+    (IOPATH B1 Y (0.226:0.227:0.228) (0.079:0.079:0.079))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1945_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.079:0.079:0.079) (0.073:0.073:0.073))
+    (IOPATH B Y (0.085:0.085:0.085) (0.074:0.074:0.074))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _1946_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.225:0.226:0.227) (0.129:0.129:0.129))
+    (IOPATH A2 Y (0.220:0.226:0.232) (0.139:0.141:0.143))
+    (IOPATH B1 Y (0.141:0.142:0.143) (0.101:0.102:0.103))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _1947_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.152:0.152:0.152) (0.183:0.183:0.183))
+    (IOPATH A2 X (0.155:0.155:0.155) (0.204:0.204:0.204))
+    (IOPATH B1 X (0.136:0.141:0.145) (0.189:0.190:0.191))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31oi_2")
+  (INSTANCE _1948_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.172:0.173:0.174) (0.138:0.138:0.138))
+    (IOPATH A2 Y (0.222:0.223:0.223) (0.120:0.120:0.120))
+    (IOPATH A3 Y (0.224:0.225:0.225) (0.114:0.114:0.114))
+    (IOPATH B1 Y (0.189:0.189:0.189) (0.057:0.057:0.057))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _1949_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.147:0.147:0.147) (0.154:0.154:0.154))
+    (IOPATH B X (0.147:0.147:0.147) (0.170:0.170:0.170))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _1950_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.194:0.194:0.194) (0.207:0.208:0.208))
+    (IOPATH A2 X (0.179:0.183:0.188) (0.194:0.206:0.217))
+    (IOPATH B1_N X (0.253:0.253:0.253) (0.173:0.173:0.173))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1951_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.083:0.083:0.083) (0.075:0.075:0.075))
+    (IOPATH B Y (0.091:0.091:0.091) (0.078:0.078:0.078))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _1952_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.188:0.188:0.188) (0.212:0.212:0.212))
+    (IOPATH A2 X (0.166:0.166:0.166) (0.202:0.203:0.203))
+    (IOPATH B1 X (0.164:0.165:0.165) (0.133:0.134:0.135))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1953_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.091:0.091:0.091) (0.084:0.084:0.084))
+    (IOPATH B Y (0.088:0.088:0.088) (0.076:0.076:0.076))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _1954_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.195:0.195:0.195) (0.215:0.218:0.220))
+    (IOPATH A2 X (0.170:0.171:0.171) (0.205:0.206:0.207))
+    (IOPATH B1 X (0.167:0.168:0.168) (0.134:0.137:0.140))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1955_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.076:0.076:0.076) (0.071:0.071:0.071))
+    (IOPATH B Y (0.082:0.082:0.082) (0.070:0.070:0.070))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _1956_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.192:0.193:0.194) (0.112:0.112:0.112))
+    (IOPATH A2 Y (0.189:0.190:0.191) (0.097:0.097:0.097))
+    (IOPATH B1 Y (0.118:0.119:0.120) (0.087:0.088:0.088))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1957_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.081:0.081:0.081) (0.073:0.073:0.073))
+    (IOPATH B Y (0.105:0.105:0.105) (0.090:0.090:0.090))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21boi_1")
+  (INSTANCE _1958_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.205:0.205:0.205) (0.088:0.088:0.088))
+    (IOPATH A2 Y (0.227:0.230:0.232) (0.113:0.119:0.126))
+    (IOPATH B1_N Y (0.234:0.235:0.236) (0.149:0.150:0.151))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1959_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.087:0.087:0.087) (0.082:0.082:0.082))
+    (IOPATH B Y (0.093:0.093:0.093) (0.082:0.082:0.082))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _1960_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.219:0.220:0.220) (0.126:0.126:0.126))
+    (IOPATH A2 Y (0.210:0.215:0.221) (0.126:0.129:0.131))
+    (IOPATH B1 Y (0.138:0.139:0.140) (0.101:0.101:0.102))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1961_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.100:0.100:0.100) (0.093:0.093:0.093))
+    (IOPATH B Y (0.103:0.103:0.103) (0.090:0.090:0.090))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21boi_1")
+  (INSTANCE _1962_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.217:0.217:0.217) (0.092:0.092:0.092))
+    (IOPATH A2 Y (0.248:0.249:0.250) (0.124:0.131:0.139))
+    (IOPATH B1_N Y (0.251:0.251:0.252) (0.156:0.157:0.159))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1963_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.093:0.093:0.093) (0.087:0.087:0.087))
+    (IOPATH B Y (0.109:0.109:0.109) (0.096:0.096:0.096))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _1964_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.206:0.207:0.208) (0.116:0.116:0.116))
+    (IOPATH A2 Y (0.199:0.205:0.212) (0.124:0.127:0.129))
+    (IOPATH B1 Y (0.134:0.134:0.134) (0.098:0.099:0.100))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1965_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.080:0.080:0.080) (0.071:0.071:0.071))
+    (IOPATH B Y (0.095:0.095:0.095) (0.083:0.083:0.083))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21boi_1")
+  (INSTANCE _1966_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.200:0.200:0.200) (0.086:0.086:0.086))
+    (IOPATH A2 Y (0.229:0.230:0.230) (0.114:0.121:0.128))
+    (IOPATH B1_N Y (0.229:0.230:0.231) (0.147:0.147:0.147))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1967_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.077:0.077:0.077) (0.071:0.071:0.071))
+    (IOPATH B Y (0.089:0.089:0.089) (0.078:0.078:0.078))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _1968_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.161:0.161:0.162) (0.097:0.097:0.097))
+    (IOPATH A2 Y (0.153:0.158:0.164) (0.098:0.100:0.102))
+    (IOPATH B1 Y (0.097:0.097:0.097) (0.073:0.074:0.075))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _1969_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.133:0.133:0.133) (0.249:0.249:0.249))
+    (IOPATH B X (0.124:0.124:0.124) (0.227:0.227:0.227))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_1")
+  (INSTANCE _1970_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.170:0.170:0.170) (0.229:0.229:0.230))
+    (IOPATH A2 X (0.159:0.163:0.167) (0.217:0.218:0.219))
+    (IOPATH B1 X (0.159:0.159:0.159) (0.138:0.138:0.138))
+    (IOPATH C1 X (0.208:0.208:0.208) (0.151:0.151:0.151))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _1971_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.152:0.152:0.152) (0.070:0.070:0.070))
+    (IOPATH A X (0.141:0.141:0.141) (0.160:0.160:0.160))
+    (IOPATH B X (0.126:0.128:0.129) (0.061:0.061:0.062))
+    (IOPATH B X (0.144:0.145:0.145) (0.136:0.137:0.138))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _1972_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.111:0.111:0.111) (0.227:0.227:0.228))
+    (IOPATH B_N X (0.166:0.166:0.166) (0.236:0.236:0.236))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _1973_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.153:0.153:0.153) (0.302:0.302:0.302))
+    (IOPATH A1 X (0.154:0.160:0.165) (0.307:0.308:0.309))
+    (IOPATH S X (0.241:0.241:0.241) (0.367:0.367:0.367))
+    (IOPATH S X (0.197:0.197:0.197) (0.348:0.348:0.348))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _1974_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.113:0.113:0.113) (0.126:0.126:0.126))
+    (IOPATH A Y (0.157:0.157:0.157) (0.069:0.069:0.069))
+    (IOPATH B Y (0.112:0.113:0.113) (0.128:0.129:0.129))
+    (IOPATH B Y (0.142:0.142:0.142) (0.062:0.062:0.063))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _1975_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.195:0.195:0.196) (0.199:0.199:0.199))
+    (IOPATH B X (0.131:0.131:0.132) (0.162:0.163:0.163))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _1976_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.138:0.139:0.141) (0.122:0.129:0.136))
+    (IOPATH A Y (0.148:0.154:0.160) (0.095:0.097:0.099))
+    (IOPATH B Y (0.108:0.108:0.108) (0.115:0.115:0.115))
+    (IOPATH B Y (0.125:0.125:0.125) (0.058:0.058:0.058))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _1977_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.140:0.140:0.140) (0.286:0.286:0.286))
+    (IOPATH A1 X (0.117:0.128:0.140) (0.281:0.284:0.287))
+    (IOPATH S X (0.223:0.223:0.223) (0.346:0.346:0.346))
+    (IOPATH S X (0.176:0.176:0.176) (0.328:0.328:0.328))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _1978_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.077:0.078:0.078) (0.089:0.090:0.090))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1979_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.075:0.075:0.075) (0.062:0.062:0.062))
+    (IOPATH B Y (0.079:0.079:0.079) (0.064:0.065:0.066))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _1980_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.134:0.139:0.144) (0.138:0.139:0.139))
+    (IOPATH A Y (0.166:0.166:0.167) (0.091:0.096:0.102))
+    (IOPATH B Y (0.111:0.111:0.112) (0.118:0.119:0.119))
+    (IOPATH B Y (0.132:0.132:0.132) (0.060:0.061:0.061))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _1981_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.140:0.140:0.140) (0.286:0.286:0.286))
+    (IOPATH A1 X (0.119:0.130:0.142) (0.282:0.285:0.288))
+    (IOPATH S X (0.223:0.223:0.223) (0.346:0.346:0.346))
+    (IOPATH S X (0.176:0.176:0.176) (0.328:0.328:0.328))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _1982_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.083:0.084:0.084) (0.093:0.093:0.094))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _1983_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.200:0.201:0.202) (0.201:0.201:0.201))
+    (IOPATH B X (0.138:0.139:0.140) (0.171:0.172:0.172))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _1984_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.140:0.142:0.144) (0.124:0.131:0.139))
+    (IOPATH A Y (0.148:0.154:0.161) (0.098:0.100:0.102))
+    (IOPATH B Y (0.108:0.108:0.108) (0.115:0.115:0.115))
+    (IOPATH B Y (0.123:0.123:0.123) (0.059:0.059:0.059))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _1985_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.150:0.150:0.150) (0.294:0.294:0.294))
+    (IOPATH A1 X (0.117:0.128:0.139) (0.282:0.285:0.289))
+    (IOPATH S X (0.224:0.224:0.224) (0.347:0.347:0.347))
+    (IOPATH S X (0.177:0.177:0.177) (0.329:0.329:0.329))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _1986_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.077:0.077:0.078) (0.089:0.089:0.089))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1987_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.077:0.077:0.077) (0.064:0.064:0.064))
+    (IOPATH B Y (0.085:0.086:0.088) (0.070:0.070:0.071))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _1988_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.134:0.139:0.144) (0.138:0.139:0.140))
+    (IOPATH A Y (0.162:0.163:0.165) (0.091:0.097:0.102))
+    (IOPATH B Y (0.109:0.110:0.111) (0.118:0.118:0.118))
+    (IOPATH B Y (0.128:0.128:0.128) (0.059:0.060:0.061))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _1989_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.140:0.140:0.140) (0.288:0.288:0.288))
+    (IOPATH A1 X (0.120:0.131:0.143) (0.284:0.288:0.291))
+    (IOPATH S X (0.226:0.226:0.226) (0.349:0.349:0.349))
+    (IOPATH S X (0.179:0.179:0.179) (0.331:0.331:0.331))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _1990_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.077:0.078:0.078) (0.089:0.090:0.090))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _1991_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.198:0.198:0.199) (0.203:0.203:0.203))
+    (IOPATH B X (0.134:0.134:0.135) (0.165:0.166:0.167))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _1992_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.135:0.137:0.138) (0.120:0.126:0.133))
+    (IOPATH A Y (0.141:0.147:0.153) (0.093:0.095:0.096))
+    (IOPATH B Y (0.105:0.105:0.105) (0.112:0.112:0.113))
+    (IOPATH B Y (0.118:0.118:0.118) (0.056:0.056:0.056))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _1993_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.327:0.327:0.327) (0.270:0.270:0.270))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _1994_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.139:0.139:0.139) (0.286:0.286:0.286))
+    (IOPATH A1 X (0.117:0.128:0.138) (0.282:0.285:0.288))
+    (IOPATH S X (0.225:0.225:0.225) (0.349:0.349:0.349))
+    (IOPATH S X (0.180:0.180:0.180) (0.330:0.330:0.330))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _1995_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.076:0.077:0.077) (0.089:0.089:0.089))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _1996_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.076:0.076:0.076) (0.063:0.063:0.063))
+    (IOPATH B Y (0.080:0.082:0.083) (0.065:0.066:0.067))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _1997_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.134:0.138:0.142) (0.130:0.133:0.136))
+    (IOPATH A Y (0.161:0.163:0.166) (0.090:0.095:0.100))
+    (IOPATH B Y (0.113:0.113:0.114) (0.120:0.120:0.121))
+    (IOPATH B Y (0.135:0.135:0.135) (0.061:0.062:0.063))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _1998_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.151:0.151:0.151) (0.295:0.295:0.295))
+    (IOPATH A1 X (0.119:0.131:0.143) (0.282:0.285:0.288))
+    (IOPATH S X (0.224:0.224:0.224) (0.347:0.347:0.347))
+    (IOPATH S X (0.179:0.179:0.179) (0.329:0.329:0.329))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _1999_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.076:0.077:0.077) (0.088:0.089:0.089))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _2000_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.194:0.194:0.195) (0.199:0.199:0.199))
+    (IOPATH B X (0.130:0.131:0.132) (0.161:0.162:0.163))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _2001_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.123:0.124:0.124) (0.126:0.127:0.128))
+    (IOPATH A Y (0.151:0.152:0.153) (0.081:0.081:0.081))
+    (IOPATH B Y (0.108:0.108:0.108) (0.115:0.115:0.115))
+    (IOPATH B Y (0.125:0.125:0.125) (0.058:0.058:0.058))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2002_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.135:0.135:0.135) (0.286:0.286:0.286))
+    (IOPATH A1 X (0.122:0.134:0.145) (0.287:0.288:0.290))
+    (IOPATH S X (0.229:0.229:0.229) (0.353:0.353:0.353))
+    (IOPATH S X (0.183:0.183:0.183) (0.334:0.334:0.334))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2003_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.083:0.083:0.084) (0.094:0.094:0.094))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _2004_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.195:0.198:0.200) (0.203:0.203:0.204))
+    (IOPATH B X (0.132:0.132:0.132) (0.162:0.165:0.167))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _2005_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.118:0.118:0.118) (0.122:0.123:0.124))
+    (IOPATH A Y (0.142:0.143:0.144) (0.075:0.076:0.076))
+    (IOPATH B Y (0.104:0.104:0.104) (0.112:0.112:0.112))
+    (IOPATH B Y (0.117:0.117:0.117) (0.055:0.055:0.055))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2006_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.134:0.134:0.134) (0.282:0.282:0.282))
+    (IOPATH A1 X (0.116:0.126:0.137) (0.280:0.282:0.283))
+    (IOPATH S X (0.224:0.224:0.224) (0.347:0.347:0.347))
+    (IOPATH S X (0.178:0.178:0.178) (0.329:0.329:0.329))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2007_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.077:0.078:0.078) (0.089:0.089:0.090))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _2008_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.200:0.201:0.201) (0.206:0.206:0.206))
+    (IOPATH B X (0.138:0.139:0.140) (0.168:0.168:0.169))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _2009_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.118:0.118:0.118) (0.121:0.122:0.123))
+    (IOPATH A Y (0.143:0.143:0.144) (0.076:0.076:0.076))
+    (IOPATH B Y (0.107:0.107:0.107) (0.114:0.114:0.114))
+    (IOPATH B Y (0.119:0.119:0.119) (0.057:0.057:0.057))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2010_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.133:0.133:0.133) (0.283:0.283:0.283))
+    (IOPATH A1 X (0.117:0.128:0.139) (0.282:0.284:0.285))
+    (IOPATH S X (0.225:0.225:0.225) (0.349:0.349:0.349))
+    (IOPATH S X (0.180:0.180:0.180) (0.330:0.330:0.330))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2011_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.078:0.078:0.079) (0.090:0.090:0.090))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _2012_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.139:0.139:0.140) (0.060:0.060:0.060))
+    (IOPATH B Y (0.123:0.124:0.125) (0.073:0.073:0.073))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _2013_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.128:0.128:0.128) (0.116:0.118:0.120))
+    (IOPATH A Y (0.142:0.144:0.147) (0.085:0.085:0.086))
+    (IOPATH B Y (0.130:0.135:0.139) (0.116:0.129:0.141))
+    (IOPATH B Y (0.126:0.137:0.149) (0.078:0.082:0.085))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2014_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.128:0.128:0.128) (0.279:0.279:0.279))
+    (IOPATH A1 X (0.118:0.129:0.140) (0.282:0.284:0.285))
+    (IOPATH S X (0.224:0.224:0.224) (0.347:0.347:0.347))
+    (IOPATH S X (0.178:0.178:0.178) (0.329:0.329:0.329))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2015_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.077:0.078:0.078) (0.089:0.090:0.090))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _2016_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.086:0.087:0.087) (0.078:0.078:0.078))
+    (IOPATH B Y (0.080:0.080:0.080) (0.070:0.070:0.070))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _2017_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.135:0.135:0.135) (0.118:0.119:0.119))
+    (IOPATH A Y (0.139:0.140:0.140) (0.093:0.093:0.093))
+    (IOPATH B Y (0.105:0.105:0.105) (0.114:0.116:0.118))
+    (IOPATH B Y (0.118:0.120:0.122) (0.056:0.056:0.056))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2018_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.132:0.132:0.132) (0.283:0.283:0.283))
+    (IOPATH A1 X (0.119:0.129:0.140) (0.284:0.287:0.290))
+    (IOPATH S X (0.227:0.227:0.227) (0.351:0.351:0.351))
+    (IOPATH S X (0.181:0.181:0.181) (0.332:0.332:0.332))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2019_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.084:0.085:0.085) (0.094:0.094:0.095))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _2020_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.072:0.072:0.072) (0.067:0.067:0.067))
+    (IOPATH B Y (0.080:0.080:0.080) (0.069:0.069:0.069))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _2021_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.087:0.087:0.087) (0.079:0.079:0.079))
+    (IOPATH B Y (0.076:0.077:0.078) (0.062:0.063:0.063))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _2022_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.137:0.142:0.147) (0.142:0.143:0.144))
+    (IOPATH A Y (0.168:0.169:0.170) (0.094:0.100:0.106))
+    (IOPATH B Y (0.111:0.112:0.112) (0.118:0.120:0.123))
+    (IOPATH B Y (0.130:0.132:0.134) (0.061:0.061:0.061))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2023_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.134:0.134:0.134) (0.282:0.282:0.282))
+    (IOPATH A1 X (0.117:0.129:0.141) (0.280:0.284:0.288))
+    (IOPATH S X (0.223:0.223:0.223) (0.346:0.346:0.346))
+    (IOPATH S X (0.177:0.177:0.177) (0.327:0.327:0.327))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2024_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.074:0.074:0.075) (0.087:0.087:0.087))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _2025_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.197:0.198:0.198) (0.204:0.204:0.204))
+    (IOPATH B X (0.133:0.134:0.134) (0.163:0.164:0.165))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _2026_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.147:0.148:0.150) (0.126:0.133:0.140))
+    (IOPATH A Y (0.152:0.159:0.165) (0.105:0.107:0.108))
+    (IOPATH B Y (0.110:0.110:0.110) (0.116:0.116:0.116))
+    (IOPATH B Y (0.127:0.127:0.127) (0.059:0.059:0.059))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2027_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.133:0.133:0.133) (0.282:0.282:0.282))
+    (IOPATH A1 X (0.119:0.130:0.142) (0.283:0.287:0.291))
+    (IOPATH S X (0.225:0.225:0.225) (0.348:0.348:0.348))
+    (IOPATH S X (0.179:0.179:0.179) (0.330:0.330:0.330))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2028_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.080:0.080:0.081) (0.091:0.091:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _2029_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.155:0.155:0.155) (0.218:0.218:0.218))
+    (IOPATH A2 X (0.157:0.157:0.157) (0.241:0.241:0.241))
+    (IOPATH B1 X (0.125:0.126:0.126) (0.181:0.182:0.183))
+    (IOPATH B2 X (0.126:0.126:0.126) (0.199:0.200:0.200))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _2030_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.141:0.141:0.141) (0.091:0.091:0.091))
+    (IOPATH B Y (0.092:0.093:0.093) (0.049:0.049:0.049))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _2031_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.192:0.192:0.192) (0.252:0.252:0.252))
+    (IOPATH A2 X (0.156:0.156:0.156) (0.241:0.241:0.241))
+    (IOPATH B1 X (0.116:0.116:0.117) (0.175:0.175:0.175))
+    (IOPATH B2 X (0.130:0.131:0.132) (0.190:0.197:0.204))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _2032_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.131:0.131:0.131) (0.117:0.117:0.117))
+    (IOPATH B Y (0.098:0.098:0.098) (0.087:0.087:0.087))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _2033_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.126:0.126:0.126) (0.135:0.135:0.135))
+    (IOPATH A Y (0.157:0.157:0.157) (0.084:0.084:0.084))
+    (IOPATH B Y (0.111:0.113:0.115) (0.121:0.128:0.136))
+    (IOPATH B Y (0.128:0.135:0.142) (0.061:0.063:0.065))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2034_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.207:0.207:0.207) (0.343:0.343:0.343))
+    (IOPATH A1 X (0.167:0.167:0.167) (0.321:0.321:0.321))
+    (IOPATH S X (0.237:0.237:0.237) (0.363:0.363:0.363))
+    (IOPATH S X (0.192:0.192:0.192) (0.344:0.344:0.344))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2035_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.092:0.093:0.094) (0.100:0.100:0.100))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _2036_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.297:0.297:0.297) (0.261:0.261:0.261))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2037_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.200:0.200:0.200) (0.336:0.336:0.336))
+    (IOPATH A1 X (0.137:0.137:0.137) (0.298:0.298:0.298))
+    (IOPATH S X (0.223:0.223:0.223) (0.340:0.340:0.340))
+    (IOPATH S X (0.161:0.161:0.161) (0.330:0.330:0.330))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2038_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.088:0.090:0.091) (0.097:0.097:0.097))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2039_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.214:0.214:0.214) (0.349:0.349:0.349))
+    (IOPATH A1 X (0.152:0.152:0.152) (0.313:0.313:0.313))
+    (IOPATH S X (0.238:0.238:0.238) (0.356:0.356:0.356))
+    (IOPATH S X (0.176:0.176:0.176) (0.346:0.346:0.346))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2040_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.107:0.108:0.109) (0.109:0.110:0.110))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2041_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.210:0.210:0.210) (0.348:0.348:0.348))
+    (IOPATH A1 X (0.149:0.149:0.149) (0.308:0.308:0.308))
+    (IOPATH S X (0.230:0.230:0.230) (0.348:0.348:0.348))
+    (IOPATH S X (0.168:0.168:0.168) (0.338:0.338:0.338))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2042_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.101:0.102:0.103) (0.105:0.105:0.105))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2043_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.215:0.215:0.215) (0.351:0.351:0.351))
+    (IOPATH A1 X (0.140:0.140:0.140) (0.306:0.306:0.306))
+    (IOPATH S X (0.237:0.237:0.237) (0.355:0.355:0.355))
+    (IOPATH S X (0.175:0.175:0.175) (0.345:0.345:0.345))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2044_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.099:0.100:0.101) (0.105:0.105:0.105))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2045_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.177:0.177:0.177) (0.312:0.312:0.312))
+    (IOPATH A1 X (0.148:0.148:0.148) (0.310:0.310:0.310))
+    (IOPATH S X (0.235:0.235:0.235) (0.353:0.353:0.353))
+    (IOPATH S X (0.173:0.173:0.173) (0.344:0.344:0.344))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2046_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.103:0.103:0.104) (0.106:0.107:0.107))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2047_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.162:0.162:0.162) (0.295:0.295:0.295))
+    (IOPATH A1 X (0.134:0.134:0.134) (0.292:0.292:0.292))
+    (IOPATH S X (0.216:0.216:0.216) (0.332:0.332:0.332))
+    (IOPATH S X (0.155:0.155:0.155) (0.322:0.322:0.322))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2048_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.074:0.075:0.076) (0.087:0.087:0.087))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2049_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.162:0.162:0.162) (0.296:0.296:0.296))
+    (IOPATH A1 X (0.144:0.144:0.144) (0.299:0.299:0.299))
+    (IOPATH S X (0.219:0.219:0.219) (0.336:0.336:0.336))
+    (IOPATH S X (0.157:0.157:0.157) (0.326:0.326:0.326))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2050_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.079:0.080:0.081) (0.091:0.091:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2051_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.193:0.193:0.193) (0.327:0.327:0.327))
+    (IOPATH A1 X (0.167:0.167:0.167) (0.318:0.318:0.318))
+    (IOPATH S X (0.220:0.220:0.220) (0.337:0.337:0.337))
+    (IOPATH S X (0.158:0.158:0.158) (0.327:0.327:0.327))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2052_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.086:0.087:0.089) (0.095:0.095:0.096))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2053_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.163:0.163:0.163) (0.296:0.296:0.296))
+    (IOPATH A1 X (0.152:0.152:0.152) (0.305:0.305:0.305))
+    (IOPATH S X (0.220:0.220:0.220) (0.336:0.336:0.336))
+    (IOPATH S X (0.158:0.158:0.158) (0.327:0.327:0.327))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2054_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.085:0.086:0.087) (0.095:0.095:0.095))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2055_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.195:0.195:0.195) (0.329:0.329:0.329))
+    (IOPATH A1 X (0.146:0.146:0.146) (0.301:0.301:0.301))
+    (IOPATH S X (0.221:0.221:0.221) (0.337:0.337:0.337))
+    (IOPATH S X (0.159:0.159:0.159) (0.328:0.328:0.328))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2056_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.080:0.082:0.083) (0.091:0.092:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _2057_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.349:0.349:0.349) (0.280:0.280:0.280))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2058_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.186:0.186:0.186) (0.335:0.335:0.335))
+    (IOPATH A1 X (0.131:0.131:0.131) (0.296:0.296:0.296))
+    (IOPATH S X (0.237:0.237:0.237) (0.361:0.361:0.361))
+    (IOPATH S X (0.192:0.192:0.192) (0.343:0.343:0.343))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2059_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.092:0.093:0.094) (0.100:0.100:0.100))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2060_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.189:0.189:0.189) (0.343:0.343:0.343))
+    (IOPATH A1 X (0.121:0.121:0.121) (0.289:0.289:0.289))
+    (IOPATH S X (0.234:0.234:0.234) (0.357:0.357:0.357))
+    (IOPATH S X (0.190:0.190:0.190) (0.339:0.339:0.339))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2061_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.080:0.082:0.083) (0.092:0.092:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2062_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.192:0.192:0.192) (0.326:0.326:0.326))
+    (IOPATH A1 X (0.118:0.118:0.118) (0.285:0.285:0.285))
+    (IOPATH S X (0.230:0.230:0.230) (0.352:0.352:0.352))
+    (IOPATH S X (0.185:0.185:0.185) (0.334:0.334:0.334))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2063_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.094:0.096:0.097) (0.100:0.100:0.100))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2064_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.170:0.170:0.170) (0.317:0.317:0.317))
+    (IOPATH A1 X (0.121:0.121:0.121) (0.286:0.286:0.286))
+    (IOPATH S X (0.230:0.230:0.230) (0.352:0.352:0.352))
+    (IOPATH S X (0.185:0.185:0.185) (0.334:0.334:0.334))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2065_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.085:0.086:0.087) (0.095:0.095:0.095))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2066_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.192:0.192:0.192) (0.327:0.327:0.327))
+    (IOPATH A1 X (0.132:0.132:0.132) (0.292:0.292:0.292))
+    (IOPATH S X (0.229:0.229:0.229) (0.352:0.352:0.352))
+    (IOPATH S X (0.185:0.185:0.185) (0.334:0.334:0.334))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2067_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.078:0.080:0.082) (0.090:0.090:0.090))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _2068_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.197:0.197:0.197) (0.205:0.205:0.205))
+    (IOPATH B X (0.168:0.168:0.168) (0.192:0.192:0.192))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _2069_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.178:0.178:0.178) (0.107:0.107:0.107))
+    (IOPATH B Y (0.135:0.135:0.135) (0.071:0.071:0.071))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _2070_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.146:0.146:0.146) (0.260:0.260:0.260))
+    (IOPATH B X (0.183:0.183:0.183) (0.284:0.284:0.284))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _2071_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.143:0.143:0.143) (0.075:0.075:0.075))
+    (IOPATH B Y (0.161:0.161:0.161) (0.091:0.091:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _2072_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.141:0.141:0.141) (0.254:0.254:0.254))
+    (IOPATH B X (0.136:0.136:0.136) (0.234:0.234:0.234))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _2073_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.143:0.143:0.143) (0.076:0.076:0.076))
+    (IOPATH B Y (0.125:0.125:0.125) (0.066:0.066:0.066))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _2074_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.142:0.142:0.142) (0.256:0.256:0.256))
+    (IOPATH B X (0.143:0.143:0.143) (0.244:0.244:0.244))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _2075_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.164:0.164:0.164) (0.088:0.088:0.088))
+    (IOPATH B Y (0.134:0.134:0.134) (0.061:0.061:0.061))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _2076_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.138:0.138:0.138) (0.074:0.074:0.074))
+    (IOPATH B Y (0.114:0.114:0.114) (0.056:0.056:0.056))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _2077_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.131:0.131:0.131) (0.060:0.060:0.060))
+    (IOPATH B Y (0.119:0.119:0.119) (0.059:0.059:0.059))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _2078_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.155:0.155:0.155) (0.068:0.068:0.068))
+    (IOPATH B Y (0.140:0.140:0.140) (0.064:0.064:0.064))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _2079_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.175:0.175:0.175) (0.169:0.169:0.169))
+    (IOPATH B X (0.177:0.177:0.177) (0.188:0.188:0.188))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _2080_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.122:0.122:0.122) (0.058:0.058:0.058))
+    (IOPATH B Y (0.107:0.107:0.107) (0.052:0.052:0.052))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _2081_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.201:0.202:0.202) (0.089:0.089:0.089))
+    (IOPATH B Y (0.177:0.178:0.178) (0.080:0.080:0.080))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _2082_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.172:0.172:0.172) (0.279:0.279:0.279))
+    (IOPATH B X (0.173:0.173:0.173) (0.262:0.262:0.262))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _2083_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.148:0.148:0.148) (0.073:0.073:0.073))
+    (IOPATH B Y (0.130:0.130:0.130) (0.061:0.061:0.061))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _2084_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.084:0.084:0.084) (0.078:0.078:0.078))
+    (IOPATH B Y (0.092:0.092:0.092) (0.081:0.081:0.081))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _2085_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.133:0.133:0.133) (0.247:0.247:0.247))
+    (IOPATH B X (0.131:0.131:0.131) (0.229:0.229:0.229))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _2086_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.233:0.233:0.233) (0.186:0.186:0.186))
+    (IOPATH B X (0.238:0.238:0.238) (0.208:0.208:0.208))
+    (IOPATH C X (0.228:0.229:0.230) (0.213:0.214:0.215))
+    (IOPATH D X (0.234:0.234:0.234) (0.226:0.226:0.226))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _2087_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.230:0.230:0.230) (0.114:0.114:0.114))
+    (IOPATH A2 Y (0.249:0.249:0.249) (0.118:0.118:0.118))
+    (IOPATH B1 Y (0.213:0.214:0.215) (0.079:0.079:0.079))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _2088_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.083:0.083:0.083) (0.077:0.077:0.077))
+    (IOPATH B Y (0.087:0.087:0.087) (0.075:0.075:0.075))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _2089_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.191:0.192:0.193) (0.113:0.113:0.113))
+    (IOPATH A2 Y (0.185:0.190:0.195) (0.118:0.120:0.122))
+    (IOPATH B1 Y (0.118:0.119:0.121) (0.088:0.088:0.089))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _2090_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.154:0.154:0.154) (0.185:0.185:0.185))
+    (IOPATH A2 X (0.160:0.160:0.160) (0.208:0.208:0.208))
+    (IOPATH B1 X (0.132:0.136:0.140) (0.182:0.183:0.184))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31oi_2")
+  (INSTANCE _2091_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.169:0.170:0.170) (0.138:0.138:0.138))
+    (IOPATH A2 Y (0.217:0.217:0.217) (0.118:0.118:0.118))
+    (IOPATH A3 Y (0.219:0.219:0.220) (0.111:0.111:0.111))
+    (IOPATH B1 Y (0.185:0.185:0.186) (0.060:0.060:0.060))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _2092_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.141:0.141:0.141) (0.150:0.150:0.150))
+    (IOPATH B X (0.145:0.145:0.145) (0.169:0.169:0.169))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _2093_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.194:0.194:0.194) (0.207:0.207:0.208))
+    (IOPATH A2 X (0.177:0.181:0.185) (0.194:0.205:0.216))
+    (IOPATH B1_N X (0.251:0.251:0.251) (0.172:0.172:0.172))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _2094_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.079:0.079:0.079) (0.070:0.070:0.070))
+    (IOPATH B Y (0.090:0.090:0.090) (0.078:0.078:0.078))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _2095_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.178:0.178:0.178) (0.206:0.206:0.206))
+    (IOPATH A2 X (0.159:0.160:0.160) (0.197:0.198:0.199))
+    (IOPATH B1 X (0.157:0.158:0.159) (0.129:0.129:0.129))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _2096_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.091:0.091:0.091) (0.085:0.085:0.085))
+    (IOPATH B Y (0.088:0.088:0.088) (0.075:0.075:0.075))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _2097_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.193:0.193:0.193) (0.216:0.218:0.220))
+    (IOPATH A2 X (0.175:0.175:0.175) (0.208:0.209:0.210))
+    (IOPATH B1 X (0.175:0.175:0.176) (0.139:0.141:0.144))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _2098_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.100:0.100:0.100) (0.093:0.093:0.093))
+    (IOPATH B Y (0.089:0.089:0.089) (0.076:0.076:0.076))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _2099_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.195:0.198:0.202) (0.118:0.118:0.118))
+    (IOPATH A2 Y (0.192:0.193:0.194) (0.100:0.101:0.101))
+    (IOPATH B1 Y (0.122:0.126:0.130) (0.093:0.093:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _2100_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.093:0.093:0.093) (0.085:0.085:0.085))
+    (IOPATH B Y (0.108:0.108:0.108) (0.091:0.091:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21boi_1")
+  (INSTANCE _2101_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.212:0.212:0.212) (0.090:0.090:0.090))
+    (IOPATH A2 Y (0.235:0.238:0.241) (0.116:0.123:0.129))
+    (IOPATH B1_N Y (0.242:0.243:0.244) (0.153:0.154:0.155))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _2102_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.094:0.094:0.094) (0.088:0.088:0.088))
+    (IOPATH B Y (0.098:0.098:0.098) (0.087:0.087:0.087))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _2103_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.199:0.200:0.201) (0.113:0.113:0.114))
+    (IOPATH A2 Y (0.192:0.197:0.203) (0.120:0.122:0.124))
+    (IOPATH B1 Y (0.126:0.128:0.130) (0.094:0.095:0.095))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _2104_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.094:0.094:0.094) (0.087:0.087:0.087))
+    (IOPATH B Y (0.099:0.099:0.099) (0.087:0.087:0.087))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21boi_1")
+  (INSTANCE _2105_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.210:0.210:0.210) (0.090:0.090:0.090))
+    (IOPATH A2 Y (0.237:0.238:0.238) (0.116:0.123:0.129))
+    (IOPATH B1_N Y (0.241:0.241:0.242) (0.152:0.153:0.154))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _2106_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.086:0.086:0.086) (0.080:0.080:0.080))
+    (IOPATH B Y (0.129:0.129:0.129) (0.111:0.111:0.111))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _2107_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.234:0.239:0.244) (0.127:0.128:0.130))
+    (IOPATH A2 Y (0.225:0.230:0.236) (0.133:0.135:0.138))
+    (IOPATH B1 Y (0.150:0.154:0.158) (0.104:0.107:0.110))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _2108_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.080:0.080:0.080) (0.073:0.073:0.073))
+    (IOPATH B Y (0.131:0.131:0.131) (0.110:0.110:0.110))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21boi_2")
+  (INSTANCE _2109_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.284:0.285:0.285) (0.105:0.106:0.106))
+    (IOPATH A2 Y (0.298:0.299:0.299) (0.134:0.143:0.151))
+    (IOPATH B1_N Y (0.297:0.301:0.304) (0.198:0.203:0.208))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _2110_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.120:0.120:0.120) (0.111:0.111:0.111))
+    (IOPATH B Y (0.097:0.097:0.097) (0.085:0.085:0.085))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _2111_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.209:0.215:0.220) (0.119:0.120:0.120))
+    (IOPATH A2 Y (0.206:0.212:0.218) (0.141:0.141:0.141))
+    (IOPATH B1 Y (0.131:0.139:0.146) (0.097:0.098:0.100))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _2112_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.174:0.174:0.174) (0.289:0.289:0.289))
+    (IOPATH B X (0.145:0.145:0.145) (0.245:0.245:0.245))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_1")
+  (INSTANCE _2113_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.185:0.185:0.186) (0.242:0.242:0.242))
+    (IOPATH A2 X (0.184:0.189:0.194) (0.240:0.242:0.245))
+    (IOPATH B1 X (0.176:0.176:0.176) (0.150:0.150:0.150))
+    (IOPATH C1 X (0.225:0.225:0.225) (0.162:0.162:0.162))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _2114_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.154:0.154:0.154) (0.073:0.073:0.073))
+    (IOPATH A X (0.143:0.143:0.143) (0.163:0.163:0.163))
+    (IOPATH B X (0.130:0.132:0.133) (0.067:0.067:0.068))
+    (IOPATH B X (0.148:0.148:0.148) (0.140:0.141:0.143))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _2115_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.113:0.114:0.114) (0.231:0.231:0.231))
+    (IOPATH B_N X (0.169:0.169:0.170) (0.240:0.241:0.241))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _2116_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.129:0.133:0.138) (0.133:0.136:0.138))
+    (IOPATH A Y (0.154:0.156:0.159) (0.086:0.091:0.096))
+    (IOPATH B Y (0.106:0.106:0.106) (0.119:0.119:0.119))
+    (IOPATH B Y (0.125:0.125:0.125) (0.057:0.057:0.057))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2117_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.153:0.153:0.153) (0.297:0.297:0.297))
+    (IOPATH A1 X (0.115:0.126:0.137) (0.280:0.283:0.286))
+    (IOPATH S X (0.228:0.228:0.228) (0.350:0.350:0.350))
+    (IOPATH S X (0.183:0.183:0.183) (0.332:0.332:0.332))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2118_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.075:0.075:0.076) (0.087:0.088:0.088))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _2119_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.200:0.206:0.213) (0.203:0.203:0.203))
+    (IOPATH B X (0.135:0.137:0.138) (0.166:0.174:0.182))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _2120_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.157:0.157:0.157) (0.133:0.140:0.148))
+    (IOPATH A Y (0.160:0.167:0.173) (0.115:0.116:0.116))
+    (IOPATH B Y (0.111:0.111:0.111) (0.117:0.117:0.117))
+    (IOPATH B Y (0.129:0.129:0.130) (0.060:0.060:0.060))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2121_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.147:0.147:0.147) (0.293:0.293:0.293))
+    (IOPATH A1 X (0.122:0.133:0.145) (0.285:0.290:0.295))
+    (IOPATH S X (0.232:0.232:0.232) (0.354:0.354:0.354))
+    (IOPATH S X (0.187:0.187:0.187) (0.337:0.337:0.337))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2122_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.089:0.089:0.090) (0.097:0.097:0.097))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _2123_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.081:0.081:0.081) (0.069:0.069:0.069))
+    (IOPATH B Y (0.080:0.085:0.090) (0.064:0.067:0.070))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _2124_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.134:0.140:0.146) (0.139:0.140:0.141))
+    (IOPATH A Y (0.161:0.162:0.163) (0.092:0.098:0.104))
+    (IOPATH B Y (0.107:0.108:0.109) (0.116:0.117:0.118))
+    (IOPATH B Y (0.124:0.125:0.126) (0.058:0.058:0.059))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2125_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.183:0.183:0.183) (0.326:0.326:0.326))
+    (IOPATH A1 X (0.117:0.128:0.139) (0.281:0.285:0.289))
+    (IOPATH S X (0.229:0.229:0.229) (0.351:0.351:0.351))
+    (IOPATH S X (0.184:0.184:0.184) (0.333:0.333:0.333))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2126_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.077:0.079:0.080) (0.089:0.090:0.090))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _2127_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.199:0.205:0.211) (0.200:0.201:0.202))
+    (IOPATH B X (0.133:0.135:0.138) (0.167:0.171:0.175))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _2128_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.140:0.141:0.143) (0.124:0.130:0.137))
+    (IOPATH A Y (0.149:0.155:0.161) (0.098:0.100:0.101))
+    (IOPATH B Y (0.108:0.109:0.109) (0.115:0.115:0.115))
+    (IOPATH B Y (0.125:0.125:0.125) (0.059:0.059:0.059))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2129_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.183:0.183:0.183) (0.325:0.325:0.325))
+    (IOPATH A1 X (0.118:0.130:0.141) (0.282:0.286:0.289))
+    (IOPATH S X (0.230:0.230:0.230) (0.352:0.352:0.352))
+    (IOPATH S X (0.185:0.185:0.185) (0.334:0.334:0.334))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2130_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.079:0.080:0.082) (0.091:0.091:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _2131_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.078:0.078:0.078) (0.065:0.065:0.065))
+    (IOPATH B Y (0.084:0.085:0.087) (0.068:0.069:0.070))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _2132_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.132:0.136:0.141) (0.135:0.136:0.136))
+    (IOPATH A Y (0.161:0.161:0.162) (0.089:0.094:0.098))
+    (IOPATH B Y (0.110:0.111:0.112) (0.119:0.119:0.119))
+    (IOPATH B Y (0.130:0.130:0.130) (0.060:0.061:0.061))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2133_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.140:0.140:0.140) (0.285:0.285:0.285))
+    (IOPATH A1 X (0.117:0.128:0.140) (0.280:0.283:0.286))
+    (IOPATH S X (0.228:0.228:0.228) (0.349:0.349:0.349))
+    (IOPATH S X (0.183:0.183:0.183) (0.331:0.331:0.331))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2134_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.076:0.076:0.077) (0.088:0.088:0.089))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _2135_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.198:0.199:0.200) (0.200:0.200:0.200))
+    (IOPATH B X (0.136:0.136:0.137) (0.167:0.169:0.171))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _2136_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.141:0.143:0.145) (0.125:0.131:0.138))
+    (IOPATH A Y (0.151:0.157:0.163) (0.099:0.101:0.103))
+    (IOPATH B Y (0.110:0.110:0.110) (0.116:0.116:0.116))
+    (IOPATH B Y (0.127:0.127:0.127) (0.059:0.059:0.059))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _2137_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.324:0.324:0.324) (0.269:0.269:0.269))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2138_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.142:0.142:0.142) (0.288:0.288:0.288))
+    (IOPATH A1 X (0.119:0.130:0.141) (0.282:0.286:0.289))
+    (IOPATH S X (0.222:0.222:0.222) (0.347:0.347:0.347))
+    (IOPATH S X (0.177:0.177:0.177) (0.328:0.328:0.328))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2139_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.091:0.091:0.092) (0.098:0.098:0.098))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _2140_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.079:0.079:0.080) (0.066:0.066:0.066))
+    (IOPATH B Y (0.087:0.087:0.088) (0.069:0.070:0.070))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _2141_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.127:0.132:0.136) (0.126:0.129:0.133))
+    (IOPATH A Y (0.149:0.152:0.155) (0.085:0.090:0.094))
+    (IOPATH B Y (0.108:0.108:0.109) (0.117:0.117:0.117))
+    (IOPATH B Y (0.124:0.124:0.124) (0.058:0.059:0.059))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2142_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.153:0.153:0.153) (0.299:0.299:0.299))
+    (IOPATH A1 X (0.118:0.128:0.139) (0.282:0.285:0.288))
+    (IOPATH S X (0.222:0.222:0.222) (0.347:0.347:0.347))
+    (IOPATH S X (0.177:0.177:0.177) (0.328:0.328:0.328))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2143_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.076:0.077:0.077) (0.088:0.089:0.089))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _2144_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.197:0.200:0.204) (0.205:0.205:0.205))
+    (IOPATH B X (0.137:0.137:0.137) (0.166:0.169:0.173))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _2145_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.122:0.122:0.122) (0.125:0.126:0.127))
+    (IOPATH A Y (0.146:0.147:0.147) (0.080:0.080:0.080))
+    (IOPATH B Y (0.105:0.105:0.105) (0.112:0.113:0.113))
+    (IOPATH B Y (0.117:0.118:0.118) (0.056:0.056:0.056))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2146_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.132:0.132:0.132) (0.284:0.284:0.284))
+    (IOPATH A1 X (0.121:0.131:0.142) (0.286:0.288:0.290))
+    (IOPATH S X (0.226:0.226:0.226) (0.352:0.352:0.352))
+    (IOPATH S X (0.181:0.181:0.181) (0.333:0.333:0.333))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2147_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.077:0.078:0.078) (0.090:0.090:0.090))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _2148_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.193:0.195:0.197) (0.197:0.197:0.197))
+    (IOPATH B X (0.133:0.133:0.134) (0.163:0.165:0.168))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _2149_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.116:0.116:0.116) (0.120:0.121:0.122))
+    (IOPATH A Y (0.142:0.143:0.144) (0.074:0.074:0.074))
+    (IOPATH B Y (0.105:0.105:0.105) (0.112:0.112:0.112))
+    (IOPATH B Y (0.118:0.118:0.118) (0.056:0.056:0.056))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2150_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.130:0.130:0.130) (0.280:0.280:0.280))
+    (IOPATH A1 X (0.115:0.126:0.136) (0.279:0.281:0.282))
+    (IOPATH S X (0.221:0.221:0.221) (0.345:0.345:0.345))
+    (IOPATH S X (0.175:0.175:0.175) (0.326:0.326:0.326))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2151_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.075:0.075:0.076) (0.087:0.088:0.088))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _2152_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.195:0.196:0.196) (0.200:0.200:0.200))
+    (IOPATH B X (0.134:0.135:0.136) (0.165:0.165:0.165))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _2153_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.122:0.122:0.123) (0.124:0.125:0.126))
+    (IOPATH A Y (0.151:0.152:0.153) (0.079:0.079:0.079))
+    (IOPATH B Y (0.110:0.110:0.110) (0.116:0.117:0.117))
+    (IOPATH B Y (0.128:0.128:0.128) (0.060:0.060:0.060))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2154_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.136:0.136:0.136) (0.285:0.285:0.285))
+    (IOPATH A1 X (0.120:0.132:0.143) (0.284:0.286:0.287))
+    (IOPATH S X (0.224:0.224:0.224) (0.348:0.348:0.348))
+    (IOPATH S X (0.178:0.178:0.178) (0.330:0.330:0.330))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2155_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.077:0.078:0.078) (0.090:0.090:0.090))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _2156_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.133:0.134:0.134) (0.057:0.057:0.057))
+    (IOPATH B Y (0.119:0.119:0.120) (0.072:0.072:0.072))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _2157_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.126:0.126:0.126) (0.114:0.117:0.120))
+    (IOPATH A Y (0.140:0.142:0.145) (0.084:0.084:0.084))
+    (IOPATH B Y (0.128:0.133:0.137) (0.117:0.128:0.140))
+    (IOPATH B Y (0.125:0.136:0.147) (0.076:0.080:0.083))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2158_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.128:0.128:0.128) (0.279:0.279:0.279))
+    (IOPATH A1 X (0.116:0.127:0.138) (0.281:0.282:0.283))
+    (IOPATH S X (0.220:0.220:0.220) (0.344:0.344:0.344))
+    (IOPATH S X (0.175:0.175:0.175) (0.325:0.325:0.325))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2159_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.085:0.085:0.086) (0.094:0.094:0.094))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _2160_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.087:0.087:0.087) (0.079:0.079:0.079))
+    (IOPATH B Y (0.080:0.080:0.081) (0.070:0.070:0.070))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _2161_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.143:0.143:0.143) (0.125:0.126:0.127))
+    (IOPATH A Y (0.153:0.154:0.154) (0.101:0.101:0.101))
+    (IOPATH B Y (0.111:0.111:0.112) (0.119:0.121:0.123))
+    (IOPATH B Y (0.131:0.132:0.134) (0.061:0.061:0.061))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2162_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.131:0.131:0.131) (0.283:0.283:0.283))
+    (IOPATH A1 X (0.121:0.132:0.144) (0.285:0.288:0.291))
+    (IOPATH S X (0.224:0.224:0.224) (0.349:0.349:0.349))
+    (IOPATH S X (0.178:0.178:0.178) (0.330:0.330:0.330))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2163_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.085:0.086:0.086) (0.095:0.095:0.095))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _2164_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.082:0.082:0.082) (0.076:0.076:0.076))
+    (IOPATH B Y (0.092:0.092:0.092) (0.081:0.081:0.081))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _2165_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.088:0.088:0.088) (0.080:0.080:0.080))
+    (IOPATH B Y (0.080:0.081:0.082) (0.065:0.066:0.066))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _2166_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.130:0.134:0.138) (0.132:0.133:0.134))
+    (IOPATH A Y (0.157:0.158:0.159) (0.087:0.091:0.096))
+    (IOPATH B Y (0.110:0.110:0.111) (0.117:0.120:0.122))
+    (IOPATH B Y (0.128:0.130:0.132) (0.060:0.060:0.060))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2167_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.143:0.143:0.143) (0.290:0.290:0.290))
+    (IOPATH A1 X (0.121:0.132:0.144) (0.285:0.288:0.291))
+    (IOPATH S X (0.224:0.224:0.224) (0.349:0.349:0.349))
+    (IOPATH S X (0.179:0.179:0.179) (0.330:0.330:0.330))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2168_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.077:0.078:0.078) (0.089:0.090:0.090))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _2169_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.196:0.197:0.198) (0.203:0.203:0.203))
+    (IOPATH B X (0.133:0.134:0.134) (0.164:0.165:0.166))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _2170_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.143:0.144:0.146) (0.125:0.130:0.136))
+    (IOPATH A Y (0.150:0.155:0.160) (0.101:0.102:0.104))
+    (IOPATH B Y (0.109:0.109:0.109) (0.115:0.115:0.116))
+    (IOPATH B Y (0.125:0.125:0.125) (0.059:0.059:0.059))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2171_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.131:0.131:0.131) (0.282:0.282:0.282))
+    (IOPATH A1 X (0.119:0.130:0.141) (0.283:0.287:0.290))
+    (IOPATH S X (0.223:0.223:0.223) (0.347:0.347:0.347))
+    (IOPATH S X (0.177:0.177:0.177) (0.328:0.328:0.328))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2172_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.083:0.084:0.084) (0.093:0.093:0.094))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _2173_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.153:0.153:0.153) (0.216:0.216:0.216))
+    (IOPATH A2 X (0.150:0.150:0.150) (0.235:0.235:0.235))
+    (IOPATH B1 X (0.119:0.120:0.120) (0.176:0.177:0.177))
+    (IOPATH B2 X (0.122:0.122:0.122) (0.196:0.197:0.197))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _2174_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.151:0.151:0.151) (0.097:0.097:0.097))
+    (IOPATH B Y (0.103:0.104:0.105) (0.056:0.056:0.056))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _2175_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.186:0.186:0.186) (0.247:0.247:0.247))
+    (IOPATH A2 X (0.150:0.150:0.150) (0.235:0.235:0.235))
+    (IOPATH B1 X (0.110:0.110:0.110) (0.170:0.170:0.170))
+    (IOPATH B2 X (0.129:0.129:0.130) (0.187:0.194:0.200))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _2176_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.124:0.124:0.124) (0.108:0.108:0.108))
+    (IOPATH B Y (0.093:0.093:0.093) (0.082:0.082:0.082))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _2177_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.122:0.122:0.122) (0.130:0.130:0.130))
+    (IOPATH A Y (0.152:0.152:0.152) (0.080:0.080:0.080))
+    (IOPATH B Y (0.108:0.110:0.112) (0.118:0.125:0.133))
+    (IOPATH B Y (0.124:0.131:0.138) (0.059:0.061:0.062))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2178_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.159:0.159:0.159) (0.295:0.295:0.295))
+    (IOPATH A1 X (0.128:0.128:0.128) (0.291:0.291:0.291))
+    (IOPATH S X (0.225:0.225:0.225) (0.350:0.350:0.350))
+    (IOPATH S X (0.179:0.179:0.179) (0.331:0.331:0.331))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2179_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.083:0.084:0.084) (0.093:0.094:0.094))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _2180_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.298:0.298:0.298) (0.261:0.261:0.261))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2181_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.160:0.160:0.160) (0.294:0.294:0.294))
+    (IOPATH A1 X (0.123:0.123:0.123) (0.287:0.287:0.287))
+    (IOPATH S X (0.220:0.220:0.220) (0.336:0.336:0.336))
+    (IOPATH S X (0.158:0.158:0.158) (0.327:0.327:0.327))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2182_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.096:0.096:0.097) (0.101:0.101:0.101))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2183_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.164:0.164:0.164) (0.298:0.298:0.298))
+    (IOPATH A1 X (0.122:0.122:0.122) (0.288:0.288:0.288))
+    (IOPATH S X (0.222:0.222:0.222) (0.338:0.338:0.338))
+    (IOPATH S X (0.160:0.160:0.160) (0.329:0.329:0.329))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2184_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.090:0.091:0.092) (0.098:0.098:0.098))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2185_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.157:0.157:0.157) (0.293:0.293:0.293))
+    (IOPATH A1 X (0.126:0.126:0.126) (0.289:0.289:0.289))
+    (IOPATH S X (0.221:0.221:0.221) (0.337:0.337:0.337))
+    (IOPATH S X (0.159:0.159:0.159) (0.328:0.328:0.328))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2186_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.077:0.078:0.078) (0.089:0.089:0.090))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2187_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.166:0.166:0.166) (0.300:0.300:0.300))
+    (IOPATH A1 X (0.132:0.132:0.132) (0.295:0.295:0.295))
+    (IOPATH S X (0.225:0.225:0.225) (0.341:0.341:0.341))
+    (IOPATH S X (0.162:0.162:0.162) (0.332:0.332:0.332))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2188_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.078:0.078:0.079) (0.090:0.090:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2189_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.159:0.159:0.159) (0.292:0.292:0.292))
+    (IOPATH A1 X (0.120:0.120:0.120) (0.283:0.283:0.283))
+    (IOPATH S X (0.217:0.217:0.217) (0.332:0.332:0.332))
+    (IOPATH S X (0.155:0.155:0.155) (0.323:0.323:0.323))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2190_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.077:0.078:0.079) (0.089:0.089:0.089))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2191_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.195:0.195:0.195) (0.331:0.331:0.331))
+    (IOPATH A1 X (0.119:0.119:0.119) (0.285:0.285:0.285))
+    (IOPATH S X (0.220:0.220:0.220) (0.335:0.335:0.335))
+    (IOPATH S X (0.158:0.158:0.158) (0.326:0.326:0.326))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2192_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.078:0.080:0.081) (0.090:0.090:0.090))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2193_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.190:0.190:0.190) (0.325:0.325:0.325))
+    (IOPATH A1 X (0.120:0.120:0.120) (0.285:0.285:0.285))
+    (IOPATH S X (0.219:0.219:0.219) (0.334:0.334:0.334))
+    (IOPATH S X (0.157:0.157:0.157) (0.325:0.325:0.325))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2194_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.078:0.080:0.081) (0.090:0.090:0.090))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2195_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.189:0.189:0.189) (0.320:0.320:0.320))
+    (IOPATH A1 X (0.123:0.123:0.123) (0.289:0.289:0.289))
+    (IOPATH S X (0.223:0.223:0.223) (0.339:0.339:0.339))
+    (IOPATH S X (0.160:0.160:0.160) (0.329:0.329:0.329))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2196_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.083:0.084:0.085) (0.093:0.094:0.094))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2197_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.183:0.183:0.183) (0.312:0.312:0.312))
+    (IOPATH A1 X (0.123:0.123:0.123) (0.287:0.287:0.287))
+    (IOPATH S X (0.220:0.220:0.220) (0.335:0.335:0.335))
+    (IOPATH S X (0.158:0.158:0.158) (0.326:0.326:0.326))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2198_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.087:0.088:0.090) (0.096:0.096:0.096))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2199_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.193:0.193:0.193) (0.325:0.325:0.325))
+    (IOPATH A1 X (0.129:0.129:0.129) (0.295:0.295:0.295))
+    (IOPATH S X (0.227:0.227:0.227) (0.344:0.344:0.344))
+    (IOPATH S X (0.164:0.164:0.164) (0.335:0.335:0.335))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2200_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.080:0.081:0.082) (0.092:0.092:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2201_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.173:0.173:0.173) (0.321:0.321:0.321))
+    (IOPATH A1 X (0.120:0.120:0.120) (0.285:0.285:0.285))
+    (IOPATH S X (0.226:0.226:0.226) (0.350:0.350:0.350))
+    (IOPATH S X (0.182:0.182:0.182) (0.331:0.331:0.331))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2202_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.076:0.077:0.078) (0.089:0.089:0.089))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2203_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.186:0.186:0.186) (0.318:0.318:0.318))
+    (IOPATH A1 X (0.123:0.123:0.123) (0.286:0.286:0.286))
+    (IOPATH S X (0.225:0.225:0.225) (0.348:0.348:0.348))
+    (IOPATH S X (0.180:0.180:0.180) (0.329:0.329:0.329))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2204_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.080:0.082:0.083) (0.091:0.091:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2205_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.192:0.192:0.192) (0.325:0.325:0.325))
+    (IOPATH A1 X (0.118:0.118:0.118) (0.286:0.286:0.286))
+    (IOPATH S X (0.228:0.228:0.228) (0.352:0.352:0.352))
+    (IOPATH S X (0.183:0.183:0.183) (0.333:0.333:0.333))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2206_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.078:0.079:0.081) (0.090:0.090:0.090))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2207_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.190:0.190:0.190) (0.321:0.321:0.321))
+    (IOPATH A1 X (0.127:0.127:0.127) (0.290:0.290:0.290))
+    (IOPATH S X (0.228:0.228:0.228) (0.353:0.353:0.353))
+    (IOPATH S X (0.184:0.184:0.184) (0.334:0.334:0.334))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2208_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.081:0.082:0.084) (0.092:0.092:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2209_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.193:0.193:0.193) (0.327:0.327:0.327))
+    (IOPATH A1 X (0.122:0.122:0.122) (0.286:0.286:0.286))
+    (IOPATH S X (0.226:0.226:0.226) (0.349:0.349:0.349))
+    (IOPATH S X (0.181:0.181:0.181) (0.330:0.330:0.330))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2210_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.078:0.080:0.082) (0.090:0.090:0.090))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+  (INSTANCE _2211_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.241:0.241:0.241) (0.214:0.214:0.214))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2212_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.142:0.142:0.142) (0.302:0.302:0.302))
+    (IOPATH A1 X (0.144:0.144:0.144) (0.311:0.311:0.311))
+    (IOPATH S X (0.248:0.248:0.248) (0.365:0.365:0.365))
+    (IOPATH S X (0.184:0.184:0.184) (0.356:0.356:0.356))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2213_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.086:0.086:0.087) (0.098:0.098:0.098))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2214_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.131:0.131:0.131) (0.290:0.290:0.290))
+    (IOPATH A1 X (0.130:0.130:0.130) (0.297:0.297:0.297))
+    (IOPATH S X (0.235:0.235:0.235) (0.351:0.351:0.351))
+    (IOPATH S X (0.171:0.171:0.171) (0.343:0.343:0.343))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2215_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.092:0.092:0.092) (0.100:0.100:0.100))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2216_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.116:0.116:0.116) (0.275:0.275:0.275))
+    (IOPATH A1 X (0.117:0.117:0.117) (0.283:0.283:0.283))
+    (IOPATH S X (0.234:0.234:0.234) (0.344:0.344:0.344))
+    (IOPATH S X (0.169:0.169:0.169) (0.339:0.339:0.339))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2217_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.081:0.082:0.082) (0.092:0.093:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2218_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.122:0.122:0.122) (0.280:0.280:0.280))
+    (IOPATH A1 X (0.119:0.119:0.119) (0.286:0.286:0.286))
+    (IOPATH S X (0.237:0.237:0.237) (0.347:0.347:0.347))
+    (IOPATH S X (0.172:0.172:0.172) (0.342:0.342:0.342))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2219_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.080:0.081:0.081) (0.092:0.092:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2220_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.124:0.124:0.124) (0.284:0.284:0.284))
+    (IOPATH A1 X (0.127:0.127:0.127) (0.293:0.293:0.293))
+    (IOPATH S X (0.242:0.242:0.242) (0.353:0.353:0.353))
+    (IOPATH S X (0.177:0.177:0.177) (0.348:0.348:0.348))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2221_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.084:0.084:0.085) (0.095:0.095:0.095))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2222_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.118:0.118:0.118) (0.275:0.275:0.275))
+    (IOPATH A1 X (0.115:0.115:0.115) (0.280:0.280:0.280))
+    (IOPATH S X (0.232:0.232:0.232) (0.341:0.341:0.341))
+    (IOPATH S X (0.167:0.167:0.167) (0.336:0.336:0.336))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2223_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.077:0.078:0.078) (0.089:0.089:0.090))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2224_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.119:0.119:0.119) (0.279:0.279:0.279))
+    (IOPATH A1 X (0.125:0.125:0.125) (0.289:0.289:0.289))
+    (IOPATH S X (0.238:0.238:0.238) (0.349:0.349:0.349))
+    (IOPATH S X (0.173:0.173:0.173) (0.344:0.344:0.344))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2225_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.080:0.080:0.081) (0.092:0.092:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _2226_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.148:0.148:0.148) (0.162:0.162:0.162))
+    (IOPATH B X (0.116:0.116:0.116) (0.143:0.143:0.143))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2227_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.081:0.082:0.082) (0.082:0.082:0.083))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _2228_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.144:0.144:0.144) (0.159:0.159:0.159))
+    (IOPATH B X (0.148:0.148:0.148) (0.171:0.171:0.171))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2229_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.081:0.081:0.081) (0.081:0.082:0.082))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _2230_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.144:0.144:0.144) (0.160:0.160:0.160))
+    (IOPATH B X (0.150:0.150:0.150) (0.172:0.172:0.172))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2231_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.081:0.081:0.081) (0.081:0.081:0.082))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _2232_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.148:0.148:0.148) (0.162:0.162:0.162))
+    (IOPATH B X (0.129:0.129:0.129) (0.152:0.152:0.152))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2233_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.082:0.082:0.082) (0.082:0.082:0.083))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _2234_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.147:0.147:0.147) (0.162:0.162:0.162))
+    (IOPATH B X (0.123:0.123:0.123) (0.147:0.147:0.147))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2235_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.081:0.082:0.082) (0.082:0.082:0.083))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _2236_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.145:0.145:0.145) (0.160:0.160:0.160))
+    (IOPATH B X (0.119:0.119:0.119) (0.145:0.145:0.145))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2237_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.095:0.095:0.095) (0.090:0.090:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _2238_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.147:0.147:0.147) (0.161:0.161:0.161))
+    (IOPATH B X (0.113:0.113:0.113) (0.141:0.141:0.141))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2239_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.080:0.080:0.080) (0.081:0.081:0.081))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _2240_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.147:0.147:0.147) (0.162:0.162:0.162))
+    (IOPATH B X (0.121:0.121:0.121) (0.146:0.146:0.146))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2241_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.084:0.084:0.084) (0.084:0.084:0.084))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _2242_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.246:0.246:0.246) (0.206:0.206:0.206))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2243_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.355:0.355:0.355) (0.278:0.278:0.278))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _2244_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.295:0.295:0.295) (0.135:0.135:0.135))
+    (IOPATH B Y (0.335:0.335:0.335) (0.176:0.176:0.176))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _2245_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.235:0.235:0.235) (0.253:0.253:0.253))
+    (IOPATH A2 X (0.218:0.218:0.218) (0.235:0.235:0.235))
+    (IOPATH B1 X (0.254:0.254:0.254) (0.190:0.190:0.190))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _2246_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.212:0.212:0.212) (0.222:0.222:0.222))
+    (IOPATH A2 X (0.223:0.223:0.223) (0.252:0.252:0.252))
+    (IOPATH B1 X (0.194:0.194:0.194) (0.228:0.229:0.230))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_4")
+  (INSTANCE _2247_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.173:0.173:0.173) (0.189:0.189:0.189))
+    (IOPATH A Y (0.277:0.277:0.277) (0.124:0.124:0.124))
+    (IOPATH B Y (0.179:0.179:0.179) (0.178:0.178:0.179))
+    (IOPATH B Y (0.256:0.256:0.257) (0.121:0.121:0.121))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_4")
+  (INSTANCE _2248_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.176:0.176:0.176) (0.191:0.191:0.191))
+    (IOPATH A Y (0.278:0.278:0.278) (0.126:0.126:0.126))
+    (IOPATH B Y (0.184:0.184:0.184) (0.183:0.184:0.185))
+    (IOPATH B Y (0.259:0.259:0.260) (0.127:0.128:0.128))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _2249_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.152:0.170:0.188) (0.270:0.272:0.275))
+    (IOPATH B_N X (0.209:0.213:0.216) (0.271:0.284:0.296))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _2250_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.176:0.183:0.189) (0.124:0.124:0.124))
+    (IOPATH B Y (0.153:0.153:0.153) (0.071:0.071:0.071))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or4_1")
+  (INSTANCE _2251_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.192:0.192:0.192) (0.600:0.600:0.600))
+    (IOPATH B X (0.174:0.174:0.174) (0.574:0.574:0.574))
+    (IOPATH C X (0.170:0.170:0.170) (0.540:0.540:0.540))
+    (IOPATH D X (0.180:0.180:0.180) (0.478:0.478:0.478))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _2252_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.121:0.121:0.121) (0.115:0.115:0.115))
+    (IOPATH B Y (0.143:0.143:0.144) (0.105:0.106:0.106))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _2253_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.209:0.209:0.209) (0.221:0.221:0.221))
+    (IOPATH A Y (0.347:0.347:0.347) (0.160:0.160:0.160))
+    (IOPATH B Y (0.187:0.188:0.189) (0.201:0.203:0.206))
+    (IOPATH B Y (0.310:0.312:0.314) (0.131:0.132:0.133))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _2254_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.110:0.113:0.117) (0.093:0.115:0.137))
+    (IOPATH B Y (0.132:0.135:0.138) (0.106:0.128:0.150))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _2255_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.113:0.132:0.150) (0.239:0.241:0.244))
+    (IOPATH B X (0.121:0.139:0.157) (0.233:0.236:0.238))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _2256_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.139:0.140:0.142) (0.152:0.159:0.166))
+    (IOPATH B X (0.128:0.129:0.130) (0.160:0.161:0.161))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _2257_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.194:0.212:0.231) (0.300:0.302:0.305))
+    (IOPATH B X (0.181:0.181:0.181) (0.266:0.266:0.266))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _2258_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.129:0.129:0.129) (0.235:0.235:0.235))
+    (IOPATH B X (0.106:0.106:0.106) (0.211:0.211:0.211))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _2259_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.182:0.182:0.182) (0.103:0.103:0.103))
+    (IOPATH A2 Y (0.177:0.177:0.177) (0.071:0.071:0.072))
+    (IOPATH B1 Y (0.170:0.177:0.184) (0.097:0.098:0.098))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2260_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.084:0.084:0.084) (0.068:0.068:0.068))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_2")
+  (INSTANCE _2261_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.248:0.248:0.248) (0.123:0.123:0.123))
+    (IOPATH A2 Y (0.240:0.240:0.241) (0.103:0.103:0.103))
+    (IOPATH B1 Y (0.121:0.121:0.121) (0.122:0.122:0.122))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_4")
+  (INSTANCE _2262_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.172:0.172:0.172) (0.188:0.188:0.188))
+    (IOPATH A Y (0.268:0.268:0.268) (0.122:0.122:0.122))
+    (IOPATH B Y (0.166:0.176:0.186) (0.168:0.172:0.175))
+    (IOPATH B Y (0.240:0.243:0.246) (0.109:0.119:0.129))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _2263_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.138:0.157:0.176) (0.262:0.265:0.267))
+    (IOPATH B X (0.123:0.140:0.157) (0.230:0.233:0.236))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _2264_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.181:0.184:0.187) (0.150:0.180:0.210))
+    (IOPATH B Y (0.171:0.175:0.178) (0.137:0.160:0.182))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _2265_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.097:0.097:0.097) (0.078:0.079:0.079))
+    (IOPATH B Y (0.136:0.144:0.153) (0.110:0.111:0.111))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2266_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.156:0.159:0.161) (0.309:0.311:0.313))
+    (IOPATH A1 X (0.160:0.161:0.162) (0.322:0.328:0.333))
+    (IOPATH S X (0.233:0.236:0.240) (0.348:0.362:0.375))
+    (IOPATH S X (0.164:0.185:0.205) (0.342:0.345:0.348))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _2267_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.363:0.363:0.363) (0.285:0.285:0.285))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _2268_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.108:0.108:0.108) (0.061:0.061:0.061))
+    (IOPATH B Y (0.079:0.082:0.084) (0.041:0.042:0.043))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _2269_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.194:0.194:0.194) (0.177:0.177:0.177))
+    (IOPATH B X (0.214:0.214:0.214) (0.210:0.210:0.211))
+    (IOPATH C X (0.195:0.195:0.195) (0.211:0.211:0.212))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or4_1")
+  (INSTANCE _2270_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.139:0.139:0.139) (0.521:0.521:0.521))
+    (IOPATH B X (0.162:0.162:0.162) (0.515:0.515:0.515))
+    (IOPATH C X (0.100:0.101:0.101) (0.453:0.454:0.456))
+    (IOPATH D X (0.108:0.108:0.108) (0.390:0.391:0.391))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _2271_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.117:0.117:0.117) (0.060:0.060:0.060))
+    (IOPATH A2 Y (0.110:0.110:0.111) (0.054:0.054:0.054))
+    (IOPATH B1 Y (0.076:0.076:0.076) (0.047:0.047:0.048))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _2272_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.142:0.160:0.179) (0.262:0.265:0.268))
+    (IOPATH B X (0.136:0.138:0.141) (0.237:0.240:0.242))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o31a_2")
+  (INSTANCE _2273_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.248:0.248:0.248) (0.423:0.423:0.423))
+    (IOPATH A2 X (0.231:0.231:0.231) (0.395:0.395:0.395))
+    (IOPATH A3 X (0.216:0.216:0.217) (0.374:0.375:0.375))
+    (IOPATH B1 X (0.247:0.247:0.247) (0.179:0.179:0.179))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+  (INSTANCE _2274_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.260:0.260:0.260) (0.119:0.119:0.119))
+    (IOPATH A X (0.237:0.237:0.237) (0.212:0.212:0.212))
+    (IOPATH B X (0.226:0.232:0.237) (0.117:0.117:0.118))
+    (IOPATH B X (0.244:0.244:0.244) (0.177:0.182:0.188))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _2275_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.114:0.132:0.151) (0.239:0.242:0.245))
+    (IOPATH B X (0.138:0.140:0.142) (0.212:0.218:0.224))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+  (INSTANCE _2276_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.180:0.180:0.181) (0.165:0.165:0.165))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _2277_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.151:0.158:0.166) (0.087:0.087:0.087))
+    (IOPATH A2 Y (0.141:0.147:0.153) (0.098:0.100:0.102))
+    (IOPATH B1 Y (0.124:0.126:0.129) (0.049:0.059:0.068))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _2278_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.183:0.190:0.198) (0.106:0.107:0.107))
+    (IOPATH A2 Y (0.159:0.159:0.159) (0.088:0.088:0.088))
+    (IOPATH B1 Y (0.085:0.092:0.098) (0.081:0.084:0.087))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _2279_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.169:0.169:0.169) (0.164:0.164:0.164))
+    (IOPATH A Y (0.257:0.257:0.257) (0.109:0.109:0.110))
+    (IOPATH B Y (0.173:0.178:0.182) (0.154:0.157:0.160))
+    (IOPATH B Y (0.232:0.235:0.237) (0.105:0.110:0.115))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _2280_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.171:0.171:0.171) (0.163:0.164:0.165))
+    (IOPATH B X (0.179:0.194:0.208) (0.192:0.194:0.196))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _2281_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.090:0.090:0.091) (0.050:0.050:0.050))
+    (IOPATH B Y (0.083:0.084:0.086) (0.046:0.051:0.056))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or4_1")
+  (INSTANCE _2282_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.139:0.139:0.139) (0.520:0.520:0.520))
+    (IOPATH B X (0.177:0.177:0.177) (0.535:0.535:0.535))
+    (IOPATH C X (0.113:0.113:0.113) (0.458:0.458:0.458))
+    (IOPATH D X (0.096:0.096:0.097) (0.385:0.386:0.388))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _2283_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.150:0.150:0.150) (0.075:0.075:0.075))
+    (IOPATH A2 Y (0.145:0.147:0.149) (0.080:0.091:0.102))
+    (IOPATH B1 Y (0.099:0.099:0.099) (0.061:0.062:0.063))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _2284_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.142:0.160:0.179) (0.262:0.265:0.268))
+    (IOPATH B X (0.165:0.167:0.169) (0.235:0.241:0.247))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _2285_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.153:0.153:0.153) (0.264:0.265:0.265))
+    (IOPATH B X (0.189:0.191:0.193) (0.253:0.259:0.265))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _2286_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.103:0.103:0.103) (0.094:0.094:0.094))
+    (IOPATH B Y (0.104:0.104:0.104) (0.088:0.089:0.089))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _2287_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.097:0.097:0.097) (0.087:0.087:0.087))
+    (IOPATH B Y (0.085:0.087:0.090) (0.069:0.070:0.070))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _2288_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.229:0.232:0.234) (0.204:0.220:0.237))
+    (IOPATH B X (0.141:0.158:0.174) (0.176:0.179:0.183))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _2289_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.163:0.163:0.164) (0.162:0.162:0.162))
+    (IOPATH A Y (0.234:0.234:0.234) (0.109:0.109:0.110))
+    (IOPATH B Y (0.146:0.146:0.147) (0.141:0.141:0.141))
+    (IOPATH B Y (0.200:0.200:0.200) (0.083:0.084:0.084))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _2290_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.229:0.232:0.236) (0.093:0.093:0.094))
+    (IOPATH A X (0.220:0.220:0.220) (0.180:0.183:0.186))
+    (IOPATH B X (0.217:0.219:0.221) (0.101:0.116:0.132))
+    (IOPATH B X (0.233:0.241:0.249) (0.167:0.170:0.172))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _2291_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.193:0.193:0.193) (0.084:0.084:0.084))
+    (IOPATH A X (0.179:0.180:0.180) (0.176:0.176:0.176))
+    (IOPATH B X (0.170:0.177:0.185) (0.114:0.115:0.117))
+    (IOPATH B X (0.204:0.205:0.206) (0.153:0.161:0.169))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _2292_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.192:0.195:0.197) (0.088:0.109:0.130))
+    (IOPATH B Y (0.164:0.167:0.170) (0.069:0.087:0.104))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211o_1")
+  (INSTANCE _2293_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.163:0.163:0.164) (0.297:0.304:0.312))
+    (IOPATH A2 X (0.157:0.157:0.157) (0.320:0.320:0.320))
+    (IOPATH B1 X (0.123:0.142:0.160) (0.291:0.293:0.296))
+    (IOPATH C1 X (0.135:0.135:0.135) (0.238:0.246:0.254))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _2294_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.129:0.130:0.131) (0.117:0.126:0.135))
+    (IOPATH A Y (0.140:0.147:0.155) (0.087:0.088:0.090))
+    (IOPATH B Y (0.105:0.106:0.106) (0.120:0.120:0.121))
+    (IOPATH B Y (0.125:0.126:0.126) (0.057:0.057:0.058))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _2295_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.225:0.232:0.240) (0.124:0.125:0.125))
+    (IOPATH A2 Y (0.201:0.201:0.201) (0.105:0.105:0.105))
+    (IOPATH B1 Y (0.111:0.115:0.119) (0.083:0.094:0.105))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _2296_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.177:0.177:0.178) (0.166:0.166:0.167))
+    (IOPATH B X (0.188:0.194:0.199) (0.190:0.194:0.197))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _2297_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.184:0.184:0.184) (0.099:0.099:0.099))
+    (IOPATH B Y (0.158:0.158:0.158) (0.075:0.075:0.075))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _2298_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.125:0.126:0.126) (0.179:0.180:0.180))
+    (IOPATH A2 X (0.120:0.124:0.129) (0.167:0.171:0.174))
+    (IOPATH B1 X (0.138:0.138:0.139) (0.097:0.101:0.105))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a2bb2o_1")
+  (INSTANCE _2299_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1_N X (0.296:0.296:0.296) (0.319:0.319:0.319))
+    (IOPATH A2_N X (0.288:0.291:0.294) (0.332:0.335:0.338))
+    (IOPATH B1 X (0.165:0.165:0.166) (0.302:0.303:0.305))
+    (IOPATH B2 X (0.244:0.244:0.244) (0.350:0.350:0.350))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _2300_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.192:0.216:0.241) (0.185:0.188:0.191))
+    (IOPATH B X (0.183:0.202:0.222) (0.189:0.193:0.196))
+    (IOPATH C X (0.192:0.192:0.192) (0.207:0.207:0.207))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _2301_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.192:0.192:0.192) (0.206:0.206:0.206))
+    (IOPATH A Y (0.319:0.319:0.319) (0.143:0.143:0.143))
+    (IOPATH B Y (0.189:0.189:0.189) (0.191:0.197:0.203))
+    (IOPATH B Y (0.286:0.291:0.296) (0.135:0.135:0.135))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o2111a_1")
+  (INSTANCE _2302_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.257:0.273:0.289) (0.294:0.297:0.299))
+    (IOPATH A2 X (0.240:0.256:0.273) (0.292:0.295:0.298))
+    (IOPATH B1 X (0.246:0.247:0.248) (0.182:0.190:0.197))
+    (IOPATH C1 X (0.249:0.272:0.295) (0.188:0.190:0.193))
+    (IOPATH D1 X (0.231:0.231:0.232) (0.160:0.160:0.160))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31oi_1")
+  (INSTANCE _2303_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.153:0.153:0.153) (0.098:0.098:0.098))
+    (IOPATH A2 Y (0.166:0.168:0.170) (0.083:0.083:0.084))
+    (IOPATH A3 Y (0.170:0.172:0.174) (0.091:0.102:0.113))
+    (IOPATH B1 Y (0.140:0.140:0.141) (0.046:0.047:0.047))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o32a_1")
+  (INSTANCE _2304_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.180:0.180:0.181) (0.366:0.366:0.366))
+    (IOPATH A2 X (0.175:0.175:0.176) (0.341:0.342:0.342))
+    (IOPATH A3 X (0.193:0.195:0.196) (0.303:0.310:0.317))
+    (IOPATH B1 X (0.181:0.182:0.183) (0.192:0.194:0.196))
+    (IOPATH B2 X (0.161:0.166:0.171) (0.165:0.171:0.177))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _2305_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.141:0.156:0.172) (0.151:0.154:0.158))
+    (IOPATH A Y (0.200:0.203:0.206) (0.093:0.112:0.130))
+    (IOPATH B Y (0.140:0.156:0.172) (0.148:0.151:0.154))
+    (IOPATH B Y (0.181:0.184:0.187) (0.084:0.102:0.119))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _2306_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.142:0.157:0.172) (0.149:0.153:0.156))
+    (IOPATH A Y (0.203:0.206:0.209) (0.092:0.110:0.128))
+    (IOPATH B Y (0.136:0.147:0.158) (0.135:0.141:0.146))
+    (IOPATH B Y (0.174:0.179:0.184) (0.078:0.090:0.101))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _2307_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.203:0.203:0.203) (0.087:0.087:0.088))
+    (IOPATH A2 Y (0.225:0.225:0.225) (0.097:0.098:0.098))
+    (IOPATH B1 Y (0.196:0.201:0.206) (0.097:0.099:0.101))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _2308_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.146:0.148:0.150) (0.078:0.094:0.109))
+    (IOPATH A2 Y (0.142:0.147:0.152) (0.068:0.079:0.090))
+    (IOPATH B1 Y (0.123:0.125:0.127) (0.061:0.062:0.063))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a311o_1")
+  (INSTANCE _2309_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.186:0.210:0.234) (0.295:0.297:0.299))
+    (IOPATH A2 X (0.178:0.191:0.204) (0.319:0.325:0.330))
+    (IOPATH A3 X (0.207:0.209:0.211) (0.366:0.368:0.370))
+    (IOPATH B1 X (0.134:0.137:0.140) (0.323:0.331:0.338))
+    (IOPATH C1 X (0.138:0.138:0.139) (0.284:0.286:0.288))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _2310_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.147:0.147:0.147) (0.145:0.147:0.149))
+    (IOPATH A Y (0.212:0.213:0.215) (0.093:0.093:0.093))
+    (IOPATH B Y (0.142:0.144:0.145) (0.141:0.143:0.145))
+    (IOPATH B Y (0.193:0.194:0.196) (0.081:0.082:0.083))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _2311_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.132:0.154:0.175) (0.208:0.210:0.213))
+    (IOPATH A2 X (0.145:0.167:0.189) (0.241:0.243:0.245))
+    (IOPATH B1 X (0.136:0.136:0.136) (0.187:0.187:0.187))
+    (IOPATH B2 X (0.122:0.134:0.147) (0.190:0.192:0.194))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _2312_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.168:0.168:0.168) (0.211:0.211:0.211))
+    (IOPATH A2 X (0.140:0.150:0.160) (0.181:0.183:0.186))
+    (IOPATH B1_N X (0.220:0.220:0.221) (0.145:0.146:0.147))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _2313_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.149:0.149:0.149) (0.131:0.134:0.138))
+    (IOPATH A Y (0.174:0.178:0.181) (0.104:0.104:0.104))
+    (IOPATH B Y (0.125:0.125:0.125) (0.125:0.126:0.127))
+    (IOPATH B Y (0.153:0.154:0.155) (0.070:0.070:0.070))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _2314_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.208:0.214:0.221) (0.138:0.139:0.139))
+    (IOPATH B Y (0.173:0.177:0.180) (0.068:0.081:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkinv_2")
+  (INSTANCE _2315_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.046:0.050:0.054) (0.058:0.058:0.058))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2316_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.218:0.218:0.218) (0.349:0.349:0.349))
+    (IOPATH A1 X (0.171:0.172:0.173) (0.342:0.342:0.342))
+    (IOPATH S X (0.268:0.268:0.268) (0.387:0.387:0.387))
+    (IOPATH S X (0.207:0.207:0.207) (0.374:0.374:0.374))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _2317_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.146:0.166:0.186) (0.189:0.192:0.195))
+    (IOPATH A2 X (0.169:0.169:0.169) (0.204:0.214:0.225))
+    (IOPATH A3 X (0.165:0.165:0.165) (0.236:0.236:0.236))
+    (IOPATH B1 X (0.116:0.116:0.116) (0.202:0.203:0.203))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _2318_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.102:0.104:0.106) (0.085:0.103:0.120))
+    (IOPATH B Y (0.079:0.080:0.080) (0.065:0.066:0.067))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _2319_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.155:0.155:0.155) (0.200:0.200:0.200))
+    (IOPATH A2 X (0.123:0.123:0.123) (0.171:0.172:0.172))
+    (IOPATH B1 X (0.121:0.122:0.122) (0.104:0.115:0.126))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _2320_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.253:0.260:0.266) (0.159:0.159:0.160))
+    (IOPATH B Y (0.215:0.216:0.217) (0.073:0.073:0.073))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4b_1")
+  (INSTANCE _2321_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.361:0.361:0.361) (0.278:0.278:0.278))
+    (IOPATH B X (0.358:0.358:0.358) (0.316:0.316:0.316))
+    (IOPATH C X (0.301:0.301:0.301) (0.250:0.250:0.251))
+    (IOPATH D X (0.300:0.300:0.300) (0.261:0.272:0.283))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2322_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.110:0.110:0.110) (0.109:0.109:0.110))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _2323_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.133:0.154:0.174) (0.156:0.158:0.160))
+    (IOPATH B X (0.131:0.132:0.132) (0.169:0.169:0.170))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
+  (INSTANCE _2324_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.234:0.235:0.236) (0.207:0.207:0.207))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _2325_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.117:0.117:0.117) (0.276:0.276:0.276))
+    (IOPATH A1 X (0.118:0.118:0.118) (0.284:0.284:0.284))
+    (IOPATH S X (0.235:0.235:0.235) (0.345:0.345:0.345))
+    (IOPATH S X (0.170:0.170:0.170) (0.340:0.340:0.340))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _2326_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.086:0.087:0.087) (0.096:0.096:0.096))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _2327_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.367:0.367:0.367) (0.289:0.289:0.289))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _2328_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.274:0.274:0.274) (0.227:0.227:0.227))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2329_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.061:0.061:0.061) (0.049:0.049:0.049))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2330_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.058:0.058:0.058) (0.045:0.045:0.045))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2331_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.058:0.058:0.058) (0.045:0.045:0.045))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2332_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.060:0.060:0.060) (0.047:0.047:0.047))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2333_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.059:0.059:0.059) (0.046:0.046:0.046))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2334_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.059:0.059:0.059) (0.046:0.046:0.046))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2335_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.060:0.060:0.060) (0.048:0.048:0.048))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2336_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.060:0.060:0.060) (0.048:0.048:0.048))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2337_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.060:0.060:0.060) (0.047:0.047:0.047))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2338_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.057:0.057:0.057) (0.044:0.044:0.044))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _2339_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.283:0.283:0.283) (0.232:0.232:0.232))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2340_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.061:0.061:0.061) (0.047:0.047:0.047))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2341_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.060:0.060:0.060) (0.046:0.046:0.046))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2342_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.059:0.059:0.059) (0.045:0.045:0.045))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2343_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.059:0.059:0.059) (0.045:0.045:0.045))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2344_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.063:0.063:0.063) (0.050:0.050:0.050))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2345_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.062:0.062:0.062) (0.048:0.048:0.048))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2346_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.060:0.060:0.060) (0.047:0.047:0.047))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2347_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.062:0.062:0.062) (0.048:0.048:0.048))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2348_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.063:0.063:0.063) (0.049:0.049:0.049))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2349_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.059:0.059:0.059) (0.045:0.045:0.045))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _2350_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.277:0.277:0.277) (0.230:0.230:0.230))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2351_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.063:0.063:0.063) (0.051:0.051:0.051))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2352_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.062:0.062:0.062) (0.050:0.050:0.050))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2353_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.062:0.062:0.062) (0.050:0.050:0.050))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2354_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.058:0.058:0.058) (0.045:0.045:0.045))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2355_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.058:0.058:0.058) (0.045:0.045:0.045))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2356_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.061:0.061:0.061) (0.048:0.048:0.048))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2357_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.061:0.061:0.061) (0.048:0.048:0.048))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2358_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.058:0.058:0.058) (0.045:0.045:0.045))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2359_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.063:0.063:0.063) (0.050:0.050:0.050))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2360_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.064:0.064:0.064) (0.052:0.052:0.052))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _2361_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.281:0.281:0.281) (0.231:0.231:0.231))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2362_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.063:0.063:0.063) (0.050:0.050:0.050))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2363_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.059:0.059:0.059) (0.045:0.045:0.045))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2364_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.062:0.062:0.062) (0.049:0.049:0.049))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2365_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.062:0.062:0.062) (0.049:0.049:0.049))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2366_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.060:0.060:0.060) (0.046:0.046:0.046))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2367_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.060:0.060:0.060) (0.047:0.047:0.047))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2368_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.061:0.061:0.061) (0.047:0.047:0.047))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2369_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.062:0.062:0.062) (0.049:0.049:0.049))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2370_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.062:0.062:0.062) (0.049:0.049:0.049))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2371_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.061:0.061:0.061) (0.048:0.048:0.048))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _2372_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.362:0.362:0.362) (0.266:0.266:0.266))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2373_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.075:0.075:0.075) (0.052:0.052:0.052))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2374_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.076:0.076:0.076) (0.053:0.053:0.053))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2375_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.076:0.076:0.076) (0.053:0.053:0.053))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2376_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.080:0.080:0.080) (0.057:0.057:0.057))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2377_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.077:0.077:0.077) (0.054:0.054:0.054))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2378_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.079:0.079:0.079) (0.057:0.057:0.057))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2379_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.076:0.076:0.076) (0.054:0.054:0.054))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2380_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.075:0.075:0.075) (0.052:0.052:0.052))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2381_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.076:0.076:0.076) (0.053:0.053:0.053))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2382_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.075:0.075:0.075) (0.052:0.052:0.052))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _2383_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.278:0.278:0.278) (0.232:0.232:0.232))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2384_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.058:0.058:0.058) (0.045:0.045:0.045))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2385_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.059:0.059:0.059) (0.046:0.046:0.046))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2386_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.059:0.059:0.059) (0.046:0.046:0.046))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2387_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.061:0.061:0.061) (0.048:0.048:0.048))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2388_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.059:0.059:0.059) (0.045:0.045:0.045))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2389_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.060:0.060:0.060) (0.047:0.047:0.047))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2390_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.058:0.058:0.058) (0.045:0.045:0.045))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2391_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.060:0.060:0.060) (0.047:0.047:0.047))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2392_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.058:0.058:0.058) (0.045:0.045:0.045))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2393_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.062:0.062:0.062) (0.049:0.049:0.049))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_6")
+  (INSTANCE _2394_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.286:0.286:0.286) (0.218:0.218:0.218))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2395_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.067:0.067:0.067) (0.049:0.049:0.049))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2396_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.067:0.067:0.067) (0.051:0.051:0.051))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2397_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.066:0.066:0.066) (0.049:0.049:0.049))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2398_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.067:0.067:0.067) (0.050:0.050:0.050))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2399_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.068:0.068:0.068) (0.050:0.050:0.050))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2400_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.073:0.073:0.073) (0.055:0.055:0.055))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2401_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.068:0.068:0.068) (0.049:0.049:0.049))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2402_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.070:0.070:0.070) (0.051:0.051:0.051))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2403_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.067:0.067:0.067) (0.049:0.049:0.049))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2404_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.069:0.069:0.069) (0.052:0.052:0.052))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _2405_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.283:0.283:0.283) (0.234:0.234:0.234))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2406_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.063:0.063:0.063) (0.050:0.050:0.050))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2407_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.062:0.062:0.062) (0.048:0.048:0.048))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2408_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.061:0.061:0.061) (0.048:0.048:0.048))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2409_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.060:0.060:0.060) (0.047:0.047:0.047))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2410_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.063:0.063:0.063) (0.050:0.050:0.050))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2411_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.060:0.060:0.060) (0.046:0.046:0.046))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2412_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.063:0.063:0.063) (0.050:0.050:0.050))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2413_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.060:0.060:0.060) (0.046:0.046:0.046))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2414_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.066:0.066:0.066) (0.052:0.052:0.052))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2415_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.060:0.060:0.060) (0.046:0.046:0.046))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _2416_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.318:0.318:0.318) (0.248:0.248:0.248))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2417_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.071:0.071:0.071) (0.054:0.054:0.054))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2418_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.068:0.068:0.068) (0.051:0.051:0.051))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2419_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.069:0.069:0.069) (0.052:0.052:0.052))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2420_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.069:0.069:0.069) (0.051:0.051:0.051))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2421_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.067:0.067:0.067) (0.049:0.049:0.049))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2422_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.070:0.070:0.070) (0.052:0.052:0.052))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2423_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.069:0.069:0.069) (0.051:0.051:0.051))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2424_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.067:0.067:0.067) (0.049:0.049:0.049))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2425_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.066:0.066:0.066) (0.048:0.048:0.048))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2426_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.069:0.069:0.069) (0.052:0.052:0.052))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_6")
+  (INSTANCE _2427_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.290:0.290:0.290) (0.223:0.223:0.223))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2428_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.067:0.067:0.067) (0.051:0.051:0.051))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2429_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.068:0.068:0.068) (0.052:0.052:0.052))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2430_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.067:0.067:0.067) (0.051:0.051:0.051))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2431_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.067:0.067:0.067) (0.050:0.050:0.050))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2432_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.065:0.065:0.065) (0.048:0.048:0.048))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2433_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.068:0.068:0.068) (0.052:0.052:0.052))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2434_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.066:0.066:0.066) (0.049:0.049:0.049))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2435_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.065:0.065:0.065) (0.048:0.048:0.048))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2436_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.065:0.065:0.065) (0.049:0.049:0.049))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2437_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.067:0.067:0.067) (0.051:0.051:0.051))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _2438_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.313:0.313:0.313) (0.246:0.246:0.246))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2439_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.067:0.067:0.067) (0.049:0.049:0.049))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2440_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.068:0.068:0.068) (0.050:0.050:0.050))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2441_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.069:0.069:0.069) (0.052:0.052:0.052))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2442_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.069:0.069:0.069) (0.052:0.052:0.052))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2443_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.071:0.071:0.071) (0.054:0.054:0.054))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2444_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.066:0.066:0.066) (0.048:0.048:0.048))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2445_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.067:0.067:0.067) (0.050:0.050:0.050))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2446_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.069:0.069:0.069) (0.052:0.052:0.052))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2447_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.067:0.067:0.067) (0.049:0.049:0.049))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2448_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.070:0.070:0.070) (0.053:0.053:0.053))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_6")
+  (INSTANCE _2449_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.275:0.275:0.275) (0.216:0.216:0.216))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2450_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.061:0.061:0.061) (0.047:0.047:0.047))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2451_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.064:0.064:0.064) (0.050:0.050:0.050))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2452_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.063:0.063:0.063) (0.049:0.049:0.049))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2453_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.062:0.062:0.062) (0.047:0.047:0.047))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2454_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.065:0.065:0.065) (0.051:0.051:0.051))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2455_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.062:0.062:0.062) (0.048:0.048:0.048))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2456_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.062:0.062:0.062) (0.048:0.048:0.048))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2457_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.062:0.062:0.062) (0.048:0.048:0.048))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2458_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.063:0.063:0.063) (0.049:0.049:0.049))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2459_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.063:0.063:0.063) (0.049:0.049:0.049))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _2460_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.279:0.279:0.279) (0.231:0.231:0.231))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2461_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.060:0.060:0.060) (0.047:0.047:0.047))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2462_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.058:0.058:0.058) (0.045:0.045:0.045))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2463_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.058:0.058:0.058) (0.044:0.044:0.044))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2464_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.058:0.058:0.058) (0.045:0.045:0.045))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2465_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.059:0.059:0.059) (0.046:0.046:0.046))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2466_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.058:0.058:0.058) (0.045:0.045:0.045))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2467_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.058:0.058:0.058) (0.045:0.045:0.045))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2468_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.060:0.060:0.060) (0.047:0.047:0.047))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2469_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.061:0.061:0.061) (0.048:0.048:0.048))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2470_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.095:0.095:0.095) (0.081:0.081:0.081))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _2471_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.287:0.287:0.287) (0.235:0.235:0.235))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2472_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.061:0.061:0.061) (0.047:0.047:0.047))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2473_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.061:0.061:0.061) (0.047:0.047:0.047))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2474_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.060:0.060:0.060) (0.046:0.046:0.046))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2475_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.060:0.060:0.060) (0.046:0.046:0.046))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2476_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.065:0.065:0.065) (0.052:0.052:0.052))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2477_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.063:0.063:0.063) (0.050:0.050:0.050))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2478_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.060:0.060:0.060) (0.046:0.046:0.046))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2479_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.061:0.061:0.061) (0.047:0.047:0.047))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2480_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.060:0.060:0.060) (0.046:0.046:0.046))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2481_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.060:0.060:0.060) (0.046:0.046:0.046))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2482_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.073:0.073:0.073) (0.052:0.052:0.052))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2483_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.075:0.075:0.075) (0.053:0.053:0.053))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2484_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.073:0.073:0.073) (0.052:0.052:0.052))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2485_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.073:0.073:0.073) (0.051:0.051:0.051))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _2486_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.074:0.074:0.074) (0.052:0.052:0.052))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
+  (INSTANCE _2487_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.305:0.305:0.305) (0.296:0.296:0.296))
+   )
+  )
+  (TIMINGCHECK
+    (HOLD (posedge D) (posedge CLK) (-0.056:-0.056:-0.056))
+    (HOLD (negedge D) (posedge CLK) (-0.079:-0.079:-0.079))
+    (SETUP (posedge D) (posedge CLK) (0.082:0.082:0.082))
+    (SETUP (negedge D) (posedge CLK) (0.137:0.137:0.137))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
+  (INSTANCE _2488_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.418:0.418:0.418) (0.363:0.363:0.363))
+   )
+  )
+  (TIMINGCHECK
+    (HOLD (posedge D) (posedge CLK) (-0.045:-0.045:-0.045))
+    (HOLD (negedge D) (posedge CLK) (-0.062:-0.062:-0.062))
+    (SETUP (posedge D) (posedge CLK) (0.069:0.069:0.069))
+    (SETUP (negedge D) (posedge CLK) (0.120:0.120:0.120))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
+  (INSTANCE _2489_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.419:0.419:0.419) (0.362:0.362:0.362))
+   )
+  )
+  (TIMINGCHECK
+    (HOLD (posedge D) (posedge CLK) (-0.045:-0.045:-0.045))
+    (HOLD (negedge D) (posedge CLK) (-0.064:-0.064:-0.064))
+    (SETUP (posedge D) (posedge CLK) (0.069:0.069:0.069))
+    (SETUP (negedge D) (posedge CLK) (0.122:0.122:0.122))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
+  (INSTANCE _2490_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.339:0.339:0.339) (0.317:0.317:0.317))
+   )
+  )
+  (TIMINGCHECK
+    (HOLD (posedge D) (posedge CLK) (-0.084:-0.084:-0.084))
+    (HOLD (negedge D) (posedge CLK) (-0.104:-0.104:-0.104))
+    (SETUP (posedge D) (posedge CLK) (0.112:0.112:0.112))
+    (SETUP (negedge D) (posedge CLK) (0.164:0.164:0.164))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
+  (INSTANCE _2491_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.331:0.331:0.331) (0.314:0.314:0.314))
+   )
+  )
+  (TIMINGCHECK
+    (HOLD (posedge D) (posedge CLK) (-0.083:-0.083:-0.083))
+    (HOLD (negedge D) (posedge CLK) (-0.103:-0.103:-0.103))
+    (SETUP (posedge D) (posedge CLK) (0.112:0.112:0.112))
+    (SETUP (negedge D) (posedge CLK) (0.163:0.163:0.163))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
+  (INSTANCE _2492_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.321:0.321:0.321) (0.306:0.306:0.306))
+   )
+  )
+  (TIMINGCHECK
+    (HOLD (posedge D) (posedge CLK) (-0.049:-0.049:-0.049))
+    (HOLD (negedge D) (posedge CLK) (-0.069:-0.069:-0.069))
+    (SETUP (posedge D) (posedge CLK) (0.074:0.074:0.074))
+    (SETUP (negedge D) (posedge CLK) (0.127:0.127:0.127))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
+  (INSTANCE _2493_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.313:0.313:0.313) (0.305:0.305:0.305))
+   )
+  )
+  (TIMINGCHECK
+    (HOLD (posedge D) (posedge CLK) (-0.053:-0.053:-0.053))
+    (HOLD (negedge D) (posedge CLK) (-0.070:-0.070:-0.070))
+    (SETUP (posedge D) (posedge CLK) (0.078:0.078:0.078))
+    (SETUP (negedge D) (posedge CLK) (0.128:0.128:0.128))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
+  (INSTANCE _2494_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.326:0.326:0.326) (0.311:0.311:0.311))
+   )
+  )
+  (TIMINGCHECK
+    (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037))
+    (HOLD (negedge D) (posedge CLK) (-0.056:-0.056:-0.056))
+    (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061))
+    (SETUP (negedge D) (posedge CLK) (0.113:0.113:0.113))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
+  (INSTANCE _2495_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.302:0.302:0.302) (0.293:0.293:0.293))
+   )
+  )
+  (TIMINGCHECK
+    (HOLD (posedge D) (posedge CLK) (-0.055:-0.055:-0.055))
+    (HOLD (negedge D) (posedge CLK) (-0.075:-0.075:-0.075))
+    (SETUP (posedge D) (posedge CLK) (0.080:0.080:0.080))
+    (SETUP (negedge D) (posedge CLK) (0.133:0.133:0.133))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
+  (INSTANCE _2496_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.307:0.307:0.307) (0.298:0.298:0.298))
+   )
+  )
+  (TIMINGCHECK
+    (HOLD (posedge D) (posedge CLK) (-0.043:-0.043:-0.043))
+    (HOLD (negedge D) (posedge CLK) (-0.061:-0.061:-0.061))
+    (SETUP (posedge D) (posedge CLK) (0.067:0.067:0.067))
+    (SETUP (negedge D) (posedge CLK) (0.118:0.118:0.118))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
+  (INSTANCE _2497_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.306:0.306:0.306) (0.297:0.297:0.297))
+   )
+  )
+  (TIMINGCHECK
+    (HOLD (posedge D) (posedge CLK) (-0.043:-0.043:-0.043))
+    (HOLD (negedge D) (posedge CLK) (-0.061:-0.061:-0.061))
+    (SETUP (posedge D) (posedge CLK) (0.067:0.067:0.067))
+    (SETUP (negedge D) (posedge CLK) (0.118:0.118:0.118))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
+  (INSTANCE _2498_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.316:0.316:0.316) (0.307:0.307:0.307))
+   )
+  )
+  (TIMINGCHECK
+    (HOLD (posedge D) (posedge CLK) (-0.049:-0.049:-0.049))
+    (HOLD (negedge D) (posedge CLK) (-0.066:-0.066:-0.066))
+    (SETUP (posedge D) (posedge CLK) (0.073:0.073:0.073))
+    (SETUP (negedge D) (posedge CLK) (0.123:0.123:0.123))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
+  (INSTANCE _2499_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.314:0.314:0.314) (0.305:0.305:0.305))
+   )
+  )
+  (TIMINGCHECK
+    (HOLD (posedge D) (posedge CLK) (-0.041:-0.041:-0.041))
+    (HOLD (negedge D) (posedge CLK) (-0.057:-0.057:-0.057))
+    (SETUP (posedge D) (posedge CLK) (0.065:0.065:0.065))
+    (SETUP (negedge D) (posedge CLK) (0.115:0.115:0.115))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
+  (INSTANCE _2500_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.321:0.321:0.321) (0.310:0.310:0.310))
+   )
+  )
+  (TIMINGCHECK
+    (HOLD (posedge D) (posedge CLK) (-0.041:-0.041:-0.041))
+    (HOLD (negedge D) (posedge CLK) (-0.057:-0.057:-0.057))
+    (SETUP (posedge D) (posedge CLK) (0.065:0.065:0.065))
+    (SETUP (negedge D) (posedge CLK) (0.114:0.114:0.114))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
+  (INSTANCE _2501_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.315:0.315:0.315) (0.306:0.306:0.306))
+   )
+  )
+  (TIMINGCHECK
+    (HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025))
+    (HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
+    (SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
+    (SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
+  (INSTANCE _2502_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.325:0.325:0.325) (0.313:0.313:0.313))
+   )
+  )
+  (TIMINGCHECK
+    (HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
+    (HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046))
+    (SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
+    (SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2503_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.407:0.407:0.407) (0.441:0.441:0.441))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.482:0.482:0.482))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.226:-0.226:-0.226))
+    (HOLD (posedge D) (posedge CLK) (-0.048:-0.048:-0.048))
+    (HOLD (negedge D) (posedge CLK) (-0.026:-0.026:-0.026))
+    (SETUP (posedge D) (posedge CLK) (0.073:0.073:0.073))
+    (SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2504_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.403:0.403:0.403) (0.438:0.438:0.438))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.388:0.388:0.388))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.311:-0.311:-0.311))
+    (HOLD (posedge D) (posedge CLK) (-0.048:-0.048:-0.048))
+    (HOLD (negedge D) (posedge CLK) (-0.026:-0.026:-0.026))
+    (SETUP (posedge D) (posedge CLK) (0.073:0.073:0.073))
+    (SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2505_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.410:0.410:0.410) (0.444:0.444:0.444))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.388:0.388:0.388))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.312:-0.312:-0.312))
+    (HOLD (posedge D) (posedge CLK) (-0.048:-0.048:-0.048))
+    (HOLD (negedge D) (posedge CLK) (-0.025:-0.025:-0.025))
+    (SETUP (posedge D) (posedge CLK) (0.073:0.073:0.073))
+    (SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2506_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.423:0.423:0.423) (0.454:0.454:0.454))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.388:0.388:0.388))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.312:-0.312:-0.312))
+    (HOLD (posedge D) (posedge CLK) (-0.046:-0.046:-0.046))
+    (HOLD (negedge D) (posedge CLK) (-0.023:-0.023:-0.023))
+    (SETUP (posedge D) (posedge CLK) (0.070:0.070:0.070))
+    (SETUP (negedge D) (posedge CLK) (0.093:0.093:0.093))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2507_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.415:0.415:0.415) (0.448:0.448:0.448))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.388:0.388:0.388))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.312:-0.312:-0.312))
+    (HOLD (posedge D) (posedge CLK) (-0.044:-0.044:-0.044))
+    (HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
+    (SETUP (posedge D) (posedge CLK) (0.069:0.069:0.069))
+    (SETUP (negedge D) (posedge CLK) (0.115:0.115:0.115))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2508_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.405:0.405:0.405) (0.440:0.440:0.440))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.388:0.388:0.388))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.312:-0.312:-0.312))
+    (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037))
+    (HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
+    (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062))
+    (SETUP (negedge D) (posedge CLK) (0.105:0.105:0.105))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2509_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.411:0.411:0.411) (0.445:0.445:0.445))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.388:0.388:0.388))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.312:-0.312:-0.312))
+    (HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
+    (HOLD (negedge D) (posedge CLK) (-0.027:-0.027:-0.027))
+    (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056))
+    (SETUP (negedge D) (posedge CLK) (0.097:0.097:0.097))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2510_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.419:0.419:0.419) (0.451:0.451:0.451))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.388:0.388:0.388))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.312:-0.312:-0.312))
+    (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035))
+    (HOLD (negedge D) (posedge CLK) (-0.014:-0.014:-0.014))
+    (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059))
+    (SETUP (negedge D) (posedge CLK) (0.084:0.084:0.084))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2511_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.414:0.414:0.414) (0.447:0.447:0.447))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.392:0.392:0.392))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.316:-0.316:-0.316))
+    (HOLD (posedge D) (posedge CLK) (-0.046:-0.046:-0.046))
+    (HOLD (negedge D) (posedge CLK) (-0.023:-0.023:-0.023))
+    (SETUP (posedge D) (posedge CLK) (0.071:0.071:0.071))
+    (SETUP (negedge D) (posedge CLK) (0.093:0.093:0.093))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2512_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.418:0.418:0.418) (0.451:0.451:0.451))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.391:0.391:0.391))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.316:-0.316:-0.316))
+    (HOLD (posedge D) (posedge CLK) (-0.046:-0.046:-0.046))
+    (HOLD (negedge D) (posedge CLK) (-0.023:-0.023:-0.023))
+    (SETUP (posedge D) (posedge CLK) (0.071:0.071:0.071))
+    (SETUP (negedge D) (posedge CLK) (0.093:0.093:0.093))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2513_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.397:0.397:0.397) (0.433:0.433:0.433))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.391:0.391:0.391))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.316:-0.316:-0.316))
+    (HOLD (posedge D) (posedge CLK) (-0.046:-0.046:-0.046))
+    (HOLD (negedge D) (posedge CLK) (-0.022:-0.022:-0.022))
+    (SETUP (posedge D) (posedge CLK) (0.070:0.070:0.070))
+    (SETUP (negedge D) (posedge CLK) (0.093:0.093:0.093))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2514_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.420:0.420:0.420) (0.452:0.452:0.452))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.392:0.392:0.392))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.316:-0.316:-0.316))
+    (HOLD (posedge D) (posedge CLK) (-0.044:-0.044:-0.044))
+    (HOLD (negedge D) (posedge CLK) (-0.020:-0.020:-0.020))
+    (SETUP (posedge D) (posedge CLK) (0.068:0.068:0.068))
+    (SETUP (negedge D) (posedge CLK) (0.090:0.090:0.090))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2515_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.407:0.407:0.407) (0.441:0.441:0.441))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.391:0.391:0.391))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.316:-0.316:-0.316))
+    (HOLD (posedge D) (posedge CLK) (-0.043:-0.043:-0.043))
+    (HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040))
+    (SETUP (posedge D) (posedge CLK) (0.067:0.067:0.067))
+    (SETUP (negedge D) (posedge CLK) (0.112:0.112:0.112))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2516_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.412:0.412:0.412) (0.446:0.446:0.446))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.391:0.391:0.391))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.316:-0.316:-0.316))
+    (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036))
+    (HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031))
+    (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059))
+    (SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2517_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.415:0.415:0.415) (0.448:0.448:0.448))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.391:0.391:0.391))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.316:-0.316:-0.316))
+    (HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
+    (HOLD (negedge D) (posedge CLK) (-0.024:-0.024:-0.024))
+    (SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
+    (SETUP (negedge D) (posedge CLK) (0.094:0.094:0.094))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2518_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.408:0.408:0.408) (0.443:0.443:0.443))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.392:0.392:0.392))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.315:-0.315:-0.315))
+    (HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
+    (HOLD (negedge D) (posedge CLK) (-0.011:-0.011:-0.011))
+    (SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057))
+    (SETUP (negedge D) (posedge CLK) (0.081:0.081:0.081))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2519_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.465:0.465:0.465) (0.486:0.486:0.486))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.394:0.394:0.394))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.318:-0.318:-0.318))
+    (HOLD (posedge D) (posedge CLK) (-0.045:-0.045:-0.045))
+    (HOLD (negedge D) (posedge CLK) (-0.021:-0.021:-0.021))
+    (SETUP (posedge D) (posedge CLK) (0.070:0.070:0.070))
+    (SETUP (negedge D) (posedge CLK) (0.092:0.092:0.092))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2520_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.451:0.451:0.451) (0.476:0.476:0.476))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.393:0.393:0.393))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.318:-0.318:-0.318))
+    (HOLD (posedge D) (posedge CLK) (-0.045:-0.045:-0.045))
+    (HOLD (negedge D) (posedge CLK) (-0.021:-0.021:-0.021))
+    (SETUP (posedge D) (posedge CLK) (0.070:0.070:0.070))
+    (SETUP (negedge D) (posedge CLK) (0.092:0.092:0.092))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2521_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.436:0.436:0.436) (0.465:0.465:0.465))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.394:0.394:0.394))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.318:-0.318:-0.318))
+    (HOLD (posedge D) (posedge CLK) (-0.045:-0.045:-0.045))
+    (HOLD (negedge D) (posedge CLK) (-0.021:-0.021:-0.021))
+    (SETUP (posedge D) (posedge CLK) (0.069:0.069:0.069))
+    (SETUP (negedge D) (posedge CLK) (0.091:0.091:0.091))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2522_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.412:0.412:0.412) (0.446:0.446:0.446))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.394:0.394:0.394))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.317:-0.317:-0.317))
+    (HOLD (posedge D) (posedge CLK) (-0.043:-0.043:-0.043))
+    (HOLD (negedge D) (posedge CLK) (-0.019:-0.019:-0.019))
+    (SETUP (posedge D) (posedge CLK) (0.067:0.067:0.067))
+    (SETUP (negedge D) (posedge CLK) (0.089:0.089:0.089))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2523_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.452:0.452:0.452) (0.477:0.477:0.477))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.393:0.393:0.393))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.318:-0.318:-0.318))
+    (HOLD (posedge D) (posedge CLK) (-0.042:-0.042:-0.042))
+    (HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039))
+    (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066))
+    (SETUP (negedge D) (posedge CLK) (0.110:0.110:0.110))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2524_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.439:0.439:0.439) (0.467:0.467:0.467))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.394:0.394:0.394))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.317:-0.317:-0.317))
+    (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035))
+    (HOLD (negedge D) (posedge CLK) (-0.029:-0.029:-0.029))
+    (SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058))
+    (SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2525_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.439:0.439:0.439) (0.467:0.467:0.467))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.394:0.394:0.394))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.317:-0.317:-0.317))
+    (HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
+    (HOLD (negedge D) (posedge CLK) (-0.022:-0.022:-0.022))
+    (SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
+    (SETUP (negedge D) (posedge CLK) (0.093:0.093:0.093))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2526_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.497:0.497:0.497) (0.507:0.507:0.507))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.394:0.394:0.394))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.317:-0.317:-0.317))
+    (HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
+    (HOLD (negedge D) (posedge CLK) (-0.009:-0.009:-0.009))
+    (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056))
+    (SETUP (negedge D) (posedge CLK) (0.079:0.079:0.079))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2527_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.453:0.453:0.453) (0.480:0.480:0.480))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.411:0.411:0.411))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.339:-0.339:-0.339))
+    (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036))
+    (HOLD (negedge D) (posedge CLK) (-0.006:-0.006:-0.006))
+    (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059))
+    (SETUP (negedge D) (posedge CLK) (0.076:0.076:0.076))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2528_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.423:0.423:0.423) (0.456:0.456:0.456))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.411:0.411:0.411))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.339:-0.339:-0.339))
+    (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036))
+    (HOLD (negedge D) (posedge CLK) (-0.006:-0.006:-0.006))
+    (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059))
+    (SETUP (negedge D) (posedge CLK) (0.076:0.076:0.076))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2529_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.415:0.415:0.415) (0.449:0.449:0.449))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.411:0.411:0.411))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.338:-0.338:-0.338))
+    (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036))
+    (HOLD (negedge D) (posedge CLK) (-0.006:-0.006:-0.006))
+    (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059))
+    (SETUP (negedge D) (posedge CLK) (0.076:0.076:0.076))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2530_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.412:0.412:0.412) (0.446:0.446:0.446))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.412:0.412:0.412))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.338:-0.338:-0.338))
+    (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034))
+    (HOLD (negedge D) (posedge CLK) (-0.004:-0.004:-0.004))
+    (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056))
+    (SETUP (negedge D) (posedge CLK) (0.074:0.074:0.074))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2531_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.432:0.432:0.432) (0.464:0.464:0.464))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.411:0.411:0.411))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.338:-0.338:-0.338))
+    (HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
+    (HOLD (negedge D) (posedge CLK) (-0.024:-0.024:-0.024))
+    (SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
+    (SETUP (negedge D) (posedge CLK) (0.095:0.095:0.095))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2532_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.480:0.480:0.480) (0.499:0.499:0.499))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.412:0.412:0.412))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.337:-0.337:-0.337))
+    (HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025))
+    (HOLD (negedge D) (posedge CLK) (-0.014:-0.014:-0.014))
+    (SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
+    (SETUP (negedge D) (posedge CLK) (0.085:0.085:0.085))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2533_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.498:0.498:0.498) (0.511:0.511:0.511))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.412:0.412:0.412))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.337:-0.337:-0.337))
+    (HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
+    (HOLD (negedge D) (posedge CLK) (-0.007:-0.007:-0.007))
+    (SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
+    (SETUP (negedge D) (posedge CLK) (0.078:0.078:0.078))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2534_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.541:0.541:0.541) (0.540:0.540:0.540))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.412:0.412:0.412))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.337:-0.337:-0.337))
+    (HOLD (posedge D) (posedge CLK) (-0.023:-0.023:-0.023))
+    (HOLD (negedge D) (posedge CLK) (0.006:0.006:0.006))
+    (SETUP (posedge D) (posedge CLK) (0.045:0.045:0.045))
+    (SETUP (negedge D) (posedge CLK) (0.064:0.064:0.064))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+  (INSTANCE _2535_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.438:0.438:0.438) (0.459:0.459:0.459))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.321:0.321:0.321))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.227:-0.227:-0.227))
+    (HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
+    (HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031))
+    (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061))
+    (SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_4")
+  (INSTANCE _2536_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.466:0.466:0.466) (0.521:0.521:0.521))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.321:0.321:0.321))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.223:-0.223:-0.223))
+    (HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
+    (HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
+    (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062))
+    (SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2537_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.361:0.361:0.361) (0.390:0.390:0.390))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.322:0.322:0.322))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.237:-0.237:-0.237))
+    (HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
+    (HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
+    (SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057))
+    (SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_4")
+  (INSTANCE _2538_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.474:0.474:0.474) (0.527:0.527:0.527))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.321:0.321:0.321))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.224:-0.224:-0.224))
+    (HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
+    (HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
+    (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062))
+    (SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+  (INSTANCE _2539_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.431:0.431:0.431) (0.456:0.456:0.456))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.322:0.322:0.322))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.227:-0.227:-0.227))
+    (HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
+    (HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.030))
+    (SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060))
+    (SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+  (INSTANCE _2540_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.434:0.434:0.434) (0.458:0.458:0.458))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.321:0.321:0.321))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.226:-0.226:-0.226))
+    (HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
+    (HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031))
+    (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061))
+    (SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_4")
+  (INSTANCE _2541_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.518:0.518:0.518) (0.561:0.561:0.561))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.322:0.322:0.322))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.224:-0.224:-0.224))
+    (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035))
+    (HOLD (negedge D) (posedge CLK) (-0.033:-0.033:-0.033))
+    (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063))
+    (SETUP (negedge D) (posedge CLK) (0.105:0.105:0.105))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2542_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.459:0.459:0.459) (0.454:0.454:0.454))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.322:0.322:0.322))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.237:-0.237:-0.237))
+    (HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
+    (HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
+    (SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058))
+    (SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2543_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.434:0.434:0.434) (0.439:0.439:0.439))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.322:0.322:0.322))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.237:-0.237:-0.237))
+    (HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
+    (HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
+    (SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057))
+    (SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2544_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.455:0.455:0.455) (0.452:0.452:0.452))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.324:0.324:0.324))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.235:-0.235:-0.235))
+    (HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
+    (HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
+    (SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057))
+    (SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+  (INSTANCE _2545_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.440:0.440:0.440) (0.466:0.466:0.466))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.334:0.334:0.334))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.235:-0.235:-0.235))
+    (HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
+    (HOLD (negedge D) (posedge CLK) (-0.023:-0.023:-0.023))
+    (SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
+    (SETUP (negedge D) (posedge CLK) (0.095:0.095:0.095))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2546_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.454:0.454:0.454) (0.455:0.455:0.455))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.334:0.334:0.334))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.246:-0.246:-0.246))
+    (HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
+    (HOLD (negedge D) (posedge CLK) (-0.026:-0.026:-0.026))
+    (SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
+    (SETUP (negedge D) (posedge CLK) (0.094:0.094:0.094))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2547_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.430:0.430:0.430) (0.432:0.432:0.432))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.324:0.324:0.324))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.233:-0.233:-0.233))
+    (HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
+    (HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
+    (SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057))
+    (SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+  (INSTANCE _2548_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.419:0.419:0.419) (0.446:0.446:0.446))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.324:0.324:0.324))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.223:-0.223:-0.223))
+    (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036))
+    (HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
+    (SETUP (posedge D) (posedge CLK) (0.065:0.065:0.065))
+    (SETUP (negedge D) (posedge CLK) (0.107:0.107:0.107))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2549_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.424:0.424:0.424) (0.429:0.429:0.429))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.324:0.324:0.324))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.233:-0.233:-0.233))
+    (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038))
+    (HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040))
+    (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063))
+    (SETUP (negedge D) (posedge CLK) (0.108:0.108:0.108))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+  (INSTANCE _2550_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.440:0.440:0.440) (0.466:0.466:0.466))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.334:0.334:0.334))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.235:-0.235:-0.235))
+    (HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
+    (HOLD (negedge D) (posedge CLK) (-0.024:-0.024:-0.024))
+    (SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057))
+    (SETUP (negedge D) (posedge CLK) (0.097:0.097:0.097))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2551_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.392:0.392:0.392) (0.414:0.414:0.414))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.334:0.334:0.334))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.246:-0.246:-0.246))
+    (HOLD (posedge D) (posedge CLK) (-0.028:-0.035:-0.041))
+    (HOLD (negedge D) (posedge CLK) (-0.029:-0.032:-0.034))
+    (SETUP (posedge D) (posedge CLK) (0.053:0.060:0.067))
+    (SETUP (negedge D) (posedge CLK) (0.097:0.100:0.102))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2552_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.392:0.392:0.392) (0.411:0.411:0.411))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.327:0.327:0.327))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.238:-0.238:-0.238))
+    (HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.032))
+    (HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.038))
+    (SETUP (posedge D) (posedge CLK) (0.055:0.056:0.056))
+    (SETUP (negedge D) (posedge CLK) (0.105:0.106:0.106))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2553_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.367:0.367:0.367) (0.394:0.394:0.394))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.327:0.327:0.327))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.238:-0.238:-0.238))
+    (HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
+    (HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
+    (SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057))
+    (SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2554_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.406:0.406:0.406) (0.427:0.427:0.427))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.337:0.337:0.337))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.255:-0.255:-0.255))
+    (HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024))
+    (HOLD (negedge D) (posedge CLK) (-0.021:-0.021:-0.021))
+    (SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
+    (SETUP (negedge D) (posedge CLK) (0.089:0.089:0.089))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2555_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.362:0.362:0.362) (0.390:0.390:0.390))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.324:0.324:0.324))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.241:-0.241:-0.241))
+    (HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
+    (HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
+    (SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058))
+    (SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2556_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.364:0.364:0.364) (0.392:0.392:0.392))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.324:0.324:0.324))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.241:-0.241:-0.241))
+    (HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
+    (HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
+    (SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058))
+    (SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2557_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.374:0.374:0.374) (0.400:0.400:0.400))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.324:0.324:0.324))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.240:-0.240:-0.240))
+    (HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
+    (HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
+    (SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
+    (SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2558_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.386:0.386:0.386) (0.413:0.413:0.413))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.337:0.337:0.337))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.255:-0.255:-0.255))
+    (HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024))
+    (HOLD (negedge D) (posedge CLK) (-0.021:-0.021:-0.021))
+    (SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
+    (SETUP (negedge D) (posedge CLK) (0.089:0.089:0.089))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2559_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.376:0.376:0.376) (0.405:0.405:0.405))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.337:0.337:0.337))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.255:-0.255:-0.255))
+    (HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024))
+    (HOLD (negedge D) (posedge CLK) (-0.021:-0.021:-0.021))
+    (SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
+    (SETUP (negedge D) (posedge CLK) (0.089:0.089:0.089))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+  (INSTANCE _2560_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.457:0.457:0.457) (0.476:0.476:0.476))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.323:0.323:0.323))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.230:-0.230:-0.230))
+    (HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
+    (HOLD (negedge D) (posedge CLK) (-0.028:-0.028:-0.028))
+    (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059))
+    (SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2561_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.394:0.394:0.394) (0.413:0.413:0.413))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.325:0.325:0.325))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.242:-0.242:-0.242))
+    (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034))
+    (HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
+    (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059))
+    (SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2562_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.409:0.409:0.409) (0.429:0.429:0.429))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.337:0.337:0.337))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.255:-0.255:-0.255))
+    (HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024))
+    (HOLD (negedge D) (posedge CLK) (-0.021:-0.021:-0.021))
+    (SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
+    (SETUP (negedge D) (posedge CLK) (0.089:0.089:0.089))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2563_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.538:0.538:0.538) (0.509:0.509:0.509))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.338:0.338:0.338))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.254:-0.254:-0.254))
+    (HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025))
+    (HOLD (negedge D) (posedge CLK) (-0.022:-0.022:-0.022))
+    (SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
+    (SETUP (negedge D) (posedge CLK) (0.090:0.090:0.090))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2564_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.525:0.525:0.525) (0.495:0.495:0.495))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.325:0.325:0.325))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.239:-0.239:-0.239))
+    (HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
+    (HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
+    (SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
+    (SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2565_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.397:0.397:0.397) (0.413:0.413:0.413))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.322:0.322:0.322))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.236:-0.236:-0.236))
+    (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035))
+    (HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038))
+    (SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060))
+    (SETUP (negedge D) (posedge CLK) (0.106:0.106:0.106))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2566_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.426:0.426:0.426) (0.433:0.433:0.433))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.322:0.322:0.322))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.236:-0.236:-0.236))
+    (HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
+    (HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
+    (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056))
+    (SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2567_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.372:0.372:0.372) (0.401:0.401:0.401))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.332:0.332:0.332))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.247:-0.247:-0.247))
+    (HOLD (posedge D) (posedge CLK) (-0.040:-0.042:-0.043))
+    (HOLD (negedge D) (posedge CLK) (-0.032:-0.034:-0.036))
+    (SETUP (posedge D) (posedge CLK) (0.066:0.067:0.069))
+    (SETUP (negedge D) (posedge CLK) (0.100:0.102:0.104))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+  (INSTANCE _2568_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.423:0.423:0.423) (0.449:0.449:0.449))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.324:0.324:0.324))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.227:-0.227:-0.227))
+    (HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
+    (HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.030))
+    (SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060))
+    (SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_4")
+  (INSTANCE _2569_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.474:0.474:0.474) (0.527:0.527:0.527))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.325:0.325:0.325))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.224:-0.224:-0.224))
+    (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034))
+    (HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
+    (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062))
+    (SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2570_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.374:0.374:0.374) (0.406:0.406:0.406))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.347:0.347:0.347))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.264:-0.264:-0.264))
+    (HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024))
+    (HOLD (negedge D) (posedge CLK) (-0.018:-0.018:-0.018))
+    (SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
+    (SETUP (negedge D) (posedge CLK) (0.086:0.086:0.086))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_4")
+  (INSTANCE _2571_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.478:0.478:0.478) (0.530:0.530:0.530))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.324:0.324:0.324))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.224:-0.224:-0.224))
+    (HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
+    (HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.030))
+    (SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060))
+    (SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+  (INSTANCE _2572_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.428:0.428:0.428) (0.454:0.454:0.454))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.324:0.324:0.324))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.227:-0.227:-0.227))
+    (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035))
+    (HOLD (negedge D) (posedge CLK) (-0.033:-0.033:-0.033))
+    (SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064))
+    (SETUP (negedge D) (posedge CLK) (0.106:0.106:0.106))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2573_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.432:0.432:0.432) (0.447:0.447:0.447))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.348:0.348:0.348))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.264:-0.264:-0.264))
+    (HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
+    (HOLD (negedge D) (posedge CLK) (-0.014:-0.014:-0.014))
+    (SETUP (posedge D) (posedge CLK) (0.044:0.044:0.044))
+    (SETUP (negedge D) (posedge CLK) (0.082:0.082:0.082))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+  (INSTANCE _2574_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.459:0.459:0.459) (0.485:0.485:0.485))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.347:0.347:0.347))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.254:-0.254:-0.254))
+    (HOLD (posedge D) (posedge CLK) (-0.022:-0.022:-0.022))
+    (HOLD (negedge D) (posedge CLK) (-0.012:-0.012:-0.012))
+    (SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
+    (SETUP (negedge D) (posedge CLK) (0.085:0.085:0.085))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2575_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.431:0.431:0.431) (0.446:0.446:0.446))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.346:0.346:0.346))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.265:-0.265:-0.265))
+    (HOLD (posedge D) (posedge CLK) (-0.022:-0.022:-0.022))
+    (HOLD (negedge D) (posedge CLK) (-0.016:-0.016:-0.016))
+    (SETUP (posedge D) (posedge CLK) (0.046:0.046:0.046))
+    (SETUP (negedge D) (posedge CLK) (0.084:0.084:0.084))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2576_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.412:0.412:0.412) (0.425:0.425:0.425))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.323:0.323:0.323))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.239:-0.239:-0.239))
+    (HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
+    (HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
+    (SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057))
+    (SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2577_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.481:0.481:0.481) (0.479:0.479:0.479))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.346:0.346:0.346))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.265:-0.265:-0.265))
+    (HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
+    (HOLD (negedge D) (posedge CLK) (-0.013:-0.013:-0.013))
+    (SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
+    (SETUP (negedge D) (posedge CLK) (0.081:0.081:0.081))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+  (INSTANCE _2578_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.458:0.458:0.458) (0.484:0.484:0.484))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.347:0.347:0.347))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.253:-0.253:-0.253))
+    (HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025))
+    (HOLD (negedge D) (posedge CLK) (-0.015:-0.015:-0.015))
+    (SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
+    (SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2579_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.428:0.428:0.428) (0.445:0.445:0.445))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.346:0.346:0.346))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.265:-0.265:-0.265))
+    (HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024))
+    (HOLD (negedge D) (posedge CLK) (-0.018:-0.018:-0.018))
+    (SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
+    (SETUP (negedge D) (posedge CLK) (0.086:0.086:0.086))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+  (INSTANCE _2580_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.429:0.429:0.429) (0.454:0.454:0.454))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.324:0.324:0.324))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.227:-0.227:-0.227))
+    (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037))
+    (HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
+    (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066))
+    (SETUP (negedge D) (posedge CLK) (0.108:0.108:0.108))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+  (INSTANCE _2581_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.444:0.444:0.444) (0.473:0.473:0.473))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.346:0.346:0.346))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.254:-0.254:-0.254))
+    (HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
+    (HOLD (negedge D) (posedge CLK) (-0.016:-0.016:-0.016))
+    (SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
+    (SETUP (negedge D) (posedge CLK) (0.089:0.089:0.089))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2582_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.452:0.452:0.452) (0.458:0.458:0.458))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.348:0.348:0.348))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.264:-0.264:-0.264))
+    (HOLD (posedge D) (posedge CLK) (-0.022:-0.022:-0.022))
+    (HOLD (negedge D) (posedge CLK) (-0.016:-0.016:-0.016))
+    (SETUP (posedge D) (posedge CLK) (0.046:0.046:0.046))
+    (SETUP (negedge D) (posedge CLK) (0.084:0.084:0.084))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+  (INSTANCE _2583_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.420:0.420:0.420) (0.449:0.449:0.449))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.323:0.323:0.323))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.228:-0.228:-0.228))
+    (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034))
+    (HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
+    (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063))
+    (SETUP (negedge D) (posedge CLK) (0.105:0.105:0.105))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2584_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.385:0.385:0.385) (0.404:0.404:0.404))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.320:0.320:0.320))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.232:-0.232:-0.232))
+    (HOLD (posedge D) (posedge CLK) (-0.035:-0.042:-0.049))
+    (HOLD (negedge D) (posedge CLK) (-0.041:-0.043:-0.046))
+    (SETUP (posedge D) (posedge CLK) (0.060:0.068:0.075))
+    (SETUP (negedge D) (posedge CLK) (0.109:0.112:0.115))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2585_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.399:0.399:0.399) (0.416:0.416:0.416))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.327:0.327:0.327))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.241:-0.241:-0.241))
+    (HOLD (posedge D) (posedge CLK) (-0.031:-0.032:-0.032))
+    (HOLD (negedge D) (posedge CLK) (-0.037:-0.038:-0.038))
+    (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.057))
+    (SETUP (negedge D) (posedge CLK) (0.106:0.106:0.106))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2586_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.370:0.370:0.370) (0.396:0.396:0.396))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.325:0.325:0.325))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.238:-0.238:-0.238))
+    (HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
+    (HOLD (negedge D) (posedge CLK) (-0.033:-0.033:-0.033))
+    (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056))
+    (SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2587_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.381:0.381:0.381) (0.404:0.404:0.404))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.327:0.327:0.327))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.240:-0.240:-0.240))
+    (HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
+    (HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.030))
+    (SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
+    (SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2588_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.362:0.362:0.362) (0.390:0.390:0.390))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.325:0.325:0.325))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.239:-0.239:-0.239))
+    (HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
+    (HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
+    (SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058))
+    (SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2589_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.361:0.361:0.361) (0.389:0.389:0.389))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.325:0.325:0.325))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.238:-0.238:-0.238))
+    (HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
+    (HOLD (negedge D) (posedge CLK) (-0.033:-0.033:-0.033))
+    (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056))
+    (SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2590_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.373:0.373:0.373) (0.399:0.399:0.399))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.327:0.327:0.327))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.240:-0.240:-0.240))
+    (HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
+    (HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031))
+    (SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
+    (SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2591_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.367:0.367:0.367) (0.392:0.392:0.392))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.319:0.319:0.319))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.232:-0.232:-0.232))
+    (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034))
+    (HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037))
+    (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059))
+    (SETUP (negedge D) (posedge CLK) (0.105:0.105:0.105))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2592_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.364:0.364:0.364) (0.390:0.390:0.390))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.322:0.322:0.322))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.236:-0.236:-0.236))
+    (HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
+    (HOLD (negedge D) (posedge CLK) (-0.036:-0.036:-0.036))
+    (SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058))
+    (SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2593_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.417:0.417:0.417) (0.427:0.427:0.427))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.323:0.323:0.323))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.235:-0.235:-0.235))
+    (HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
+    (HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
+    (SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057))
+    (SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2594_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.381:0.381:0.381) (0.402:0.402:0.402))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.322:0.322:0.322))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.236:-0.236:-0.236))
+    (HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
+    (HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
+    (SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057))
+    (SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2595_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.385:0.385:0.385) (0.407:0.407:0.407))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.326:0.326:0.326))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.240:-0.240:-0.240))
+    (HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
+    (HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
+    (SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
+    (SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2596_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.417:0.417:0.417) (0.428:0.428:0.428))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.326:0.326:0.326))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.240:-0.240:-0.240))
+    (HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
+    (HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
+    (SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
+    (SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2597_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.392:0.392:0.392) (0.413:0.413:0.413))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.327:0.327:0.327))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.242:-0.242:-0.242))
+    (HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
+    (HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
+    (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056))
+    (SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2598_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.391:0.391:0.391) (0.412:0.412:0.412))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.327:0.327:0.327))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.242:-0.242:-0.242))
+    (HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
+    (HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.030))
+    (SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
+    (SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2599_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.368:0.368:0.368) (0.396:0.396:0.396))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.328:0.328:0.328))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.241:-0.241:-0.241))
+    (HOLD (posedge D) (posedge CLK) (-0.032:-0.040:-0.047))
+    (HOLD (negedge D) (posedge CLK) (-0.035:-0.037:-0.040))
+    (SETUP (posedge D) (posedge CLK) (0.057:0.065:0.074))
+    (SETUP (negedge D) (posedge CLK) (0.103:0.106:0.108))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2600_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.358:0.358:0.358) (0.388:0.388:0.388))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.327:0.327:0.327))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.242:-0.242:-0.242))
+    (HOLD (posedge D) (posedge CLK) (-0.042:-0.044:-0.046))
+    (HOLD (negedge D) (posedge CLK) (-0.035:-0.037:-0.038))
+    (SETUP (posedge D) (posedge CLK) (0.068:0.070:0.072))
+    (SETUP (negedge D) (posedge CLK) (0.104:0.105:0.107))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2601_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.402:0.402:0.402) (0.421:0.421:0.421))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.332:0.332:0.332))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.248:-0.248:-0.248))
+    (HOLD (posedge D) (posedge CLK) (-0.025:-0.026:-0.026))
+    (HOLD (negedge D) (posedge CLK) (-0.028:-0.028:-0.028))
+    (SETUP (posedge D) (posedge CLK) (0.049:0.050:0.050))
+    (SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2602_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.399:0.399:0.399) (0.419:0.419:0.419))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.332:0.332:0.332))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.247:-0.247:-0.247))
+    (HOLD (posedge D) (posedge CLK) (-0.025:-0.026:-0.026))
+    (HOLD (negedge D) (posedge CLK) (-0.028:-0.028:-0.029))
+    (SETUP (posedge D) (posedge CLK) (0.049:0.050:0.051))
+    (SETUP (negedge D) (posedge CLK) (0.096:0.096:0.097))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2603_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.375:0.375:0.375) (0.398:0.398:0.398))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.321:0.321:0.321))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.235:-0.235:-0.235))
+    (HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.034))
+    (HOLD (negedge D) (posedge CLK) (-0.040:-0.041:-0.041))
+    (SETUP (posedge D) (posedge CLK) (0.057:0.058:0.059))
+    (SETUP (negedge D) (posedge CLK) (0.108:0.109:0.109))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2604_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.400:0.400:0.400) (0.423:0.423:0.423))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.338:0.338:0.338))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.254:-0.254:-0.254))
+    (HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024))
+    (HOLD (negedge D) (posedge CLK) (-0.021:-0.021:-0.021))
+    (SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
+    (SETUP (negedge D) (posedge CLK) (0.089:0.089:0.089))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2605_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.379:0.379:0.379) (0.408:0.408:0.408))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.338:0.338:0.338))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.254:-0.254:-0.254))
+    (HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.025))
+    (HOLD (negedge D) (posedge CLK) (-0.024:-0.025:-0.025))
+    (SETUP (posedge D) (posedge CLK) (0.048:0.048:0.049))
+    (SETUP (negedge D) (posedge CLK) (0.093:0.093:0.094))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2606_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.379:0.379:0.379) (0.408:0.408:0.408))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.339:0.339:0.339))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.253:-0.253:-0.253))
+    (HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024))
+    (HOLD (negedge D) (posedge CLK) (-0.021:-0.021:-0.021))
+    (SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
+    (SETUP (negedge D) (posedge CLK) (0.089:0.089:0.089))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2607_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.377:0.377:0.377) (0.406:0.406:0.406))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.339:0.339:0.339))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.253:-0.253:-0.253))
+    (HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024))
+    (HOLD (negedge D) (posedge CLK) (-0.021:-0.021:-0.021))
+    (SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
+    (SETUP (negedge D) (posedge CLK) (0.089:0.089:0.089))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2608_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.397:0.397:0.397) (0.414:0.414:0.414))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.322:0.322:0.322))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.234:-0.234:-0.234))
+    (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.035))
+    (HOLD (negedge D) (posedge CLK) (-0.040:-0.041:-0.041))
+    (SETUP (posedge D) (posedge CLK) (0.058:0.059:0.060))
+    (SETUP (negedge D) (posedge CLK) (0.109:0.109:0.110))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2609_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.415:0.415:0.415) (0.426:0.426:0.426))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.321:0.321:0.321))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.235:-0.235:-0.235))
+    (HOLD (posedge D) (posedge CLK) (-0.033:-0.034:-0.034))
+    (HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.041))
+    (SETUP (posedge D) (posedge CLK) (0.058:0.059:0.059))
+    (SETUP (negedge D) (posedge CLK) (0.108:0.108:0.109))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2610_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.408:0.408:0.408) (0.421:0.421:0.421))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.322:0.322:0.322))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.235:-0.235:-0.235))
+    (HOLD (posedge D) (posedge CLK) (-0.032:-0.033:-0.033))
+    (HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.040))
+    (SETUP (posedge D) (posedge CLK) (0.057:0.058:0.058))
+    (SETUP (negedge D) (posedge CLK) (0.107:0.107:0.108))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2611_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.398:0.398:0.398) (0.414:0.414:0.414))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.322:0.322:0.322))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.235:-0.235:-0.235))
+    (HOLD (posedge D) (posedge CLK) (-0.032:-0.033:-0.033))
+    (HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.040))
+    (SETUP (posedge D) (posedge CLK) (0.057:0.058:0.058))
+    (SETUP (negedge D) (posedge CLK) (0.107:0.107:0.108))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2612_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.393:0.393:0.393) (0.411:0.411:0.411))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.321:0.321:0.321))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.235:-0.235:-0.235))
+    (HOLD (posedge D) (posedge CLK) (-0.032:-0.033:-0.034))
+    (HOLD (negedge D) (posedge CLK) (-0.039:-0.040:-0.040))
+    (SETUP (posedge D) (posedge CLK) (0.057:0.058:0.059))
+    (SETUP (negedge D) (posedge CLK) (0.107:0.108:0.108))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2613_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.393:0.393:0.393) (0.411:0.411:0.411))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.322:0.322:0.322))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.234:-0.234:-0.234))
+    (HOLD (posedge D) (posedge CLK) (-0.032:-0.033:-0.034))
+    (HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.040))
+    (SETUP (posedge D) (posedge CLK) (0.057:0.058:0.059))
+    (SETUP (negedge D) (posedge CLK) (0.107:0.108:0.108))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2614_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.379:0.379:0.379) (0.403:0.403:0.403))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.324:0.324:0.324))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.240:-0.240:-0.240))
+    (HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.030))
+    (HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.035))
+    (SETUP (posedge D) (posedge CLK) (0.053:0.054:0.054))
+    (SETUP (negedge D) (posedge CLK) (0.102:0.102:0.103))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2615_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.511:0.511:0.511) (0.487:0.487:0.487))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.325:0.325:0.325))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.240:-0.240:-0.240))
+    (HOLD (posedge D) (posedge CLK) (-0.034:-0.047:-0.061))
+    (HOLD (negedge D) (posedge CLK) (-0.036:-0.043:-0.050))
+    (SETUP (posedge D) (posedge CLK) (0.059:0.074:0.089))
+    (SETUP (negedge D) (posedge CLK) (0.105:0.112:0.119))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2616_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.548:0.548:0.548) (0.516:0.516:0.516))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.337:0.337:0.337))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.254:-0.254:-0.254))
+    (HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025))
+    (HOLD (negedge D) (posedge CLK) (-0.022:-0.026:-0.029))
+    (SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
+    (SETUP (negedge D) (posedge CLK) (0.090:0.094:0.098))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2617_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.357:0.357:0.357) (0.388:0.388:0.388))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.331:0.331:0.331))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.247:-0.247:-0.247))
+    (HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
+    (HOLD (negedge D) (posedge CLK) (-0.029:-0.029:-0.029))
+    (SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
+    (SETUP (negedge D) (posedge CLK) (0.097:0.097:0.097))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2618_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.352:0.352:0.352) (0.383:0.383:0.383))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.326:0.326:0.326))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.240:-0.240:-0.240))
+    (HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
+    (HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
+    (SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
+    (SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+  (INSTANCE _2619_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.430:0.430:0.430) (0.457:0.457:0.457))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.331:0.331:0.331))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.237:-0.237:-0.237))
+    (HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
+    (HOLD (negedge D) (posedge CLK) (-0.025:-0.025:-0.025))
+    (SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058))
+    (SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+  (INSTANCE _2620_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.413:0.413:0.413) (0.444:0.444:0.444))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.327:0.327:0.327))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.232:-0.232:-0.232))
+    (HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
+    (HOLD (negedge D) (posedge CLK) (-0.027:-0.027:-0.027))
+    (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059))
+    (SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2621_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.436:0.436:0.436) (0.440:0.440:0.440))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.331:0.331:0.331))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.247:-0.247:-0.247))
+    (HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
+    (HOLD (negedge D) (posedge CLK) (-0.027:-0.027:-0.027))
+    (SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
+    (SETUP (negedge D) (posedge CLK) (0.095:0.095:0.095))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+  (INSTANCE _2622_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.428:0.428:0.428) (0.455:0.455:0.455))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.331:0.331:0.331))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.236:-0.236:-0.236))
+    (HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
+    (HOLD (negedge D) (posedge CLK) (-0.024:-0.024:-0.024))
+    (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056))
+    (SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+  (INSTANCE _2623_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.433:0.433:0.433) (0.459:0.459:0.459))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.331:0.331:0.331))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.236:-0.236:-0.236))
+    (HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
+    (HOLD (negedge D) (posedge CLK) (-0.023:-0.023:-0.023))
+    (SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
+    (SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2624_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.423:0.423:0.423) (0.432:0.432:0.432))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.326:0.326:0.326))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.243:-0.243:-0.243))
+    (HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
+    (HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.030))
+    (SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
+    (SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2625_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.318:0.318:0.318) (0.356:0.356:0.356))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.321:0.321:0.321))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.237:-0.237:-0.237))
+    (HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
+    (HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
+    (SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058))
+    (SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2626_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.314:0.314:0.314) (0.352:0.352:0.352))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.321:0.321:0.321))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.237:-0.237:-0.237))
+    (HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
+    (HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
+    (SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058))
+    (SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2627_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.321:0.321:0.321) (0.358:0.358:0.358))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.321:0.321:0.321))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.237:-0.237:-0.237))
+    (HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
+    (HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
+    (SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058))
+    (SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2628_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.320:0.320:0.320) (0.358:0.358:0.358))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.324:0.324:0.324))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.241:-0.241:-0.241))
+    (HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
+    (HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
+    (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056))
+    (SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2629_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.329:0.329:0.329) (0.366:0.366:0.366))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.324:0.324:0.324))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.241:-0.241:-0.241))
+    (HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
+    (HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
+    (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056))
+    (SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2630_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.331:0.331:0.331) (0.369:0.369:0.369))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.330:0.330:0.330))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.248:-0.248:-0.248))
+    (HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
+    (HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031))
+    (SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057))
+    (SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2631_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.344:0.344:0.344) (0.380:0.380:0.380))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.331:0.331:0.331))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.248:-0.248:-0.248))
+    (HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
+    (HOLD (negedge D) (posedge CLK) (-0.027:-0.027:-0.027))
+    (SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
+    (SETUP (negedge D) (posedge CLK) (0.095:0.095:0.095))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2632_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.325:0.325:0.325) (0.363:0.363:0.363))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.331:0.331:0.331))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.247:-0.247:-0.247))
+    (HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
+    (HOLD (negedge D) (posedge CLK) (-0.028:-0.028:-0.028))
+    (SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
+    (SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2633_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.385:0.385:0.385) (0.405:0.405:0.405))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.332:0.332:0.332))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.227:-0.227:-0.227))
+    (HOLD (posedge D) (posedge CLK) (-0.031:-0.032:-0.032))
+    (HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038))
+    (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.057))
+    (SETUP (negedge D) (posedge CLK) (0.106:0.106:0.106))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2634_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.394:0.394:0.394) (0.412:0.412:0.412))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.324:0.324:0.324))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.240:-0.240:-0.240))
+    (HOLD (posedge D) (posedge CLK) (-0.030:-0.031:-0.031))
+    (HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037))
+    (SETUP (posedge D) (posedge CLK) (0.055:0.056:0.056))
+    (SETUP (negedge D) (posedge CLK) (0.105:0.105:0.106))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2635_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.377:0.377:0.377) (0.402:0.402:0.402))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.326:0.326:0.326))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.242:-0.242:-0.242))
+    (HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.034))
+    (HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.040))
+    (SETUP (posedge D) (posedge CLK) (0.058:0.058:0.059))
+    (SETUP (negedge D) (posedge CLK) (0.107:0.108:0.108))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2636_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.381:0.381:0.381) (0.405:0.405:0.405))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.325:0.325:0.325))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.242:-0.242:-0.242))
+    (HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
+    (HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031))
+    (SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
+    (SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2637_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.365:0.365:0.365) (0.393:0.393:0.393))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.324:0.324:0.324))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.240:-0.240:-0.240))
+    (HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.031))
+    (HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.036))
+    (SETUP (posedge D) (posedge CLK) (0.054:0.055:0.055))
+    (SETUP (negedge D) (posedge CLK) (0.103:0.103:0.104))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2638_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.371:0.371:0.371) (0.399:0.399:0.399))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.327:0.327:0.327))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.241:-0.241:-0.241))
+    (HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
+    (HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031))
+    (SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
+    (SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2639_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.361:0.361:0.361) (0.389:0.389:0.389))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.319:0.319:0.319))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.233:-0.233:-0.233))
+    (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035))
+    (HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038))
+    (SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060))
+    (SETUP (negedge D) (posedge CLK) (0.106:0.106:0.106))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2640_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.410:0.410:0.410) (0.424:0.424:0.424))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.325:0.325:0.325))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.242:-0.242:-0.242))
+    (HOLD (posedge D) (posedge CLK) (-0.029:-0.030:-0.031))
+    (HOLD (negedge D) (posedge CLK) (-0.034:-0.035:-0.035))
+    (SETUP (posedge D) (posedge CLK) (0.054:0.055:0.055))
+    (SETUP (negedge D) (posedge CLK) (0.102:0.103:0.103))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2641_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.368:0.368:0.368) (0.393:0.393:0.393))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.318:0.318:0.318))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.233:-0.233:-0.233))
+    (HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.034))
+    (HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041))
+    (SETUP (posedge D) (posedge CLK) (0.058:0.058:0.059))
+    (SETUP (negedge D) (posedge CLK) (0.109:0.109:0.110))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2642_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.363:0.363:0.363) (0.390:0.390:0.390))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.318:0.318:0.318))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.233:-0.233:-0.233))
+    (HOLD (posedge D) (posedge CLK) (-0.032:-0.033:-0.033))
+    (HOLD (negedge D) (posedge CLK) (-0.040:-0.041:-0.041))
+    (SETUP (posedge D) (posedge CLK) (0.057:0.058:0.058))
+    (SETUP (negedge D) (posedge CLK) (0.109:0.109:0.109))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2643_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.384:0.384:0.384) (0.405:0.405:0.405))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.321:0.321:0.321))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.237:-0.237:-0.237))
+    (HOLD (posedge D) (posedge CLK) (-0.031:-0.032:-0.032))
+    (HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.039))
+    (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.057))
+    (SETUP (negedge D) (posedge CLK) (0.106:0.107:0.107))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2644_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.393:0.393:0.393) (0.411:0.411:0.411))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.323:0.323:0.323))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.235:-0.235:-0.235))
+    (HOLD (posedge D) (posedge CLK) (-0.031:-0.032:-0.032))
+    (HOLD (negedge D) (posedge CLK) (-0.038:-0.039:-0.039))
+    (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.057))
+    (SETUP (negedge D) (posedge CLK) (0.107:0.107:0.107))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2645_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.392:0.392:0.392) (0.413:0.413:0.413))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.329:0.329:0.329))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.241:-0.241:-0.241))
+    (HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
+    (HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.030))
+    (SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
+    (SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2646_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.366:0.366:0.366) (0.394:0.394:0.394))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.328:0.328:0.328))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.241:-0.241:-0.241))
+    (HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.029))
+    (HOLD (negedge D) (posedge CLK) (-0.033:-0.033:-0.033))
+    (SETUP (posedge D) (posedge CLK) (0.052:0.053:0.054))
+    (SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2647_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.378:0.378:0.378) (0.403:0.403:0.403))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.328:0.328:0.328))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.241:-0.241:-0.241))
+    (HOLD (posedge D) (posedge CLK) (-0.032:-0.046:-0.059))
+    (HOLD (negedge D) (posedge CLK) (-0.033:-0.041:-0.048))
+    (SETUP (posedge D) (posedge CLK) (0.057:0.072:0.087))
+    (SETUP (negedge D) (posedge CLK) (0.101:0.109:0.117))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _2648_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.385:0.385:0.385) (0.408:0.408:0.408))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.329:0.329:0.329))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.241:-0.241:-0.241))
+    (HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.031))
+    (HOLD (negedge D) (posedge CLK) (-0.036:-0.038:-0.040))
+    (SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
+    (SETUP (negedge D) (posedge CLK) (0.104:0.106:0.109))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
+  (INSTANCE clkbuf_0_clk)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.290:0.290:0.290) (0.244:0.244:0.244))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE input1)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.289:0.289:0.289) (0.239:0.239:0.239))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE input2)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.264:0.264:0.264) (0.200:0.200:0.200))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE input3)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.264:0.264:0.264) (0.200:0.200:0.200))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE input4)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.263:0.263:0.263) (0.199:0.199:0.199))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE input5)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.257:0.257:0.257) (0.197:0.197:0.197))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+  (INSTANCE input6)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.250:0.250:0.250) (0.209:0.209:0.209))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+  (INSTANCE input7)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.228:0.228:0.228) (0.193:0.193:0.193))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+  (INSTANCE input8)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.212:0.212:0.212) (0.181:0.181:0.181))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
+  (INSTANCE input9)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.205:0.205:0.205) (0.187:0.187:0.187))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE input10)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.271:0.271:0.271) (0.226:0.226:0.226))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE input11)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.307:0.307:0.307) (0.221:0.221:0.221))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE input12)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.313:0.313:0.313) (0.223:0.223:0.223))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE input13)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.297:0.297:0.297) (0.214:0.214:0.214))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE input14)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.341:0.341:0.341) (0.237:0.237:0.237))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE input15)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.374:0.374:0.374) (0.256:0.256:0.256))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE output16)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.262:0.262:0.262) (0.217:0.217:0.217))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE output17)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.236:0.236:0.236) (0.182:0.191:0.201))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE output18)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.232:0.235:0.237) (0.186:0.193:0.201))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE output19)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.207:0.210:0.213) (0.172:0.174:0.176))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE output20)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.218:0.223:0.229) (0.181:0.185:0.189))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE output21)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.219:0.220:0.220) (0.195:0.195:0.196))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE output22)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.248:0.248:0.248) (0.183:0.195:0.206))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE output23)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.266:0.266:0.266) (0.190:0.202:0.214))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE output24)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.199:0.199:0.199) (0.172:0.172:0.172))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_8")
+  (INSTANCE clkbuf_4_0_0_clk)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.189:0.189:0.189) (0.185:0.185:0.185))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_8")
+  (INSTANCE clkbuf_4_1_0_clk)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.186:0.186:0.186) (0.183:0.183:0.183))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_8")
+  (INSTANCE clkbuf_4_2_0_clk)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.191:0.191:0.191) (0.186:0.186:0.186))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_8")
+  (INSTANCE clkbuf_4_3_0_clk)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.257:0.257:0.257) (0.231:0.231:0.231))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_8")
+  (INSTANCE clkbuf_4_4_0_clk)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.216:0.216:0.216) (0.204:0.204:0.204))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_8")
+  (INSTANCE clkbuf_4_5_0_clk)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.185:0.185:0.185) (0.181:0.181:0.181))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_8")
+  (INSTANCE clkbuf_4_6_0_clk)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.196:0.196:0.196) (0.189:0.189:0.189))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_8")
+  (INSTANCE clkbuf_4_7_0_clk)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.232:0.232:0.232) (0.214:0.214:0.214))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_8")
+  (INSTANCE clkbuf_4_8_0_clk)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.197:0.197:0.197) (0.190:0.190:0.190))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_8")
+  (INSTANCE clkbuf_4_9_0_clk)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.187:0.187:0.187) (0.183:0.183:0.183))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_8")
+  (INSTANCE clkbuf_4_10_0_clk)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.202:0.202:0.202) (0.193:0.193:0.193))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_8")
+  (INSTANCE clkbuf_4_11_0_clk)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.214:0.214:0.214) (0.201:0.201:0.201))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_8")
+  (INSTANCE clkbuf_4_12_0_clk)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.194:0.194:0.194) (0.188:0.188:0.188))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_8")
+  (INSTANCE clkbuf_4_13_0_clk)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.199:0.199:0.199) (0.191:0.191:0.191))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_8")
+  (INSTANCE clkbuf_4_14_0_clk)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.186:0.186:0.186) (0.183:0.183:0.183))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_8")
+  (INSTANCE clkbuf_4_15_0_clk)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.175:0.175:0.175) (0.174:0.174:0.174))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
+  (INSTANCE hold1)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.545:0.545:0.545) (0.553:0.553:0.553))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
+  (INSTANCE hold2)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.541:0.541:0.541) (0.550:0.550:0.550))
+   )
+  )
+ )
+)
diff --git a/sdf/matrix_multiply.sdf b/sdf/matrix_multiply.sdf
new file mode 100644
index 0000000..1c07b2e
--- /dev/null
+++ b/sdf/matrix_multiply.sdf
@@ -0,0 +1,45789 @@
+(DELAYFILE
+ (SDFVERSION "3.0")
+ (DESIGN "matrix_multiply")
+ (DATE "Mon Dec 19 12:13:13 2022")
+ (VENDOR "Parallax")
+ (PROGRAM "STA")
+ (VERSION "2.3.2")
+ (DIVIDER .)
+ (VOLTAGE 1.800::1.800)
+ (PROCESS "1.000::1.000")
+ (TEMPERATURE 25.000::25.000)
+ (TIMESCALE 1ns)
+ (CELL
+  (CELLTYPE "matrix_multiply")
+  (INSTANCE)
+  (DELAY
+   (ABSOLUTE
+    (INTERCONNECT clk clkbuf_0_clk.A (0.156:0.156:0.156) (0.077:0.077:0.077))
+    (INTERCONNECT clk ANTENNA_clkbuf_0_clk_A.DIODE (0.155:0.155:0.155) (0.076:0.076:0.076))
+    (INTERCONNECT execute input1.A (0.016:0.016:0.016) (0.007:0.007:0.007))
+    (INTERCONNECT execute ANTENNA_input1_A.DIODE (0.016:0.016:0.016) (0.007:0.007:0.007))
+    (INTERCONNECT input_val[0] input2.A (0.083:0.083:0.083) (0.040:0.040:0.040))
+    (INTERCONNECT input_val[0] ANTENNA_input2_A.DIODE (0.085:0.085:0.085) (0.042:0.042:0.042))
+    (INTERCONNECT input_val[1] input3.A (0.098:0.098:0.098) (0.047:0.047:0.047))
+    (INTERCONNECT input_val[1] ANTENNA_input3_A.DIODE (0.101:0.101:0.101) (0.050:0.050:0.050))
+    (INTERCONNECT input_val[2] input4.A (0.113:0.113:0.113) (0.055:0.055:0.055))
+    (INTERCONNECT input_val[2] ANTENNA_input4_A.DIODE (0.116:0.116:0.116) (0.058:0.058:0.058))
+    (INTERCONNECT input_val[3] input5.A (0.119:0.119:0.119) (0.057:0.057:0.057))
+    (INTERCONNECT input_val[3] ANTENNA_input5_A.DIODE (0.124:0.124:0.124) (0.062:0.062:0.062))
+    (INTERCONNECT input_val[4] input6.A (0.128:0.128:0.128) (0.062:0.062:0.062))
+    (INTERCONNECT input_val[4] ANTENNA_input6_A.DIODE (0.132:0.132:0.132) (0.066:0.066:0.066))
+    (INTERCONNECT input_val[5] input7.A (0.112:0.112:0.112) (0.055:0.055:0.055))
+    (INTERCONNECT input_val[5] ANTENNA_input7_A.DIODE (0.113:0.113:0.113) (0.056:0.056:0.056))
+    (INTERCONNECT input_val[6] input8.A (0.082:0.082:0.082) (0.040:0.040:0.040))
+    (INTERCONNECT input_val[6] ANTENNA_input8_A.DIODE (0.084:0.084:0.084) (0.041:0.041:0.041))
+    (INTERCONNECT input_val[7] input9.A (0.059:0.059:0.059) (0.028:0.028:0.028))
+    (INTERCONNECT input_val[7] ANTENNA_input9_A.DIODE (0.060:0.060:0.060) (0.029:0.029:0.029))
+    (INTERCONNECT reset input10.A (0.014:0.014:0.014) (0.006:0.006:0.006))
+    (INTERCONNECT reset ANTENNA_input10_A.DIODE (0.014:0.014:0.014) (0.006:0.006:0.006))
+    (INTERCONNECT sel_in[0] input11.A (0.027:0.027:0.027) (0.012:0.012:0.012))
+    (INTERCONNECT sel_in[0] ANTENNA_input11_A.DIODE (0.027:0.027:0.027) (0.012:0.012:0.012))
+    (INTERCONNECT sel_in[1] input12.A (0.053:0.053:0.053) (0.025:0.025:0.025))
+    (INTERCONNECT sel_in[1] ANTENNA_input12_A.DIODE (0.054:0.054:0.054) (0.026:0.026:0.026))
+    (INTERCONNECT sel_in[2] input13.A (0.070:0.070:0.070) (0.034:0.034:0.034))
+    (INTERCONNECT sel_in[2] ANTENNA_input13_A.DIODE (0.071:0.071:0.071) (0.035:0.035:0.035))
+    (INTERCONNECT sel_out[0] input14.A (0.035:0.035:0.035) (0.016:0.016:0.016))
+    (INTERCONNECT sel_out[0] ANTENNA_input14_A.DIODE (0.035:0.035:0.035) (0.017:0.017:0.017))
+    (INTERCONNECT sel_out[1] input15.A (0.016:0.016:0.016) (0.007:0.007:0.007))
+    (INTERCONNECT sel_out[1] ANTENNA_input15_A.DIODE (0.016:0.016:0.016) (0.007:0.007:0.007))
+    (INTERCONNECT _3103_.X _3104_.A (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _3103_.X _3423_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3103_.X _3558_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3103_.X _3678_.A1 (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3103_.X _4403_.A (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _3103_.X _4551_.A (0.014:0.014:0.014) (0.013:0.013:0.013))
+    (INTERCONNECT _3103_.X _4758_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3103_.X _5508_.B (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT _3103_.X _5612_.B (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3103_.X _5728_.B (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT _3103_.X ANTENNA__5728__B.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019))
+    (INTERCONNECT _3103_.X ANTENNA__5612__B.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _3103_.X ANTENNA__5508__B.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019))
+    (INTERCONNECT _3103_.X ANTENNA__4758__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3103_.X ANTENNA__4551__A.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019))
+    (INTERCONNECT _3103_.X ANTENNA__4403__A.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018))
+    (INTERCONNECT _3103_.X ANTENNA__3678__A1.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _3103_.X ANTENNA__3558__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3103_.X ANTENNA__3423__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3103_.X ANTENNA__3104__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3104_.X _3105_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3104_.X _3421_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3104_.X _3652_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3104_.X _4628_.A1 (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _3104_.X _4851_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3104_.X _5729_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3104_.X _5766_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3104_.X _5767_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3104_.X _5835_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3104_.X _5857_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3104_.X ANTENNA__5857__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3104_.X ANTENNA__5835__A.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _3104_.X ANTENNA__5767__A2.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3104_.X ANTENNA__5766__B.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _3104_.X ANTENNA__5729__A2.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3104_.X ANTENNA__4851__A1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3104_.X ANTENNA__4628__A1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3104_.X ANTENNA__3652__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3104_.X ANTENNA__3421__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3104_.X ANTENNA__3105__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3105_.X _3199_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3105_.X _3670_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3105_.X _4366_.A1 (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3105_.X _4547_.A1 (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _3105_.X _5476_.A (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3105_.X _5735_.A2 (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _3105_.X _5772_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3105_.X _5836_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3105_.X _5858_.A2 (0.018:0.018:0.018) (0.018:0.018:0.018))
+    (INTERCONNECT _3105_.X _6221_.A0 (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _3105_.X ANTENNA__6221__A0.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3105_.X ANTENNA__5858__A2.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018))
+    (INTERCONNECT _3105_.X ANTENNA__5836__A2.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3105_.X ANTENNA__5772__A2.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3105_.X ANTENNA__5735__A2.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018))
+    (INTERCONNECT _3105_.X ANTENNA__5476__A.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018))
+    (INTERCONNECT _3105_.X ANTENNA__4547__A1.DIODE (0.017:0.017:0.017) (0.017:0.017:0.017))
+    (INTERCONNECT _3105_.X ANTENNA__4366__A1.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT _3105_.X ANTENNA__3670__A1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3105_.X ANTENNA__3199__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3106_.X _3107_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3106_.X _3183_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3106_.X _3184_.B (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _3106_.X _3405_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3106_.X _3406_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3106_.X _3460_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3106_.X _3762_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3106_.X _3774_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3106_.X _3977_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3106_.X _4101_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3107_.X _3167_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3107_.X _3199_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3107_.X _3350_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3107_.X _3606_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3107_.X _3619_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3107_.X _3787_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3107_.X _3925_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3107_.X _4194_.B (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _3107_.X _4204_.B (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _3107_.X _6141_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3107_.X ANTENNA__6141__A0.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3107_.X ANTENNA__4204__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3107_.X ANTENNA__4194__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3107_.X ANTENNA__3925__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3107_.X ANTENNA__3787__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3107_.X ANTENNA__3619__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3107_.X ANTENNA__3606__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3107_.X ANTENNA__3350__B.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _3107_.X ANTENNA__3199__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3107_.X ANTENNA__3167__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3108_.X _3109_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3108_.X _3221_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3108_.X _3222_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3108_.X _3241_.B2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3108_.X _3293_.A (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3108_.X _3294_.A (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3108_.X _4324_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3108_.X _4370_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3108_.X _4413_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3108_.X _4462_.A (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _3108_.X ANTENNA__4462__A.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _3108_.X ANTENNA__4413__B2.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _3108_.X ANTENNA__4370__B2.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _3108_.X ANTENNA__4324__B.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _3108_.X ANTENNA__3294__A.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3108_.X ANTENNA__3293__A.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _3108_.X ANTENNA__3241__B2.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3108_.X ANTENNA__3222__A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3108_.X ANTENNA__3221__B2.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3108_.X ANTENNA__3109__A.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3109_.X _3114_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3109_.X _3392_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3109_.X _3703_.A1 (0.017:0.017:0.017) (0.017:0.017:0.017))
+    (INTERCONNECT _3109_.X _4371_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3109_.X _4409_.A (0.014:0.014:0.014) (0.013:0.013:0.013))
+    (INTERCONNECT _3109_.X _4461_.A (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3109_.X _4578_.A2 (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _3109_.X _4601_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3109_.X _5482_.B (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _3109_.X _5585_.B (0.017:0.017:0.017) (0.017:0.017:0.017))
+    (INTERCONNECT _3109_.X ANTENNA__5585__B.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+    (INTERCONNECT _3109_.X ANTENNA__5482__B.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015))
+    (INTERCONNECT _3109_.X ANTENNA__4601__A1.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015))
+    (INTERCONNECT _3109_.X ANTENNA__4578__A2.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015))
+    (INTERCONNECT _3109_.X ANTENNA__4461__A.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT _3109_.X ANTENNA__4409__A.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015))
+    (INTERCONNECT _3109_.X ANTENNA__4371__A.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT _3109_.X ANTENNA__3703__A1.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+    (INTERCONNECT _3109_.X ANTENNA__3392__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3109_.X ANTENNA__3114__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3110_.X _3114_.B (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3110_.X _3207_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3110_.X _3252_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3110_.X _3253_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3110_.X _3391_.A (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _3110_.X _3686_.B (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3110_.X _3729_.B (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3110_.X _3836_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3110_.X _3965_.A1 (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3110_.X _4270_.C (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _3110_.X ANTENNA__4270__C.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3110_.X ANTENNA__3965__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3110_.X ANTENNA__3836__B.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3110_.X ANTENNA__3729__B.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3110_.X ANTENNA__3686__B.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3110_.X ANTENNA__3391__A.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _3110_.X ANTENNA__3253__B2.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3110_.X ANTENNA__3252__B.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3110_.X ANTENNA__3207__A.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _3110_.X ANTENNA__3114__B.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3111_.X _3112_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3111_.X _3117_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3111_.X _3118_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3111_.X _3386_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3111_.X _3491_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3111_.X _3502_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3111_.X _3731_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3111_.X _3960_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3111_.X _4033_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3111_.X _4123_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3112_.X _3114_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3112_.X _3390_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3112_.X _3492_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3112_.X _3571_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3112_.X _3574_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3112_.X _3729_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3112_.X _3965_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3112_.X _4066_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3112_.X _4155_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3112_.X _4162_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3113_.X _3114_.D (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3113_.X _3119_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3113_.X _3392_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3113_.X _3507_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3113_.X _3515_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3113_.X _3517_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3113_.X _4324_.D (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _3113_.X _4601_.B1 (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _3113_.X _4719_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3113_.X _4720_.A2 (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _3113_.X ANTENNA__4720__A2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3113_.X ANTENNA__4719__B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3113_.X ANTENNA__4601__B1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3113_.X ANTENNA__4324__D.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3113_.X ANTENNA__3517__A2.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _3113_.X ANTENNA__3515__B.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _3113_.X ANTENNA__3507__A.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _3113_.X ANTENNA__3392__B1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3113_.X ANTENNA__3119__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3113_.X ANTENNA__3114__D.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _3114_.X _3140_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3114_.X _3356_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3114_.X _3357_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3114_.X _3393_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3115_.X _3119_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3115_.X _3120_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3115_.X _3208_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3115_.X _3258_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3115_.X _3685_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3115_.X _3688_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3115_.X _3732_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3115_.X _3843_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3115_.X _4269_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3115_.X _4270_.B (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3115_.X ANTENNA__4270__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3115_.X ANTENNA__4269__B2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3115_.X ANTENNA__3843__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3115_.X ANTENNA__3732__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3115_.X ANTENNA__3688__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3115_.X ANTENNA__3685__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3115_.X ANTENNA__3258__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3115_.X ANTENNA__3208__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3115_.X ANTENNA__3120__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3115_.X ANTENNA__3119__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3116_.X _3117_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3116_.X _3118_.D (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3116_.X _3222_.C (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3116_.X _3486_.A (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _3116_.X _3491_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3116_.X _3734_.B (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _3116_.X _3805_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3116_.X _3806_.C (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3116_.X _3961_.B2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3116_.X _4070_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3116_.X ANTENNA__4070__A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3116_.X ANTENNA__3961__B2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3116_.X ANTENNA__3806__C.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _3116_.X ANTENNA__3805__A2.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3116_.X ANTENNA__3734__B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3116_.X ANTENNA__3491__B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3116_.X ANTENNA__3486__A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3116_.X ANTENNA__3222__C.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3116_.X ANTENNA__3118__D.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3116_.X ANTENNA__3117__B1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3117_.X _3119_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3117_.X _3120_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3118_.Y _3119_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3118_.Y _3120_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3118_.Y _3214_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3119_.Y _3138_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3119_.Y _3139_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3119_.Y _3214_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3120_.X _3138_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3120_.X _3139_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3121_.X _3122_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _3121_.X _3385_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3121_.X _3387_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3121_.X _3500_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3121_.X _3501_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3121_.X _3730_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3121_.X _3731_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3121_.X _3959_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3121_.X _4121_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3121_.X _4122_.C (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3122_.X _3123_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3122_.X _3221_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3122_.X _3492_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3122_.X _3574_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3122_.X _3621_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3122_.X _4033_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3122_.X _4066_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3122_.X _4162_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3122_.X _4206_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3122_.X _6122_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3122_.X ANTENNA__6122__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3122_.X ANTENNA__4206__B1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3122_.X ANTENNA__4162__D.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3122_.X ANTENNA__4066__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3122_.X ANTENNA__4033__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3122_.X ANTENNA__3621__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3122_.X ANTENNA__3574__C.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3122_.X ANTENNA__3492__A1.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _3122_.X ANTENNA__3221__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3122_.X ANTENNA__3123__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3123_.Y _3137_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3123_.Y _3362_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3124_.X _3125_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3124_.X _3365_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3124_.X _3436_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3124_.X _3488_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3124_.X _3738_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3124_.X _3801_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3124_.X _3802_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3124_.X _3940_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3124_.X _4007_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3124_.X _4072_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3125_.X _3131_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3125_.X _3241_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3125_.X _3248_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3125_.X _3292_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3125_.X _3303_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3125_.X _3825_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3125_.X _3831_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3125_.X _3870_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3125_.X _3881_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3125_.X _6126_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3125_.X ANTENNA__6126__A1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3125_.X ANTENNA__3881__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3125_.X ANTENNA__3870__B.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _3125_.X ANTENNA__3831__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3125_.X ANTENNA__3825__B1.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _3125_.X ANTENNA__3303__B.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3125_.X ANTENNA__3292__B.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3125_.X ANTENNA__3248__A2.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3125_.X ANTENNA__3241__B1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3125_.X ANTENNA__3131__A1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3126_.X _3131_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3126_.X _3217_.A1 (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _3126_.X _3267_.C (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _3126_.X _3283_.C (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3126_.X _3491_.C (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3126_.X _4335_.A2 (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _3126_.X _4374_.A1 (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _3126_.X _4436_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3126_.X _4437_.C (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3126_.X _4596_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3126_.X ANTENNA__4596__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3126_.X ANTENNA__4437__C.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3126_.X ANTENNA__4436__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3126_.X ANTENNA__4374__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3126_.X ANTENNA__4335__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3126_.X ANTENNA__3491__C.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3126_.X ANTENNA__3283__C.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3126_.X ANTENNA__3267__C.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3126_.X ANTENNA__3217__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3126_.X ANTENNA__3131__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3127_.X _3128_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3127_.X _3218_.D (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3127_.X _3245_.B2 (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _3127_.X _3247_.D (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _3127_.X _3265_.B1 (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3127_.X _3387_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3127_.X _4337_.D (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3127_.X _4416_.B2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3127_.X _4417_.D (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3127_.X _4595_.D (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3127_.X ANTENNA__4595__D.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _3127_.X ANTENNA__4417__D.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _3127_.X ANTENNA__4416__B2.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _3127_.X ANTENNA__4337__D.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _3127_.X ANTENNA__3387__B1.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3127_.X ANTENNA__3265__B1.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _3127_.X ANTENNA__3247__D.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _3127_.X ANTENNA__3245__B2.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3127_.X ANTENNA__3218__D.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3127_.X ANTENNA__3128__A.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3128_.X _3131_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3128_.X _3491_.D (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3128_.X _3492_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3128_.X _3700_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3128_.X _4448_.C (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3128_.X _4449_.B1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3128_.X _4575_.B1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3128_.X _4697_.D (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3128_.X _4698_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3128_.X _6180_.A0 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3128_.X ANTENNA__6180__A0.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3128_.X ANTENNA__4698__B1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3128_.X ANTENNA__4697__D.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3128_.X ANTENNA__4575__B1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3128_.X ANTENNA__4449__B1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3128_.X ANTENNA__4448__C.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3128_.X ANTENNA__3700__B1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3128_.X ANTENNA__3492__B1.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _3128_.X ANTENNA__3491__D.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _3128_.X ANTENNA__3131__B1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3129_.X _3130_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3129_.X _3435_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3129_.X _3487_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3129_.X _3488_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3129_.X _3500_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3129_.X _3501_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3129_.X _3738_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3129_.X _4006_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3129_.X _4071_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3129_.X _4072_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3130_.X _3131_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3130_.X _3219_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3130_.X _3221_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3130_.X _3222_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3130_.X _3241_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3130_.X _3329_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3130_.X _3803_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3130_.X _3805_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3130_.X _3905_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3130_.X _6124_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3131_.Y _3137_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3131_.Y _3361_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3132_.X _3136_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3132_.X _3242_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3132_.X _3387_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3132_.X _3737_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3132_.X _3806_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3132_.X _3825_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3132_.X _3826_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3132_.X _3961_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3132_.X _4121_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3132_.X _4122_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3133_.X _3136_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3133_.X _3217_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3133_.X _3218_.A (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _3133_.X _3242_.D (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3133_.X _3294_.C (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3133_.X _3487_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3133_.X _3737_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3133_.X _3826_.D (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3133_.X _3872_.C (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3133_.X _4071_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3133_.X ANTENNA__4071__B1.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _3133_.X ANTENNA__3872__C.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3133_.X ANTENNA__3826__D.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3133_.X ANTENNA__3737__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3133_.X ANTENNA__3487__B1.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _3133_.X ANTENNA__3294__C.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3133_.X ANTENNA__3242__D.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _3133_.X ANTENNA__3218__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3133_.X ANTENNA__3217__B2.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _3133_.X ANTENNA__3136__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3134_.X _3136_.C (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3134_.X _3218_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3134_.X _3245_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3134_.X _3247_.B (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _3134_.X _3265_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3134_.X _3280_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3134_.X _3387_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3134_.X _4337_.C (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3134_.X _4416_.A2 (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _3134_.X _4595_.C (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3134_.X ANTENNA__4595__C.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3134_.X ANTENNA__4416__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3134_.X ANTENNA__4337__C.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3134_.X ANTENNA__3387__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3134_.X ANTENNA__3280__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3134_.X ANTENNA__3265__A2.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _3134_.X ANTENNA__3247__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3134_.X ANTENNA__3245__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3134_.X ANTENNA__3218__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3134_.X ANTENNA__3136__C.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3135_.X _3136_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3135_.X _3217_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3135_.X _3267_.D (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3135_.X _3282_.B (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3135_.X _3283_.D (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3135_.X _4335_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3135_.X _4374_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3135_.X _4436_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3135_.X _4437_.D (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3135_.X _4596_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3135_.X ANTENNA__4596__B1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3135_.X ANTENNA__4437__D.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3135_.X ANTENNA__4436__B1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3135_.X ANTENNA__4374__B1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3135_.X ANTENNA__4335__B1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3135_.X ANTENNA__3283__D.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3135_.X ANTENNA__3282__B.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _3135_.X ANTENNA__3267__D.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3135_.X ANTENNA__3217__B1.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3135_.X ANTENNA__3136__D.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3136_.X _3137_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3136_.X _3361_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3137_.Y _3138_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3137_.Y _3139_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3138_.X _3140_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3138_.X _3356_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3138_.X _3357_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3139_.Y _3140_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3139_.Y _3356_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3139_.Y _3357_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3140_.X _3171_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3140_.X _3193_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3140_.X ANTENNA__3193__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3140_.X ANTENNA__3171__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3141_.X _3144_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3141_.X _3157_.C (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3141_.X _3177_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3141_.X _3464_.A (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _3141_.X _4303_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3141_.X _4311_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3141_.X _4348_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3141_.X _4610_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3141_.X _4671_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3141_.X _4672_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3142_.X _3144_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3142_.X _3146_.D (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3142_.X _3155_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3142_.X _3176_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3142_.X _3209_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3142_.X _3459_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3142_.X _4302_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3142_.X _4308_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3142_.X _4346_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3142_.X _4609_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3143_.X _3144_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3143_.X _3146_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3143_.X _3155_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3143_.X _3157_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3143_.X _3209_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3143_.X _3746_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3143_.X _3751_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3143_.X _3793_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _3143_.X _3795_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3143_.X _3835_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3144_.X _3149_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3144_.X _3150_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3144_.X _3211_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3145_.X _3146_.C (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _3145_.X _3176_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3145_.X _3401_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3145_.X _3459_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3145_.X _3465_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3145_.X _4302_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3145_.X _4308_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3145_.X _4346_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3145_.X _4609_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3145_.X _4666_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3146_.Y _3149_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3146_.Y _3150_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3146_.Y _3211_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3147_.X _3148_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3147_.X _3155_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3147_.X _3157_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3147_.X _3176_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3147_.X _3177_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3147_.X _3747_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3147_.X _3751_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3147_.X _3770_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3147_.X _3771_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3147_.X _3789_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3148_.X _3149_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3148_.X _3150_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3148_.X _3211_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3149_.Y _3159_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3149_.Y _3160_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3150_.X _3159_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3150_.X _3160_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3151_.X _3153_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3151_.X _3208_.B (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3151_.X _3209_.B1 (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _3151_.X _3257_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3151_.X _3477_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3151_.X _4310_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3151_.X _4347_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3151_.X _4390_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3151_.X _4608_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3151_.X _4668_.D (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3151_.X ANTENNA__4668__D.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _3151_.X ANTENNA__4608__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3151_.X ANTENNA__4390__B1.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT _3151_.X ANTENNA__4347__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3151_.X ANTENNA__4310__A.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT _3151_.X ANTENNA__3477__A2.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3151_.X ANTENNA__3257__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3151_.X ANTENNA__3209__B1.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _3151_.X ANTENNA__3208__B.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT _3151_.X ANTENNA__3153__A.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _3152_.X _3153_.B (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _3152_.X _3164_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3152_.X _3165_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3152_.X _3201_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3152_.X _3202_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3152_.X _3255_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3152_.X _3508_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3152_.X _3758_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3152_.X _3788_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3152_.X _3837_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3153_.Y _3158_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3153_.Y _3172_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3153_.Y _3173_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3154_.X _3155_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3154_.X _3460_.A1 (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _3154_.X _3584_.C (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3154_.X _3624_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3154_.X _3637_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3154_.X _4667_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3154_.X _4785_.C (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3154_.X _4821_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3154_.X _4830_.B (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _3154_.X _6209_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3154_.X ANTENNA__6209__A0.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3154_.X ANTENNA__4830__B.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _3154_.X ANTENNA__4821__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3154_.X ANTENNA__4785__C.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3154_.X ANTENNA__4667__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3154_.X ANTENNA__3637__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3154_.X ANTENNA__3624__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3154_.X ANTENNA__3584__C.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3154_.X ANTENNA__3460__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3154_.X ANTENNA__3155__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3155_.Y _3158_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3155_.Y _3172_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3155_.Y _3173_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3156_.X _3157_.D (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3156_.X _3206_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3156_.X _3401_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3156_.X _3464_.C (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3156_.X _3465_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3156_.X _4303_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3156_.X _4348_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3156_.X _4610_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3156_.X _4666_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3156_.X _4672_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3157_.X _3158_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3157_.X _3172_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3157_.X _3173_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3158_.Y _3159_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3158_.Y _3160_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3159_.X _3169_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3159_.X _3170_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _3159_.X _3228_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3159_.X _3230_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3159_.X _3324_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3160_.Y _3169_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3160_.Y _3170_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3160_.Y _3228_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3161_.X _3164_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3161_.X _3184_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3161_.X _3201_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3161_.X _3405_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3161_.X _3458_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3161_.X _4316_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3161_.X _4352_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3161_.X _4383_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3161_.X _4423_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3161_.X _4613_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3161_.X ANTENNA__4613__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3161_.X ANTENNA__4423__B.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3161_.X ANTENNA__4383__B.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3161_.X ANTENNA__4352__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3161_.X ANTENNA__4316__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3161_.X ANTENNA__3458__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3161_.X ANTENNA__3405__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3161_.X ANTENNA__3201__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3161_.X ANTENNA__3184__A.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _3161_.X ANTENNA__3164__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3162_.X _3164_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3162_.X _3165_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3162_.X _3174_.A (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _3162_.X _3181_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3162_.X _3184_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3162_.X _3465_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3162_.X _3758_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3162_.X _3769_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3162_.X _3774_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3162_.X _3775_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3163_.X _3164_.B2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3163_.X _3184_.D (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3163_.X _3202_.D (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3163_.X _3253_.B1 (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3163_.X _3405_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3163_.X _3458_.D (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3163_.X _4316_.B2 (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _3163_.X _4352_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3163_.X _4383_.D (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3163_.X _4614_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3163_.X ANTENNA__4614__D.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3163_.X ANTENNA__4383__D.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _3163_.X ANTENNA__4352__B2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3163_.X ANTENNA__4316__B2.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _3163_.X ANTENNA__3458__D.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3163_.X ANTENNA__3405__B2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3163_.X ANTENNA__3253__B1.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _3163_.X ANTENNA__3202__D.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _3163_.X ANTENNA__3184__D.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3163_.X ANTENNA__3164__B2.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3164_.Y _3166_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3164_.Y _3195_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3165_.X _3166_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3165_.X _3195_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3166_.Y _3168_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3167_.Y _3168_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3167_.Y _3195_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3168_.Y _3169_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3168_.Y _3170_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3168_.Y _3228_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3169_.X _3171_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3169_.X _3193_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3170_.Y _3171_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3170_.Y _3193_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3171_.X _3194_.C1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _3171_.X _3196_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3171_.X _3197_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3171_.X _3353_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3172_.X _3179_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3172_.X _3191_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3173_.Y _3179_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3173_.Y _3191_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3174_.Y _3178_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3174_.Y _3397_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3174_.Y _3398_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3175_.X _3176_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3175_.X _3177_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3175_.X _3401_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3175_.X _3402_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3175_.X _3750_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3175_.X _3759_.D (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3175_.X _3770_.B2 (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _3175_.X _3771_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3175_.X _3789_.D (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3175_.X _3973_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3176_.Y _3178_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3176_.Y _3397_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3176_.Y _3398_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3177_.X _3178_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3177_.X _3397_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3177_.X _3398_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3178_.Y _3179_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3178_.Y _3191_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3179_.Y _3192_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3179_.Y _3194_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3179_.Y _3353_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3179_.Y _3395_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3180_.X _3183_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3180_.X _3473_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3180_.X _3686_.A (0.018:0.018:0.018) (0.018:0.018:0.018))
+    (INTERCONNECT _3180_.X _4380_.A (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3180_.X _4426_.A2 (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _3180_.X _4665_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3180_.X _4679_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3180_.X _5494_.A2 (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT _3180_.X _5596_.A2 (0.018:0.018:0.018) (0.018:0.018:0.018))
+    (INTERCONNECT _3180_.X _6217_.A0 (0.015:0.015:0.015) (0.014:0.014:0.014))
+    (INTERCONNECT _3180_.X ANTENNA__6217__A0.DIODE (0.017:0.017:0.017) (0.017:0.017:0.017))
+    (INTERCONNECT _3180_.X ANTENNA__5596__A2.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018))
+    (INTERCONNECT _3180_.X ANTENNA__5494__A2.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018))
+    (INTERCONNECT _3180_.X ANTENNA__4679__A1.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3180_.X ANTENNA__4665__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3180_.X ANTENNA__4426__A2.DIODE (0.017:0.017:0.017) (0.017:0.017:0.017))
+    (INTERCONNECT _3180_.X ANTENNA__4380__A.DIODE (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT _3180_.X ANTENNA__3686__A.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018))
+    (INTERCONNECT _3180_.X ANTENNA__3473__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3180_.X ANTENNA__3183__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3181_.X _3183_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3181_.X _3204_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3181_.X _3235_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3181_.X _3568_.B (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _3181_.X _3670_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3181_.X _3791_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3181_.X _3819_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3181_.X _4152_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3181_.X _4253_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3181_.X _6143_.A0 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3181_.X ANTENNA__6143__A0.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3181_.X ANTENNA__4253__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3181_.X ANTENNA__4152__B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3181_.X ANTENNA__3819__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3181_.X ANTENNA__3791__B.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _3181_.X ANTENNA__3670__A2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3181_.X ANTENNA__3568__B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3181_.X ANTENNA__3235__B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3181_.X ANTENNA__3204__B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3181_.X ANTENNA__3183__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3182_.X _3183_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3182_.X _3201_.B1 (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _3182_.X _3254_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3182_.X _3470_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3182_.X _3473_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3182_.X _4382_.B (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3182_.X _4426_.B1 (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3182_.X _4613_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3182_.X _4665_.D (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3182_.X _4679_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3182_.X ANTENNA__4679__B2.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3182_.X ANTENNA__4665__D.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3182_.X ANTENNA__4613__B2.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3182_.X ANTENNA__4426__B1.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _3182_.X ANTENNA__4382__B.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3182_.X ANTENNA__3473__B2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3182_.X ANTENNA__3470__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3182_.X ANTENNA__3254__A1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3182_.X ANTENNA__3201__B1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3182_.X ANTENNA__3183__B2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3183_.Y _3185_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3183_.Y _3417_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3184_.X _3185_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3184_.X _3417_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3185_.Y _3190_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3186_.X _3189_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3186_.X _3204_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3186_.X _3255_.A (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3186_.X _3409_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3186_.X _3673_.A (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _3186_.X _3684_.A (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3186_.X _4356_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3186_.X _4386_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3186_.X _4428_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3186_.X _4616_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3186_.X ANTENNA__4616__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3186_.X ANTENNA__4428__A.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3186_.X ANTENNA__4386__A.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3186_.X ANTENNA__4356__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3186_.X ANTENNA__3684__A.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3186_.X ANTENNA__3673__A.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3186_.X ANTENNA__3409__A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3186_.X ANTENNA__3255__A.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3186_.X ANTENNA__3204__A.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _3186_.X ANTENNA__3189__A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3187_.X _3188_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3187_.X _3405_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3187_.X _3406_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3187_.X _3458_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3187_.X _3460_.B2 (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _3187_.X _3477_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3187_.X _3977_.A2 (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _3187_.X _4037_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3187_.X _4046_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3187_.X _4101_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3188_.X _3189_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3188_.X _3384_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3188_.X _3463_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3188_.X _3473_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3188_.X _3584_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3188_.X _3777_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3188_.X _4040_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3188_.X _4104_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3188_.X _4174_.C (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3188_.X _4207_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3188_.X ANTENNA__4207__B.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _3188_.X ANTENNA__4174__C.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3188_.X ANTENNA__4104__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3188_.X ANTENNA__4040__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3188_.X ANTENNA__3777__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3188_.X ANTENNA__3584__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3188_.X ANTENNA__3473__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3188_.X ANTENNA__3463__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3188_.X ANTENNA__3384__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3188_.X ANTENNA__3189__B.DIODE (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _3189_.Y _3190_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3189_.Y _3417_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3190_.Y _3192_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3190_.Y _3395_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3191_.X _3192_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3191_.X _3395_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3192_.Y _3194_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3192_.Y _3353_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3192_.Y _3396_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3192_.Y _3414_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3192_.Y _3415_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3193_.Y _3194_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3193_.Y _3353_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3194_.Y _3196_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3194_.Y _3197_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3194_.Y _3354_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3195_.X _3196_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3195_.X _3197_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3196_.X _3198_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3196_.X _3199_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3197_.X _3198_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3198_.X _3199_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3198_.X _3351_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3199_.X _3383_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3199_.X _3669_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3200_.X _3201_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3200_.X _3202_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3200_.X _3252_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3200_.X _3253_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3200_.X _3515_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3200_.X _3516_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3200_.X _3788_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3200_.X _3835_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3200_.X _3836_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3200_.X _4034_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3201_.Y _3203_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3201_.Y _3231_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3202_.X _3203_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3202_.X _3231_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3203_.Y _3205_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3204_.Y _3205_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3204_.Y _3231_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3205_.Y _3213_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3205_.Y _3239_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3206_.X _3207_.B (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3206_.X _3460_.B1 (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3206_.X _3584_.D (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3206_.X _3624_.B1 (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3206_.X _4388_.B (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3206_.X _4390_.A2 (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3206_.X _4667_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3206_.X _4785_.D (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3206_.X _4821_.B1 (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3206_.X _6211_.A0 (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3206_.X ANTENNA__6211__A0.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3206_.X ANTENNA__4821__B1.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _3206_.X ANTENNA__4785__D.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3206_.X ANTENNA__4667__B1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3206_.X ANTENNA__4390__A2.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _3206_.X ANTENNA__4388__B.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _3206_.X ANTENNA__3624__B1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3206_.X ANTENNA__3584__D.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3206_.X ANTENNA__3460__B1.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _3206_.X ANTENNA__3207__B.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3207_.Y _3210_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3207_.Y _3238_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3207_.Y _3258_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3208_.Y _3210_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3208_.Y _3238_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3209_.X _3210_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3209_.X _3237_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3210_.X _3212_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3211_.Y _3212_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3211_.Y _3240_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3212_.Y _3213_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3212_.Y _3239_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3213_.Y _3227_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3213_.Y _3229_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3214_.Y _3226_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3214_.Y _3327_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3215_.X _3219_.A1 (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3215_.X _3248_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3215_.X _3286_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3215_.X _3303_.A (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _3215_.X _3329_.A (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3215_.X _3696_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3215_.X _4418_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3215_.X _4471_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3215_.X _4494_.A1_N (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3215_.X _4598_.A (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3215_.X ANTENNA__4598__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3215_.X ANTENNA__4494__A1_N.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3215_.X ANTENNA__4471__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3215_.X ANTENNA__4418__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3215_.X ANTENNA__3696__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3215_.X ANTENNA__3329__A.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _3215_.X ANTENNA__3303__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3215_.X ANTENNA__3286__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3215_.X ANTENNA__3248__A1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3215_.X ANTENNA__3219__A1.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3216_.X _3217_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3216_.X _3218_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3216_.X _3245_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3216_.X _3293_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3216_.X _3294_.D (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3216_.X _3334_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3216_.X _3703_.B2 (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _3216_.X _3871_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3216_.X _4282_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3216_.X _6128_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3216_.X ANTENNA__6128__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3216_.X ANTENNA__4282__B1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3216_.X ANTENNA__3871__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3216_.X ANTENNA__3703__B2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3216_.X ANTENNA__3334__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3216_.X ANTENNA__3294__D.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3216_.X ANTENNA__3293__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3216_.X ANTENNA__3245__B1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3216_.X ANTENNA__3218__C.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3216_.X ANTENNA__3217__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3217_.X _3219_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3217_.X _3330_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3218_.X _3219_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3218_.X _3330_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3219_.Y _3224_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3219_.Y _3225_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3220_.X _3221_.A1 (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _3220_.X _3292_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3220_.X _3702_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3220_.X _3704_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3220_.X _4370_.A1 (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3220_.X _4371_.B (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3220_.X _4413_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3220_.X _4459_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3220_.X _4462_.B (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3220_.X _4579_.B (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3220_.X ANTENNA__4579__B.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3220_.X ANTENNA__4462__B.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3220_.X ANTENNA__4459__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3220_.X ANTENNA__4413__A1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3220_.X ANTENNA__4371__B.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _3220_.X ANTENNA__4370__A1.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _3220_.X ANTENNA__3704__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3220_.X ANTENNA__3702__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3220_.X ANTENNA__3292__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3220_.X ANTENNA__3221__A1.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _3221_.Y _3223_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3221_.Y _3225_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3222_.X _3223_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3222_.X _3225_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3222_.X _3251_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3222_.X _3317_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3223_.Y _3224_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3224_.Y _3226_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3224_.Y _3327_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3225_.X _3226_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3226_.X _3227_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3226_.X _3229_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3227_.X _3232_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3227_.X _3233_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3228_.Y _3230_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3228_.Y _3324_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3229_.Y _3230_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3229_.Y _3324_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3230_.X _3232_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3230_.X _3233_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3230_.X _3325_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3231_.X _3232_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3231_.X _3233_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3232_.X _3234_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3232_.X _3670_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3233_.X _3234_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3234_.X _3236_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3234_.X _3670_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3235_.Y _3236_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3236_.Y _3349_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3236_.Y _3672_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3237_.Y _3240_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3238_.Y _3240_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3238_.Y _3682_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3239_.Y _3240_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3240_.Y _3263_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3240_.Y _3675_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3241_.Y _3243_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3242_.X _3243_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3242_.X _3297_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3242_.X _3298_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3243_.X _3249_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3243_.X _3250_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3244_.X _3245_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3244_.X _3247_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3244_.X _3265_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3244_.X _3267_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3244_.X _3285_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3244_.X _3307_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3244_.X _3335_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3244_.X _3337_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3244_.X _3864_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3244_.X _4283_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3245_.X _3248_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3245_.X _3302_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3246_.X _3247_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3246_.X _3268_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3246_.X _3367_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3246_.X _3436_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3246_.X _3801_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3246_.X _3802_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3246_.X _3872_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3246_.X _3910_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3246_.X _3941_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3246_.X _4007_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3247_.X _3248_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3247_.X _3302_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3248_.X _3249_.B_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3248_.X _3250_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3249_.X _3260_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3249_.X _3261_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3249_.X ANTENNA__3261__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3249_.X ANTENNA__3260__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3250_.Y _3251_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3250_.Y _3317_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3251_.Y _3260_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3251_.Y _3261_.B (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _3251_.Y _3318_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3252_.X _3254_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3252_.X _3674_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3253_.X _3254_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3253_.X _3674_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3254_.X _3256_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3255_.Y _3256_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3256_.X _3259_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3256_.X _3682_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3257_.X _3258_.B (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3257_.X _3461_.D (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3257_.X _3463_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3257_.X _3582_.A2_N (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3257_.X _4389_.B (0.017:0.017:0.017) (0.016:0.016:0.016))
+    (INTERCONNECT _3257_.X _4430_.B (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT _3257_.X _4670_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3257_.X _4683_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3257_.X _4783_.A2_N (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3257_.X _6213_.A0 (0.014:0.014:0.014) (0.013:0.013:0.013))
+    (INTERCONNECT _3257_.X ANTENNA__6213__A0.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3257_.X ANTENNA__4783__A2_N.DIODE (0.017:0.017:0.017) (0.017:0.017:0.017))
+    (INTERCONNECT _3257_.X ANTENNA__4683__A2.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018))
+    (INTERCONNECT _3257_.X ANTENNA__4670__B.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018))
+    (INTERCONNECT _3257_.X ANTENNA__4430__B.DIODE (0.022:0.022:0.022) (0.021:0.021:0.021))
+    (INTERCONNECT _3257_.X ANTENNA__4389__B.DIODE (0.021:0.021:0.021) (0.021:0.021:0.021))
+    (INTERCONNECT _3257_.X ANTENNA__3582__A2_N.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3257_.X ANTENNA__3463__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3257_.X ANTENNA__3461__D.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3257_.X ANTENNA__3258__B.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3258_.X _3259_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3258_.X _3682_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3259_.Y _3260_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3259_.Y _3261_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3260_.Y _3262_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3260_.Y _3675_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3261_.Y _3262_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3261_.Y _3675_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3262_.X _3263_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3263_.X _3323_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3263_.X _3681_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3264_.X _3265_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3264_.X _3279_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3264_.X _3337_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3264_.X _3850_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3264_.X _3856_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3264_.X _3861_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3264_.X _3883_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3264_.X _3887_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3264_.X _3888_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3264_.X _3911_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3265_.X _3269_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3265_.X _3270_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3265_.X _3291_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3266_.X _3267_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3266_.X _3274_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3266_.X _3282_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3266_.X _3283_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3266_.X _3305_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3266_.X _3309_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3266_.X _3312_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3266_.X _3335_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3266_.X _3698_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3266_.X _5585_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3267_.Y _3269_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3267_.Y _3270_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3267_.Y _3291_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3268_.X _3269_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3268_.X _3270_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3268_.X _3291_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3269_.X _3276_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3269_.X _3301_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3270_.Y _3276_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3270_.Y _3301_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3271_.X _3275_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3271_.X _3281_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3271_.X _3283_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3271_.X _3305_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3271_.X _3312_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3271_.X _3697_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3271_.X _3699_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3271_.X _3857_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3271_.X _3860_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3271_.X _4278_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3272_.X _3275_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3272_.X _3305_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3272_.X _3502_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3272_.X _3571_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3272_.X _4443_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3272_.X _4497_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3272_.X _4528_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3272_.X _4708_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3272_.X _4771_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3272_.X _6176_.A0 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3272_.X ANTENNA__6176__A0.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3272_.X ANTENNA__4771__B.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3272_.X ANTENNA__4708__A2.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3272_.X ANTENNA__4528__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3272_.X ANTENNA__4497__A2.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _3272_.X ANTENNA__4443__B.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _3272_.X ANTENNA__3571__B.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _3272_.X ANTENNA__3502__A2.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _3272_.X ANTENNA__3305__B1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3272_.X ANTENNA__3275__B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3273_.X _3274_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3273_.X _3305_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3273_.X _3312_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3273_.X _3574_.D (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3273_.X _3621_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3273_.X _4442_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3273_.X _4529_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3273_.X _4774_.D (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3273_.X _4817_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3273_.X _6174_.A0 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3273_.X ANTENNA__6174__A0.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3273_.X ANTENNA__4817__B1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3273_.X ANTENNA__4774__D.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3273_.X ANTENNA__4529__B1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3273_.X ANTENNA__4442__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3273_.X ANTENNA__3621__B1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3273_.X ANTENNA__3574__D.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3273_.X ANTENNA__3312__D.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3273_.X ANTENNA__3305__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3273_.X ANTENNA__3274__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3274_.Y _3275_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3275_.X _3276_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3275_.X _3301_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3276_.X _3288_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3276_.X _3289_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _3276_.X _3319_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3276_.X _3321_.A1 (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _3277_.X _3279_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3277_.X _3309_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3277_.X _3335_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3277_.X _3500_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3277_.X _4473_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3277_.X _4476_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3277_.X _4477_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3277_.X _4499_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3277_.X _4530_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3277_.X _4643_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3278_.X _3279_.D (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3278_.X _3307_.B (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3278_.X _3334_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3278_.X _3365_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3278_.X _3435_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3278_.X _3486_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3278_.X _4473_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3278_.X _4475_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3278_.X _4498_.A (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _3278_.X _4642_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3278_.X ANTENNA__4642__B.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3278_.X ANTENNA__4498__A.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _3278_.X ANTENNA__4475__B.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _3278_.X ANTENNA__4473__B1.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _3278_.X ANTENNA__3486__B.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3278_.X ANTENNA__3435__B.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3278_.X ANTENNA__3365__A.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _3278_.X ANTENNA__3334__A.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _3278_.X ANTENNA__3307__B.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3278_.X ANTENNA__3279__D.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3279_.X _3288_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _3279_.X _3289_.C (0.001:0.001:0.001) (0.000:0.000:0.001))
+    (INTERCONNECT _3279_.X _3306_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3280_.X _3281_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3280_.X _3492_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3280_.X _3497_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3280_.X _4449_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3280_.X _4450_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3280_.X _4582_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3280_.X _4697_.C (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3280_.X _4698_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3280_.X _4703_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3280_.X _6178_.A0 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3280_.X ANTENNA__6178__A0.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3280_.X ANTENNA__4703__B.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3280_.X ANTENNA__4698__A2.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3280_.X ANTENNA__4697__C.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3280_.X ANTENNA__4582__B2.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3280_.X ANTENNA__4450__A1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3280_.X ANTENNA__4449__A2.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3280_.X ANTENNA__3497__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3280_.X ANTENNA__3492__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3280_.X ANTENNA__3281__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3281_.Y _3284_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3282_.Y _3284_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3282_.Y _3701_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3282_.Y _5584_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3283_.X _3284_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3283_.X _3707_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3284_.Y _3287_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3284_.Y _3707_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3285_.X _3286_.B (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _3285_.X _3703_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3285_.X _3704_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3285_.X _3707_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3285_.X _4282_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3285_.X _4286_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _3285_.X _5676_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3285_.X _5750_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3285_.X _5806_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3285_.X _6130_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3286_.Y _3287_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3287_.Y _3288_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3287_.Y _3289_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3288_.X _3290_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3288_.X _3695_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3289_.X _3290_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3289_.X _3695_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3290_.X _3300_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3291_.X _3296_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3291_.X _3683_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3292_.Y _3295_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3293_.Y _3295_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3293_.Y _3705_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3294_.X _3295_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3294_.X _3709_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3294_.X _5593_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3295_.X _3296_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3295_.X _3683_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3296_.Y _3297_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3296_.Y _3298_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3297_.Y _3299_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3298_.X _3299_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3298_.X _3691_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3298_.X _3692_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3298_.X ANTENNA__3692__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3298_.X ANTENNA__3691__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3298_.X ANTENNA__3299__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3299_.Y _3300_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3299_.Y _3695_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3300_.X _3322_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3300_.X _3680_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3300_.X ANTENNA__3680__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3300_.X ANTENNA__3322__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3301_.Y _3319_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3301_.Y _3321_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3302_.X _3304_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3303_.Y _3304_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3304_.Y _3316_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3304_.Y _3328_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3305_.Y _3306_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3306_.X _3314_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3306_.X _3315_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3307_.X _3313_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3307_.X _3332_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3307_.X _3333_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3308_.X _3309_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3308_.X _3335_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3308_.X _3500_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3308_.X _3501_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3308_.X _4476_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3308_.X _4477_.C (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _3308_.X _4499_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3308_.X _4529_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3308_.X _4530_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3308_.X _4643_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3309_.X _3313_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3309_.X _3332_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3309_.X _3333_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3310_.X _3311_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3310_.X _3337_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3310_.X _3367_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3310_.X _3436_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3310_.X _3487_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3310_.X _4500_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3310_.X _4644_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3310_.X _4693_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3310_.X _4706_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3310_.X _4707_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3311_.X _3312_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3311_.X _3574_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3311_.X _3621_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3311_.X _3636_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3311_.X _4774_.B (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3311_.X _4817_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3311_.X _4829_.C (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3311_.X _5953_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3311_.X _5958_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3311_.X _6172_.A0 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3311_.X ANTENNA__6172__A0.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3311_.X ANTENNA__5958__A1.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3311_.X ANTENNA__5953__A2.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3311_.X ANTENNA__4829__C.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3311_.X ANTENNA__4817__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3311_.X ANTENNA__4774__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3311_.X ANTENNA__3636__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3311_.X ANTENNA__3621__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3311_.X ANTENNA__3574__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3311_.X ANTENNA__3312__C.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3312_.Y _3313_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3312_.Y _3332_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3312_.Y _3333_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3313_.X _3314_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3313_.X _3315_.B_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3314_.Y _3316_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3314_.Y _3328_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3315_.X _3316_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3316_.Y _3320_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3316_.Y _3321_.A3 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3316_.Y ANTENNA__3321__A3.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3316_.Y ANTENNA__3320__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3317_.X _3318_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3318_.Y _3321_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3318_.Y _3326_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3319_.X _3320_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3320_.Y _3321_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3320_.Y _3326_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3321_.Y _3322_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3321_.Y _3680_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3322_.X _3323_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3322_.X _3681_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3323_.Y _3348_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3323_.Y _3671_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3324_.Y _3325_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3325_.Y _3347_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3325_.Y _3352_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3326_.X _3345_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3326_.X _3346_.B_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3327_.Y _3344_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3327_.Y _3355_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3328_.Y _3342_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3328_.Y _3343_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3328_.Y ANTENNA__3343__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3328_.Y ANTENNA__3342__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3329_.Y _3331_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3330_.X _3331_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3331_.Y _3341_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3331_.Y _3359_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3331_.Y _3360_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3332_.Y _3339_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3332_.Y _3340_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3333_.X _3339_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3333_.X _3340_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3334_.Y _3338_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3334_.Y _3363_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3334_.Y _3364_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3335_.Y _3338_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3335_.Y _3363_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3335_.Y _3364_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3336_.X _3337_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3336_.X _3367_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3336_.X _3436_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3336_.X _3487_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3336_.X _3501_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3336_.X _4445_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3336_.X _4500_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3336_.X _4644_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3336_.X _4693_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3336_.X _4706_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3337_.X _3338_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3337_.X _3363_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3337_.X _3364_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3338_.Y _3339_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3338_.Y _3340_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3339_.X _3341_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3339_.X _3359_.C (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3339_.X _3360_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3339_.X ANTENNA__3360__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3339_.X ANTENNA__3359__C.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3339_.X ANTENNA__3341__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3340_.Y _3341_.B1_N (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3340_.Y _3359_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3340_.Y _3360_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3340_.Y ANTENNA__3360__A1.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3340_.Y ANTENNA__3359__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3340_.Y ANTENNA__3341__B1_N.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3341_.X _3342_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3341_.X _3343_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3342_.X _3344_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3342_.X _3355_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3343_.X _3344_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3344_.X _3345_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3344_.X _3346_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3345_.Y _3347_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3345_.Y _3352_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3346_.X _3347_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3347_.Y _3348_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3347_.Y _3671_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3348_.X _3349_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3348_.X _3672_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3349_.Y _3382_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3349_.Y _3668_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3350_.Y _3351_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3351_.Y _3381_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3351_.Y _3422_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3352_.Y _3379_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3352_.Y _3380_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3353_.X _3354_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3354_.Y _3378_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3354_.Y _3425_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3355_.Y _3376_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3355_.Y _3377_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3356_.X _3358_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3357_.Y _3358_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3358_.X _3375_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3358_.X _3428_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3358_.X _3429_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3359_.Y _3373_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3359_.Y _3374_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3360_.X _3373_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3360_.X _3374_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3361_.Y _3362_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3362_.Y _3372_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3362_.Y _3430_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3362_.Y _3431_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3363_.X _3370_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3363_.X _3371_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3364_.Y _3370_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3364_.Y _3371_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3365_.Y _3369_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3365_.Y _3433_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3365_.Y _3434_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3366_.X _3367_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3366_.X _3829_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3366_.X _3830_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3366_.X _3849_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3366_.X _3850_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3366_.X _3885_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3366_.X _3911_.B2 (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _3366_.X _3912_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3366_.X _3941_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3366_.X _3942_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3367_.Y _3369_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3367_.Y _3433_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3367_.Y _3434_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3368_.X _3369_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3368_.X _3433_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3368_.X _3434_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3369_.Y _3370_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3369_.Y _3371_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3370_.X _3372_.A2 (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _3370_.X _3430_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3370_.X _3431_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3370_.X ANTENNA__3431__A2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3370_.X ANTENNA__3430__C.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3370_.X ANTENNA__3372__A2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3371_.Y _3372_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3371_.Y _3430_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3371_.Y _3431_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3371_.Y ANTENNA__3431__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3371_.Y ANTENNA__3430__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3371_.Y ANTENNA__3372__B1_N.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3372_.X _3373_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3372_.X _3374_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3373_.Y _3375_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3373_.Y _3428_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3373_.Y _3429_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3374_.X _3375_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3374_.X _3428_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3374_.X _3429_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3375_.X _3376_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3375_.X _3377_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3376_.X _3378_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3376_.X _3425_.B (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _3376_.X ANTENNA__3425__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3376_.X ANTENNA__3378__A2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3377_.Y _3378_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3377_.Y ANTENNA__3378__B1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3378_.X _3379_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3378_.X _3380_.B_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3379_.Y _3381_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3379_.Y _3422_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3380_.X _3381_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3381_.Y _3382_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3381_.Y _3668_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3382_.X _3383_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3382_.X _3669_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3383_.Y _3457_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3383_.Y _3666_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3384_.X _3421_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3384_.X _3423_.B (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _3384_.X _3622_.B (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3384_.X _3624_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3384_.X _3634_.A2 (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _3384_.X _3992_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3384_.X _3994_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3384_.X _4209_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3384_.X _4222_.A2 (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _3384_.X _6139_.A0 (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _3384_.X ANTENNA__6139__A0.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _3384_.X ANTENNA__4222__A2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3384_.X ANTENNA__4209__A2.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _3384_.X ANTENNA__3994__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3384_.X ANTENNA__3992__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3384_.X ANTENNA__3634__A2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3384_.X ANTENNA__3624__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3384_.X ANTENNA__3622__B.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3384_.X ANTENNA__3423__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3384_.X ANTENNA__3421__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3385_.X _3388_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3385_.X _3394_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3385_.X _3444_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3386_.Y _3389_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3386_.Y _3432_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3387_.Y _3388_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3388_.X _3389_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3388_.X _3432_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3389_.Y _3394_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3389_.Y _3444_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3390_.X _3392_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3390_.X _3497_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3390_.X _3621_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3390_.X _3636_.B (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3390_.X _4117_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3390_.X _4206_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3390_.X _4224_.C (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3390_.X _5955_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3390_.X _5958_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3390_.X _6120_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3390_.X ANTENNA__6120__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3390_.X ANTENNA__5958__A2.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3390_.X ANTENNA__5955__A2.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3390_.X ANTENNA__4224__C.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3390_.X ANTENNA__4206__A1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3390_.X ANTENNA__4117__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3390_.X ANTENNA__3636__B.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3390_.X ANTENNA__3621__B2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3390_.X ANTENNA__3497__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3390_.X ANTENNA__3392__A2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3391_.X _3392_.B2 (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT _3391_.X _4258_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3391_.X _4269_.A2 (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3391_.X _5545_.B1 (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _3391_.X _5547_.D (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _3391_.X _5596_.B1 (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _3391_.X _5691_.B (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _3391_.X _5766_.C (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _3391_.X _5767_.A1 (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _3391_.X _6149_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3391_.X ANTENNA__6149__A0.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _3391_.X ANTENNA__5767__A1.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _3391_.X ANTENNA__5766__C.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _3391_.X ANTENNA__5691__B.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _3391_.X ANTENNA__5596__B1.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _3391_.X ANTENNA__5547__D.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _3391_.X ANTENNA__5545__B1.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3391_.X ANTENNA__4269__A2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3391_.X ANTENNA__4258__B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3391_.X ANTENNA__3392__B2.DIODE (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT _3392_.Y _3393_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3393_.Y _3394_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3393_.Y _3444_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3394_.X _3396_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3394_.X _3414_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3394_.X _3415_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3394_.X _3445_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3394_.X ANTENNA__3445__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3394_.X ANTENNA__3415__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3394_.X ANTENNA__3414__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3394_.X ANTENNA__3396__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3395_.X _3396_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3395_.X _3414_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3395_.X _3415_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3396_.X _3418_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3396_.X _3419_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3397_.X _3404_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3397_.X _3411_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3398_.Y _3404_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3398_.Y _3411_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3399_.X _3400_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3399_.X _3459_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3399_.X _3464_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3399_.X _3465_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3399_.X _3775_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3399_.X _3972_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3399_.X _3978_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3399_.X _4044_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3399_.X _4045_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3399_.X _4098_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3400_.Y _3403_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3400_.Y _3475_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3400_.Y _3476_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3401_.Y _3403_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3401_.Y _3475_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3401_.Y _3476_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3402_.X _3403_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3402_.X _3475_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3402_.X _3476_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3403_.Y _3404_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3403_.Y _3411_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3404_.Y _3412_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3404_.Y _3413_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3404_.Y _3533_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3405_.Y _3407_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3405_.Y _3553_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3406_.X _3407_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3406_.X _3553_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3407_.Y _3410_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3408_.X _3409_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3408_.X _3458_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3408_.X _3461_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3408_.X _3469_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3408_.X _3473_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3408_.X _3582_.A1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3408_.X _3980_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3408_.X _4040_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3408_.X _4102_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3408_.X _4170_.A1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3409_.Y _3410_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3409_.Y _3553_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3410_.Y _3412_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3410_.Y _3533_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3411_.X _3412_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3411_.X _3533_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3412_.Y _3413_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3412_.Y _3534_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3412_.Y _3535_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3412_.Y _3552_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3413_.Y _3416_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3413_.Y _3426_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3414_.Y _3416_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3414_.Y _3426_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3415_.X _3416_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3415_.X _3426_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3416_.X _3418_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3416_.X _3419_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3416_.X _3427_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3417_.X _3418_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3417_.X _3419_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3418_.X _3420_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3418_.X _3421_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3419_.X _3420_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3420_.X _3421_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3420_.X _3424_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3421_.X _3456_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3421_.X _3659_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3422_.X _3454_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3422_.X _3455_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3423_.Y _3424_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3424_.X _3453_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3424_.X _3653_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3425_.Y _3451_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3425_.Y _3452_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3426_.Y _3427_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3427_.Y _3450_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3427_.Y _3549_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3427_.Y _3550_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _3428_.X _3448_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3428_.X _3449_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3429_.Y _3448_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3429_.Y _3449_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3430_.Y _3442_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3430_.Y _3443_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3430_.Y _3446_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3431_.X _3442_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3431_.X _3443_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3431_.X _3446_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3432_.X _3441_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3432_.X _3511_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3432_.X _3512_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3433_.X _3439_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3433_.X _3440_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3434_.Y _3439_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3434_.Y _3440_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3435_.Y _3438_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3435_.Y _3484_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3435_.Y _3485_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3436_.Y _3438_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3436_.Y _3484_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3436_.Y _3485_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3437_.X _3438_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3437_.X _3484_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3437_.X _3485_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3438_.Y _3439_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3438_.Y _3440_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3439_.X _3441_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3439_.X _3511_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3439_.X _3512_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3440_.Y _3441_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3440_.Y _3511_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3440_.Y _3512_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _3441_.X _3442_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3441_.X _3443_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3441_.X _3446_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3442_.X _3448_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3442_.X _3449_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3443_.Y _3447_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3443_.Y _3528_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3443_.Y _3529_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3444_.Y _3445_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3445_.Y _3447_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3445_.Y _3528_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3445_.Y _3529_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3446_.X _3447_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3446_.X _3528_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3446_.X _3529_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3447_.X _3448_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3447_.X _3449_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3448_.X _3450_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3448_.X _3549_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3448_.X _3550_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3448_.X ANTENNA__3550__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3448_.X ANTENNA__3549__C.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3448_.X ANTENNA__3450__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3449_.Y _3450_.B1_N (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3449_.Y _3549_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3449_.Y _3550_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3449_.Y ANTENNA__3550__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3449_.Y ANTENNA__3549__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3449_.Y ANTENNA__3450__B1_N.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3450_.Y _3451_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3450_.Y _3452_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3451_.Y _3453_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3451_.Y _3653_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3452_.Y _3453_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3453_.X _3454_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3453_.X _3455_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3454_.Y _3456_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3454_.Y _3659_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3455_.X _3456_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3456_.Y _3457_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3456_.Y _3666_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3457_.X _3667_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3457_.X _5622_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3457_.X _6075_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3458_.X _3474_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3458_.X _3483_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3458_.X _3543_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3459_.X _3461_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3459_.X _3462_.A (0.001:0.001:0.001) (0.000:0.000:0.001))
+    (INTERCONNECT _3459_.X _3582_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3460_.Y _3461_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3460_.Y _3582_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3461_.X _3462_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3461_.X _3583_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3462_.Y _3468_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3462_.Y _3471_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3463_.Y _3467_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3464_.X _3466_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3464_.X _3477_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3465_.X _3466_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3465_.X _3477_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3466_.X _3467_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3467_.Y _3468_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3467_.Y _3471_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3468_.X _3482_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3468_.X _3525_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3469_.X _3472_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3469_.X _3557_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3469_.X _3580_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3469_.X _3584_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3469_.X _3624_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3469_.X _3636_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3469_.X _4110_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3469_.X _4168_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3469_.X _4174_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3469_.X _4209_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3470_.X _3472_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3470_.X _3580_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3470_.X _3674_.B2 (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3470_.X _3687_.A2 (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3470_.X _3688_.B (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3470_.X _4564_.A2 (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _3470_.X _4565_.B (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _3470_.X _4678_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3470_.X _4781_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3470_.X _6215_.A0 (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _3470_.X ANTENNA__6215__A0.DIODE (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT _3470_.X ANTENNA__4781__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3470_.X ANTENNA__4678__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3470_.X ANTENNA__4565__B.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+    (INTERCONNECT _3470_.X ANTENNA__4564__A2.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+    (INTERCONNECT _3470_.X ANTENNA__3688__B.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _3470_.X ANTENNA__3687__A2.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _3470_.X ANTENNA__3674__B2.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3470_.X ANTENNA__3580__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3470_.X ANTENNA__3472__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3471_.Y _3472_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3471_.Y _3581_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3472_.X _3482_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3472_.X _3525_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3473_.Y _3474_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3474_.X _3481_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3474_.X _3531_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3475_.X _3478_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3475_.X _3479_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3476_.Y _3478_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3476_.Y _3479_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3477_.X _3478_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3477_.X _3479_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3478_.X _3480_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3478_.X _3531_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3479_.Y _3480_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3479_.Y _3531_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _3480_.Y _3481_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3481_.Y _3482_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3481_.Y _3525_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3482_.X _3483_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3482_.X _3526_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3482_.X _3543_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3483_.X _3544_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3483_.X _3565_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3483_.X _3566_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3483_.X _3648_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3483_.X _3649_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3483_.X _3657_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3484_.X _3490_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3484_.X _3494_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3485_.Y _3490_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3485_.Y _3494_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3486_.Y _3489_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3486_.Y _3498_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3486_.Y _3499_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3487_.Y _3489_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3487_.Y _3498_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3487_.Y _3499_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3488_.X _3489_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3488_.X _3498_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3488_.X _3499_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3489_.Y _3490_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3489_.Y _3494_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3490_.Y _3495_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3490_.Y _3496_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3490_.Y _3513_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3491_.X _3493_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3491_.X _3515_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3491_.X _3517_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3492_.Y _3493_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3493_.Y _3495_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3493_.Y _3496_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3493_.Y _3513_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3494_.X _3495_.C (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _3494_.X _3496_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _3494_.X _3513_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3495_.Y _3506_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3495_.Y _3509_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3496_.X _3506_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3496_.X _3509_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3497_.Y _3505_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3497_.Y _3569_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3497_.Y _3570_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3498_.X _3503_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3498_.X _3504_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3499_.Y _3503_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3499_.Y _3504_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3500_.X _3502_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3500_.X _3572_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3501_.X _3502_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3501_.X _3572_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3502_.X _3503_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3502_.X _3504_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3503_.Y _3505_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3503_.Y _3569_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3503_.Y _3570_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3504_.X _3505_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3504_.X _3569_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3504_.X _3570_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3505_.Y _3506_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3505_.Y _3509_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3506_.Y _3510_.C (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _3506_.Y _3522_.A1 (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _3506_.Y _3524_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3506_.Y _3567_.B1 (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _3507_.X _3510_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3507_.X _3567_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3507_.X _3568_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3507_.X _3606_.A (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3507_.X _3618_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3507_.X _4714_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3507_.X _4767_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3507_.X _4768_.A (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3507_.X _4803_.A (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3507_.X _4814_.A1 (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3507_.X ANTENNA__4814__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3507_.X ANTENNA__4803__A.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _3507_.X ANTENNA__4768__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3507_.X ANTENNA__4767__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3507_.X ANTENNA__4714__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3507_.X ANTENNA__3618__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3507_.X ANTENNA__3606__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3507_.X ANTENNA__3568__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3507_.X ANTENNA__3567__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3507_.X ANTENNA__3510__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3508_.X _3510_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3508_.X _3567_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3508_.X _3674_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3508_.X _3677_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3508_.X _3678_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3508_.X _4130_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3508_.X _4151_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3508_.X _4256_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3508_.X _5531_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3508_.X _6145_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3509_.X _3510_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3509_.X _3567_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3510_.Y _3522_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _3510_.Y _3524_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3510_.Y _3579_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3510_.Y _3589_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3511_.Y _3514_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3511_.Y _3519_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3512_.X _3514_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3512_.X _3519_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3513_.X _3514_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3513_.X _3519_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3514_.X _3520_.A (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _3514_.X _3521_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3514_.X _3530_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3514_.X _3539_.B1 (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _3515_.X _3518_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3515_.X _3534_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3515_.X _3535_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3515_.X _3552_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3515_.X ANTENNA__3552__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3515_.X ANTENNA__3535__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3515_.X ANTENNA__3534__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3515_.X ANTENNA__3518__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3516_.X _3517_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3516_.X _3684_.B (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _3516_.X _4078_.A1 (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _3516_.X _4258_.C (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3516_.X _4268_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3516_.X _5561_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3516_.X _5612_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3516_.X _5697_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3516_.X _5772_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3516_.X _6147_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3517_.Y _3518_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3518_.X _3520_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3518_.X _3521_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3519_.Y _3520_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3519_.Y _3521_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3520_.Y _3522_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3520_.Y _3524_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3520_.Y _3530_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3520_.Y _3539_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3521_.X _3522_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3521_.X _3524_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3522_.Y _3523_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3522_.Y _3527_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3522_.Y _3591_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3522_.Y ANTENNA__3591__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3522_.Y ANTENNA__3527__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3522_.Y ANTENNA__3523__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3523_.Y _3542_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3523_.Y _3545_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3524_.X _3527_.B (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _3524_.X _3591_.A2 (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _3524_.X ANTENNA__3591__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3524_.X ANTENNA__3527__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3525_.Y _3526_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3526_.Y _3527_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3526_.Y _3591_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3527_.X _3542_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3527_.X _3545_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3527_.X _3592_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3527_.X _3593_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3528_.Y _3530_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3528_.Y _3539_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _3529_.X _3530_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3529_.X _3539_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3530_.X _3540_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3530_.X _3541_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3530_.X _3547_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3530_.X _3548_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3530_.X ANTENNA__3548__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3530_.X ANTENNA__3547__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3530_.X ANTENNA__3541__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3530_.X ANTENNA__3540__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3531_.X _3532_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3532_.Y _3536_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3532_.Y _3537_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3533_.X _3534_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3533_.X _3535_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3533_.X _3552_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3534_.Y _3536_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3534_.Y _3537_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3535_.X _3536_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3535_.X _3537_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3536_.X _3538_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3536_.X _3554_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3536_.X _3555_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3537_.Y _3538_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3538_.X _3540_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3538_.X _3541_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3538_.X _3548_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3539_.Y _3540_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3539_.Y _3541_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3539_.Y _3548_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3539_.Y ANTENNA__3548__C.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3539_.Y ANTENNA__3541__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3539_.Y ANTENNA__3540__C.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3540_.Y _3542_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3540_.Y _3545_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3541_.X _3542_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3541_.X _3545_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3542_.Y _3546_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _3542_.Y _3563_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3542_.Y _3564_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3542_.Y _3595_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3543_.Y _3544_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3544_.X _3546_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3544_.X _3595_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3545_.X _3546_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3545_.X _3595_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3546_.Y _3563_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3546_.Y _3564_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3546_.Y _3596_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3546_.Y _3597_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3547_.Y _3551_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3547_.Y _3560_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3548_.X _3551_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3548_.X _3560_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3549_.X _3551_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3549_.X _3560_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3550_.Y _3551_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3550_.Y _3560_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3551_.X _3561_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3551_.X _3562_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3551_.X _3654_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3552_.X _3554_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3552_.X _3555_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3553_.X _3554_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3553_.X _3555_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3554_.X _3556_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3554_.X _3652_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3555_.X _3556_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3556_.X _3559_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3556_.X _3652_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3557_.X _3558_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3557_.X _3637_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3557_.X _3652_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3557_.X _4058_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3557_.X _4094_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3557_.X _4224_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3557_.X _4225_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3557_.X _5955_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3557_.X _5958_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3557_.X _6137_.A0 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3557_.X ANTENNA__6137__A0.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3557_.X ANTENNA__5958__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3557_.X ANTENNA__5955__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3557_.X ANTENNA__4225__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3557_.X ANTENNA__4224__D.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3557_.X ANTENNA__4094__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3557_.X ANTENNA__4058__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3557_.X ANTENNA__3652__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3557_.X ANTENNA__3637__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3557_.X ANTENNA__3558__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3558_.Y _3559_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3559_.Y _3561_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3559_.Y _3562_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3559_.Y _3654_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3560_.Y _3561_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3560_.Y _3562_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3560_.Y _3654_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3561_.Y _3563_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3561_.Y _3564_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3562_.X _3563_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3562_.X _3564_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3563_.Y _3565_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3563_.Y _3566_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3563_.Y _3648_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3563_.Y _3649_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3563_.Y _3657_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3564_.X _3565_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3564_.X _3566_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3564_.X _3648_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3564_.X _3649_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3564_.X _3657_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3565_.X _3651_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3566_.Y _3651_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3567_.X _3579_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3567_.X _3589_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3568_.X _3578_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3568_.X _3602_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3568_.X _3603_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3569_.X _3576_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _3569_.X _3577_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3570_.Y _3576_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3570_.Y _3577_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3571_.Y _3573_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3572_.X _3573_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3573_.Y _3575_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3573_.Y _3605_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3573_.Y ANTENNA__3605__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3573_.Y ANTENNA__3575__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3574_.X _3575_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3574_.X _3604_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3575_.X _3576_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3575_.X _3577_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3576_.X _3578_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3576_.X _3602_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3576_.X _3603_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3577_.Y _3578_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3577_.Y _3602_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3577_.Y _3603_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3578_.X _3579_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3578_.X _3589_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3579_.X _3590_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3579_.X _3592_.A1 (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _3579_.X _3593_.B1 (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _3579_.X _3600_.A (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _3579_.X _3601_.A1 (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _3579_.X ANTENNA__3601__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3579_.X ANTENNA__3600__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3579_.X ANTENNA__3593__B1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3579_.X ANTENNA__3592__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3579_.X ANTENNA__3590__A.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _3580_.Y _3581_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3581_.Y _3586_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3581_.Y _3587_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3582_.X _3583_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3583_.Y _3585_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3583_.Y _3608_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3584_.X _3585_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3584_.X _3608_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3584_.X _3625_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3585_.X _3586_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3585_.X _3587_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3585_.X _3609_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3586_.X _3588_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3586_.X _3594_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3586_.X _3598_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3587_.Y _3588_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3588_.X _3590_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3588_.X _3600_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3588_.X _3601_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3589_.Y _3590_.C (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3589_.Y _3600_.C (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3589_.Y _3601_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3589_.Y ANTENNA__3601__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3589_.Y ANTENNA__3600__C.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3589_.Y ANTENNA__3590__C.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3590_.Y _3592_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3590_.Y _3593_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3591_.Y _3592_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3591_.Y _3593_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3592_.Y _3594_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3592_.Y _3596_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3592_.Y _3597_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3592_.Y _3598_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3593_.X _3594_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3593_.X _3598_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3594_.Y _3596_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3594_.Y _3597_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3594_.Y _3599_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3594_.Y _3629_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3594_.Y _3643_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3594_.Y _3644_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3595_.X _3596_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3595_.X _3597_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3596_.Y _3613_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3596_.Y _3614_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3596_.Y _3646_.A1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3596_.Y _3650_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3596_.Y _6036_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3597_.X _3613_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3597_.X _3646_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3598_.X _3599_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3598_.X _3629_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3598_.X _3643_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3598_.X _3644_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3599_.X _3613_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3599_.X _3646_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3600_.X _3612_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3600_.X _3615_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3601_.Y _3612_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3601_.Y _3615_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3602_.Y _3607_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3602_.Y _3610_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3603_.X _3607_.A2 (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _3603_.X _3610_.B (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _3604_.Y _3605_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3604_.Y _3622_.C (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _3604_.Y _3634_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3604_.Y ANTENNA__3634__B1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3604_.Y ANTENNA__3622__C.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3604_.Y ANTENNA__3605__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3605_.Y _3606_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3605_.Y _3619_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3606_.X _3607_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3606_.X _3610_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3606_.X _3620_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3607_.X _3611_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3607_.X _3616_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3608_.Y _3609_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3609_.Y _3611_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3609_.Y _3617_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3610_.Y _3611_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3610_.Y _3616_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3611_.X _3612_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3611_.X _3615_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3612_.X _3613_.D_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3612_.X _3628_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3612_.X _3630_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3612_.X _3643_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3612_.X _3644_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3612_.X _3646_.B2 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _3613_.X _3614_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3613_.X _3647_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _3613_.X _6017_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3613_.X _6038_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3614_.X _3651_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3615_.X _3628_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3615_.X _3630_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3616_.Y _3617_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3617_.Y _3628_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3617_.Y _3631_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3617_.Y _5997_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3618_.X _3619_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3618_.X _3622_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3618_.X _3634_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3618_.X _3636_.D (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _3618_.X _4819_.A (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _3618_.X _4827_.A1 (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _3618_.X _4829_.D (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _3618_.X _5953_.B1 (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _3618_.X _5958_.B2 (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3618_.X _6207_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3618_.X ANTENNA__6207__A0.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3618_.X ANTENNA__5958__B2.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3618_.X ANTENNA__5953__B1.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _3618_.X ANTENNA__4829__D.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3618_.X ANTENNA__4827__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3618_.X ANTENNA__4819__A.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _3618_.X ANTENNA__3636__D.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3618_.X ANTENNA__3634__A1.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _3618_.X ANTENNA__3622__A.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _3618_.X ANTENNA__3619__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3619_.Y _3620_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3620_.Y _3623_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3620_.Y _3627_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3620_.Y ANTENNA__3627__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3620_.Y ANTENNA__3623__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3621_.X _3622_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3621_.X _3634_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3621_.X ANTENNA__3634__B2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3621_.X ANTENNA__3622__D.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3622_.X _3623_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3622_.X _3627_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3622_.X _3635_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3623_.Y _3626_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3623_.Y _3632_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3624_.Y _3625_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3625_.X _3626_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3625_.X _3632_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3626_.Y _3627_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3626_.Y _3633_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3627_.X _3628_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3627_.X _3631_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3627_.X _5997_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3628_.X _3629_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3628_.X _3643_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3628_.X _3644_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3628_.X _5998_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3629_.X _3647_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3629_.X _6017_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3630_.X _3642_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3630_.X _5997_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3631_.X _3642_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3631_.X _5983_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3631_.X _5984_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3632_.X _3633_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3633_.Y _3641_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3633_.Y _5974_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3634_.Y _3635_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3635_.Y _3638_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3635_.Y _3640_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3636_.X _3638_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3636_.X _3640_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3636_.X _5957_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3637_.Y _3639_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3637_.Y _5968_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3638_.Y _3639_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3638_.Y _5968_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3639_.Y _3640_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3639_.Y _5969_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3640_.X _3641_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3640_.X _5974_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3641_.X _3642_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3641_.X _5979_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3641_.X _5983_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3641_.X _5984_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3642_.X _3645_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3642_.X _5999_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3642_.X _6009_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3643_.X _3645_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3643_.X _6009_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3644_.Y _3645_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3644_.Y _6009_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3645_.X _3647_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3645_.X _6015_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3645_.X _6017_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3646_.X _3647_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3646_.X _6017_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3647_.Y _3651_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _3647_.Y _6018_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3647_.Y _6038_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3648_.Y _3650_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3648_.Y _6036_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3649_.X _3650_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3649_.X _6036_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3650_.Y _3651_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3650_.Y _6037_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3651_.Y _3665_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3651_.Y _5625_.C_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3651_.Y _6051_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3651_.Y _6052_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3652_.X _3656_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3652_.X _3661_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3653_.Y _3655_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3653_.Y _3660_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3654_.X _3655_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3654_.X _3660_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3655_.Y _3656_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3655_.Y _3661_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3656_.X _3658_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3656_.X _3663_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3656_.X _6065_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3657_.X _3658_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3657_.X _3663_.B2 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _3657_.X _6065_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _3658_.X _3665_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3658_.X _5624_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3658_.X _6051_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3658_.X _6052_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3659_.Y _3662_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3659_.Y _3663_.A1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3659_.Y _3664_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3660_.X _3661_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3661_.Y _3662_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3661_.Y _3663_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3661_.Y _3664_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3662_.X _3665_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3662_.X _5624_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3662_.X _6066_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3663_.X _3664_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3664_.Y _3665_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3664_.Y _5623_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3665_.X _3667_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3665_.X _6075_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3666_.X _3667_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3666_.X _5626_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3667_.X _3720_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3667_.X _3721_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3668_.Y _3669_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3669_.Y _3717_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3669_.Y _5626_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3669_.Y _5627_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3670_.X _3716_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3670_.X _5581_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3671_.Y _3672_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3672_.X _3715_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3672_.X _5580_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3673_.X _3674_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3673_.X _4399_.A1 (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _3673_.X _4553_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3673_.X _4563_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3673_.X _5493_.B (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3673_.X _5494_.B1 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3673_.X _5596_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3673_.X _5598_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3673_.X _5756_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3673_.X _6219_.A0 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3673_.X ANTENNA__6219__A0.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3673_.X ANTENNA__5756__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3673_.X ANTENNA__5598__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3673_.X ANTENNA__5596__B2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3673_.X ANTENNA__5494__B1.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _3673_.X ANTENNA__5493__B.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _3673_.X ANTENNA__4563__B.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _3673_.X ANTENNA__4553__A1.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3673_.X ANTENNA__4399__A1.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3673_.X ANTENNA__3674__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3674_.X _3676_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3674_.X _5582_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3675_.X _3676_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3675_.X _5582_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3676_.X _3677_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3676_.X _3678_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3677_.X _3679_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3677_.X _5582_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3678_.Y _3679_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3679_.Y _3714_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3679_.Y _5616_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3680_.X _3681_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3681_.Y _3713_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3681_.Y _5615_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3682_.Y _3694_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3682_.Y _5607_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3683_.X _3691_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3683_.X _3692_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3683_.X ANTENNA__3692__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3683_.X ANTENNA__3691__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3684_.Y _3690_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3684_.Y _5608_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3685_.X _3687_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3685_.X _5545_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3685_.X _5547_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3685_.X _5596_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3685_.X _5598_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3685_.X _5682_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3685_.X _5756_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3685_.X _5814_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3685_.X _5836_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3685_.X _6151_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3685_.X ANTENNA__6151__A0.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3685_.X ANTENNA__5836__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3685_.X ANTENNA__5814__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3685_.X ANTENNA__5756__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3685_.X ANTENNA__5682__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3685_.X ANTENNA__5598__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3685_.X ANTENNA__5596__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3685_.X ANTENNA__5547__C.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3685_.X ANTENNA__5545__A2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3685_.X ANTENNA__3687__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3686_.X _3687_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3686_.X _3688_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3686_.X _5598_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3687_.Y _3689_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3687_.Y _5608_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3688_.X _3689_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3688_.X _5608_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3689_.Y _3690_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3690_.Y _3691_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3690_.Y _3692_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3691_.X _3693_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3691_.X _5609_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3691_.X _5610_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3692_.Y _3693_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3693_.Y _3694_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3693_.Y _5607_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3694_.Y _3712_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3694_.Y _5605_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3695_.Y _3711_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3695_.Y _5604_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3696_.X _3697_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3696_.X _3700_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3696_.X _3707_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3696_.X _4453_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3696_.X _4575_.A2 (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _3696_.X _4576_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3696_.X _4582_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3696_.X _5481_.B (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _3696_.X _5717_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3696_.X _6182_.A0 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3696_.X ANTENNA__6182__A0.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3696_.X ANTENNA__5717__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3696_.X ANTENNA__5481__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3696_.X ANTENNA__4582__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3696_.X ANTENNA__4576__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3696_.X ANTENNA__4575__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3696_.X ANTENNA__4453__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3696_.X ANTENNA__3707__A1.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _3696_.X ANTENNA__3700__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3696_.X ANTENNA__3697__B.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _3697_.Y _3701_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3697_.Y _5584_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3697_.Y _5591_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3697_.Y _5753_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3697_.Y _5754_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3698_.X _3700_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3698_.X _4280_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3698_.X _5534_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3698_.X _5677_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3698_.X _5751_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3698_.X _5807_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3698_.X _5829_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3698_.X _5893_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3698_.X _5906_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3698_.X _6132_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3699_.X _3700_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3699_.X _4280_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3699_.X _5675_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3699_.X _5749_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3699_.X _5806_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3699_.X _5807_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3699_.X _5829_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3699_.X _5893_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3699_.X _5906_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3699_.X _6134_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3700_.X _3701_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3701_.Y _3710_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3701_.Y _5583_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3702_.X _3703_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3702_.X _4411_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3702_.X _4578_.B1 (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _3702_.X _5714_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3702_.X _5751_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3702_.X _5830_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3702_.X _5854_.C1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3702_.X _5893_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3702_.X _5919_.D (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3702_.X _6186_.A0 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3702_.X ANTENNA__6186__A0.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3702_.X ANTENNA__5919__D.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3702_.X ANTENNA__5893__D.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3702_.X ANTENNA__5854__C1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3702_.X ANTENNA__5830__C1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3702_.X ANTENNA__5751__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3702_.X ANTENNA__5714__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3702_.X ANTENNA__4578__B1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3702_.X ANTENNA__4411__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3702_.X ANTENNA__3703__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3703_.X _3706_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3703_.X _5595_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3704_.Y _3705_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3704_.Y _5586_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3705_.X _3706_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3705_.X _5588_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3705_.X _5589_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3705_.X _5595_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3706_.Y _3708_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3707_.X _3708_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3707_.X _5595_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3708_.X _3709_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3708_.X _5594_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3709_.Y _3710_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3709_.Y _5583_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3710_.Y _3711_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3710_.Y _5604_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3711_.Y _3712_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3711_.Y _5605_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3711_.Y ANTENNA__5605__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3711_.Y ANTENNA__3712__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3712_.Y _3713_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3712_.Y _5615_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3713_.X _3714_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3713_.X _5616_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3714_.Y _3715_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3714_.Y _5580_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3715_.Y _3716_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3715_.Y _5581_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3716_.Y _3717_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3716_.Y _5626_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3716_.Y _5627_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3716_.Y ANTENNA__5627__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3716_.Y ANTENNA__5626__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3716_.Y ANTENNA__3717__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3717_.X _3720_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3717_.X _3721_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3717_.X _5622_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3718_.X _3719_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3718_.X _5745_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3719_.X _3720_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3719_.X _5629_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3719_.X _5850_.B1 (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _3719_.X _5903_.A (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _3719_.X _5959_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3719_.X _5974_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3719_.X _5984_.B1 (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _3719_.X _6044_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3719_.X _6056_.A1 (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _3719_.X _6080_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3719_.X ANTENNA__6080__A1.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _3719_.X ANTENNA__6056__A1.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _3719_.X ANTENNA__6044__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3719_.X ANTENNA__5984__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3719_.X ANTENNA__5974__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3719_.X ANTENNA__5959__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3719_.X ANTENNA__5903__A.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _3719_.X ANTENNA__5850__B1.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _3719_.X ANTENNA__5629__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3719_.X ANTENNA__3720__B1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3720_.Y _3721_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3721_.Y _5422_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3721_.Y ANTENNA__5422__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3722_.Y _3724_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3722_.Y _4873_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3722_.Y _5525_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3722_.Y _5742_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3722_.Y _5996_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3722_.Y _6044_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3722_.Y _6068_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3723_.X _3724_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3723_.X _4871_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3723_.X _5418_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3723_.X _5525_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3723_.X _5742_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3723_.X _5945_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3723_.X _5996_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3723_.X _6018_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3723_.X _6035_.A_N (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3723_.X _6071_.A1 (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _3723_.X ANTENNA__6071__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3723_.X ANTENNA__6035__A_N.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3723_.X ANTENNA__6018__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3723_.X ANTENNA__5996__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3723_.X ANTENNA__5945__A1.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3723_.X ANTENNA__5742__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3723_.X ANTENNA__5525__B.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _3723_.X ANTENNA__5418__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3723_.X ANTENNA__4871__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3723_.X ANTENNA__3724__B.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _3724_.Y _3725_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3724_.Y _5917_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3724_.Y _5967_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3724_.Y _5975_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3724_.Y _6021_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3724_.Y ANTENNA__6021__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3724_.Y ANTENNA__5975__B1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3724_.Y ANTENNA__5967__B1_N.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3724_.Y ANTENNA__5917__A.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _3724_.Y ANTENNA__3725__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3725_.X _4873_.A1 (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _3725_.X _5579_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3725_.X _5705_.A (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3725_.X _5850_.A1 (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3725_.X _5941_.B1_N (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3725_.X _5956_.B (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _3725_.X _5989_.B2 (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _3725_.X _6013_.A2 (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _3725_.X _6055_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3725_.X _6079_.B (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3725_.X ANTENNA__6079__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3725_.X ANTENNA__6055__B1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3725_.X ANTENNA__6013__A2.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3725_.X ANTENNA__5989__B2.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _3725_.X ANTENNA__5956__B.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _3725_.X ANTENNA__5941__B1_N.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3725_.X ANTENNA__5850__A1.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3725_.X ANTENNA__5705__A.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _3725_.X ANTENNA__5579__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3725_.X ANTENNA__4873__A1.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _3726_.X _3787_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3726_.X _3958_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3726_.X _4058_.A (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3726_.X _4094_.A1 (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3726_.X _4256_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3726_.X _5044_.A (0.017:0.017:0.017) (0.017:0.017:0.017))
+    (INTERCONNECT _3726_.X _5093_.A (0.018:0.018:0.018) (0.017:0.017:0.017))
+    (INTERCONNECT _3726_.X _5131_.A (0.017:0.017:0.017) (0.016:0.016:0.016))
+    (INTERCONNECT _3726_.X _5216_.A (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT _3726_.X _5561_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3726_.X ANTENNA__5561__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3726_.X ANTENNA__5216__A.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+    (INTERCONNECT _3726_.X ANTENNA__5131__A.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+    (INTERCONNECT _3726_.X ANTENNA__5093__A.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+    (INTERCONNECT _3726_.X ANTENNA__5044__A.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+    (INTERCONNECT _3726_.X ANTENNA__4256__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3726_.X ANTENNA__4094__A1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3726_.X ANTENNA__4058__A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3726_.X ANTENNA__3958__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3726_.X ANTENNA__3787__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3727_.X _3728_.A (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _3727_.X _3805_.B2 (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _3727_.X _3825_.B2 (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3727_.X _3871_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3727_.X _4876_.A (0.021:0.021:0.021) (0.020:0.020:0.020))
+    (INTERCONNECT _3727_.X _4877_.B2 (0.021:0.021:0.021) (0.020:0.020:0.020))
+    (INTERCONNECT _3727_.X _4965_.A (0.021:0.021:0.021) (0.020:0.020:0.020))
+    (INTERCONNECT _3727_.X _4966_.B2 (0.021:0.021:0.021) (0.020:0.020:0.020))
+    (INTERCONNECT _3727_.X _4988_.A (0.020:0.020:0.020) (0.020:0.020:0.020))
+    (INTERCONNECT _3727_.X _4989_.B2 (0.021:0.021:0.021) (0.021:0.021:0.021))
+    (INTERCONNECT _3727_.X ANTENNA__4989__B2.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022))
+    (INTERCONNECT _3727_.X ANTENNA__4988__A.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022))
+    (INTERCONNECT _3727_.X ANTENNA__4966__B2.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022))
+    (INTERCONNECT _3727_.X ANTENNA__4965__A.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022))
+    (INTERCONNECT _3727_.X ANTENNA__4877__B2.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022))
+    (INTERCONNECT _3727_.X ANTENNA__4876__A.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022))
+    (INTERCONNECT _3727_.X ANTENNA__3871__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3727_.X ANTENNA__3825__B2.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _3727_.X ANTENNA__3805__B2.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3727_.X ANTENNA__3728__A.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _3728_.X _3729_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3728_.X _3965_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3728_.X _4282_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3728_.X _4887_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3728_.X _5058_.B2 (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _3728_.X _5059_.A (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _3728_.X _5104_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3728_.X _5396_.A (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3728_.X _5430_.A (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _3728_.X _5534_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3728_.X ANTENNA__5534__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3728_.X ANTENNA__5430__A.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _3728_.X ANTENNA__5396__A.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _3728_.X ANTENNA__5104__A1.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _3728_.X ANTENNA__5059__A.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _3728_.X ANTENNA__5058__B2.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _3728_.X ANTENNA__4887__A.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
+    (INTERCONNECT _3728_.X ANTENNA__4282__A1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3728_.X ANTENNA__3965__B2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3728_.X ANTENNA__3729__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3729_.X _3742_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3729_.X _3931_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3729_.X _3932_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3729_.X _3966_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3730_.X _3732_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3730_.X _3733_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3731_.Y _3732_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3731_.Y _3733_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3731_.Y _3800_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3732_.Y _3740_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3732_.Y _3741_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3732_.Y _3800_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3733_.X _3740_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3733_.X _3741_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3734_.Y _3739_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3734_.Y _3937_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3735_.X _3737_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3735_.X _3738_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3735_.X _3801_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3735_.X _3802_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3735_.X _3850_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3735_.X _3860_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3735_.X _3862_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3735_.X _3961_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3735_.X _4031_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _3735_.X _4064_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3736_.X _3737_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3736_.X _3738_.D (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3736_.X _3801_.A2 (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _3736_.X _3829_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3736_.X _3850_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3736_.X _3861_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3736_.X _3862_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3736_.X _3961_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3736_.X _4032_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3736_.X _4941_.D (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3736_.X ANTENNA__4941__D.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3736_.X ANTENNA__4032__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3736_.X ANTENNA__3961__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3736_.X ANTENNA__3862__D.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3736_.X ANTENNA__3861__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3736_.X ANTENNA__3850__D.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3736_.X ANTENNA__3829__B1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3736_.X ANTENNA__3801__A2.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _3736_.X ANTENNA__3738__D.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3736_.X ANTENNA__3737__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3737_.Y _3739_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3737_.Y _3936_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3738_.X _3739_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3738_.X _3936_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3739_.Y _3740_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3739_.Y _3741_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3740_.X _3742_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3740_.X _3931_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3740_.X _3932_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3741_.Y _3742_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3741_.Y _3931_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3741_.Y _3932_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3742_.X _3766_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3742_.X _3781_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3742_.X ANTENNA__3781__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3742_.X ANTENNA__3766__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3743_.X _3744_.A2 (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3743_.X _3746_.C (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3743_.X _3751_.A2 (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3743_.X _3770_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3743_.X _3973_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3743_.X _4044_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3743_.X _4045_.A (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _3743_.X _4098_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3743_.X _4172_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3743_.X _4901_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3743_.X ANTENNA__4901__C.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018))
+    (INTERCONNECT _3743_.X ANTENNA__4172__A.DIODE (0.017:0.017:0.017) (0.017:0.017:0.017))
+    (INTERCONNECT _3743_.X ANTENNA__4098__A.DIODE (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT _3743_.X ANTENNA__4045__A.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _3743_.X ANTENNA__4044__B2.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _3743_.X ANTENNA__3973__B2.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _3743_.X ANTENNA__3770__A2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3743_.X ANTENNA__3751__A2.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3743_.X ANTENNA__3746__C.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3743_.X ANTENNA__3744__A2.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3744_.X _3748_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3744_.X _3749_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3744_.X _3797_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3745_.X _3746_.D (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3745_.X _3751_.B1 (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _3745_.X _3770_.B1 (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3745_.X _3793_.B (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _3745_.X _3795_.A2 (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _3745_.X _4044_.A1 (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _3745_.X _4098_.C (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3745_.X _4173_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3745_.X _4901_.D (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3745_.X _4914_.C (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3745_.X ANTENNA__4914__C.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3745_.X ANTENNA__4901__D.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3745_.X ANTENNA__4173__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3745_.X ANTENNA__4098__C.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3745_.X ANTENNA__4044__A1.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3745_.X ANTENNA__3795__A2.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3745_.X ANTENNA__3793__B.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3745_.X ANTENNA__3770__B1.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3745_.X ANTENNA__3751__B1.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3745_.X ANTENNA__3746__D.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3746_.Y _3748_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3746_.Y _3749_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3746_.Y _3797_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3747_.X _3748_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3747_.X _3749_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3747_.X _3797_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3748_.Y _3754_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3748_.Y _3755_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3749_.X _3754_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3749_.X _3755_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3750_.Y _3753_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3750_.Y _3767_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3750_.Y _3768_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3751_.Y _3753_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3751_.Y _3767_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3751_.Y _3768_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3752_.X _3753_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3752_.X _3767_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3752_.X _3768_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3753_.Y _3754_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3753_.Y _3755_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3754_.X _3764_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3754_.X _3765_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3754_.X _3812_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3754_.X _3814_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3754_.X _3900_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3755_.Y _3764_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3755_.Y _3765_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3755_.Y _3812_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3756_.X _3758_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3756_.X _3774_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3756_.X _3775_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3756_.X _3788_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3756_.X _3835_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3756_.X _3836_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3756_.X _3977_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3756_.X _3978_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3756_.X _4037_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3756_.X _5071_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3756_.X ANTENNA__5071__A.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _3756_.X ANTENNA__4037__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3756_.X ANTENNA__3978__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3756_.X ANTENNA__3977__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3756_.X ANTENNA__3836__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3756_.X ANTENNA__3835__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3756_.X ANTENNA__3788__B2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3756_.X ANTENNA__3775__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3756_.X ANTENNA__3774__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3756_.X ANTENNA__3758__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3757_.X _3758_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3757_.X _3774_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3757_.X _3835_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3757_.X _3836_.D (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3757_.X _3977_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3757_.X _4037_.D (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _3757_.X _4039_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3757_.X _4108_.A (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _3757_.X _4890_.D (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3757_.X _5004_.D (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3757_.X ANTENNA__5004__D.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3757_.X ANTENNA__4890__D.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _3757_.X ANTENNA__4108__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3757_.X ANTENNA__4039__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3757_.X ANTENNA__4037__D.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3757_.X ANTENNA__3977__B2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3757_.X ANTENNA__3836__D.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3757_.X ANTENNA__3835__B1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3757_.X ANTENNA__3774__B2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3757_.X ANTENNA__3758__B1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3758_.Y _3760_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3758_.Y _3783_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3759_.X _3760_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3759_.X _3783_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3760_.Y _3763_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3761_.X _3762_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3761_.X _3777_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3761_.X _3791_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3761_.X _3980_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3761_.X _4267_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3761_.X _4892_.A (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT _3761_.X _4909_.A (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT _3761_.X _5006_.A (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _3761_.X _5074_.A (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _3761_.X _5112_.A (0.016:0.016:0.016) (0.015:0.015:0.015))
+    (INTERCONNECT _3761_.X ANTENNA__5112__A.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _3761_.X ANTENNA__5074__A.DIODE (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT _3761_.X ANTENNA__5006__A.DIODE (0.017:0.017:0.017) (0.017:0.017:0.017))
+    (INTERCONNECT _3761_.X ANTENNA__4909__A.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+    (INTERCONNECT _3761_.X ANTENNA__4892__A.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT _3761_.X ANTENNA__4267__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3761_.X ANTENNA__3980__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3761_.X ANTENNA__3791__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3761_.X ANTENNA__3777__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3761_.X ANTENNA__3762__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3762_.Y _3763_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3762_.Y _3783_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3763_.Y _3764_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3763_.Y _3765_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3763_.Y _3812_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3764_.X _3766_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3764_.X _3781_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3765_.Y _3766_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3765_.Y _3781_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3766_.X _3782_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3766_.X _3784_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3766_.X _3785_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3766_.X _3928_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3767_.X _3773_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3767_.X _3779_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3768_.Y _3773_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3768_.Y _3779_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3769_.Y _3772_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3769_.Y _3970_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3769_.Y _3971_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3770_.Y _3772_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3770_.Y _3970_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3770_.Y _3971_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3771_.X _3772_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3771_.X _3970_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3771_.X _3971_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3772_.Y _3773_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3772_.Y _3779_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3773_.Y _3780_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3773_.Y _3782_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3773_.Y _3928_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3773_.Y _3968_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3774_.Y _3776_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3774_.Y _3988_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3775_.X _3776_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3775_.X _3988_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3776_.Y _3778_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3777_.Y _3778_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3777_.Y _3988_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3778_.Y _3780_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3778_.Y _3968_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3779_.X _3780_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3779_.X _3968_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3780_.Y _3782_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3780_.Y _3928_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3780_.Y _3969_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3780_.Y _3985_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3780_.Y _3986_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3781_.Y _3782_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3781_.Y _3928_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3782_.Y _3784_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3782_.Y _3785_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3782_.Y _3929_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3783_.X _3784_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3783_.X _3785_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3784_.X _3786_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3784_.X _3787_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3785_.X _3786_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3786_.X _3787_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3786_.X _3926_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3787_.X _3957_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _3787_.X _4252_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3788_.Y _3790_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3788_.Y _3815_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3789_.X _3790_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3789_.X _3815_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3790_.Y _3792_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3791_.Y _3792_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3791_.Y _3815_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3792_.Y _3799_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3792_.Y _3823_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3793_.Y _3796_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3793_.Y _3822_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3793_.Y _3843_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3794_.Y _3796_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3794_.Y _3822_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3795_.X _3796_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3795_.X _3821_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3796_.X _3798_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3797_.Y _3798_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3797_.Y _3824_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3798_.Y _3799_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3798_.Y _3823_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3799_.Y _3811_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3799_.Y _3813_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3800_.Y _3810_.A1 (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _3800_.Y _3903_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3801_.X _3803_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3801_.X _3906_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3802_.X _3803_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3802_.X _3906_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3803_.Y _3808_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3803_.Y _3809_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3804_.X _3805_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3804_.X _3870_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3804_.X _4282_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3804_.X _4283_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3804_.X _4876_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3804_.X _4877_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3804_.X _4966_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3804_.X _4989_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3804_.X _5057_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3804_.X _5059_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3804_.X ANTENNA__5059__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3804_.X ANTENNA__5057__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3804_.X ANTENNA__4989__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3804_.X ANTENNA__4966__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3804_.X ANTENNA__4877__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3804_.X ANTENNA__4876__B.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _3804_.X ANTENNA__4283__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3804_.X ANTENNA__4282__B2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3804_.X ANTENNA__3870__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3804_.X ANTENNA__3805__A1.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _3805_.Y _3807_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3805_.Y _3809_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3806_.X _3807_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3806_.X _3809_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3806_.X _3834_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3806_.X _3893_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3807_.Y _3808_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3808_.Y _3810_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3808_.Y _3903_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3809_.X _3810_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3810_.X _3811_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3810_.X _3813_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3810_.X ANTENNA__3813__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3810_.X ANTENNA__3811__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3811_.X _3816_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3811_.X _3817_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3812_.Y _3814_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3812_.Y _3900_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3813_.Y _3814_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3813_.Y _3900_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3814_.X _3816_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3814_.X _3817_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3814_.X _3901_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3815_.X _3816_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3815_.X _3817_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3816_.X _3818_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3816_.X _4253_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3817_.X _3818_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3818_.X _3820_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3818_.X _4253_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3819_.Y _3820_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3820_.Y _3924_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3820_.Y _4255_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3821_.Y _3824_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3822_.Y _3824_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3822_.Y _4265_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3823_.Y _3824_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3824_.Y _3848_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3824_.Y _4260_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3825_.Y _3827_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3826_.X _3827_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3826_.X _3875_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3826_.X _3876_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3827_.X _3832_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3827_.X _3833_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3828_.X _3831_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3828_.X _3864_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3828_.X _3881_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3828_.X _3905_.A (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _3828_.X _4278_.A (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _3828_.X _4279_.A (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _3828_.X _4883_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3828_.X _4943_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3828_.X _4979_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3828_.X _5136_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3828_.X ANTENNA__5136__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3828_.X ANTENNA__4979__C.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3828_.X ANTENNA__4943__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3828_.X ANTENNA__4883__C.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3828_.X ANTENNA__4279__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3828_.X ANTENNA__4278__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3828_.X ANTENNA__3905__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3828_.X ANTENNA__3881__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3828_.X ANTENNA__3864__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3828_.X ANTENNA__3831__A1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3829_.X _3831_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3829_.X _3880_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3830_.X _3831_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3830_.X _3880_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3831_.X _3832_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3831_.X _3833_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3832_.X _3845_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3832_.X _3846_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3832_.X ANTENNA__3846__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3832_.X ANTENNA__3845__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3833_.Y _3834_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3833_.Y _3893_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3834_.Y _3845_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3834_.Y _3846_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3834_.Y _3894_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3834_.Y ANTENNA__3894__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3834_.Y ANTENNA__3846__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3834_.Y ANTENNA__3845__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3835_.X _3838_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3835_.X _3839_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3836_.Y _3838_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3836_.Y _3839_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3837_.X _3838_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3837_.X _3839_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3838_.Y _3840_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3839_.X _3840_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3839_.X _4259_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3839_.X _5530_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3840_.Y _3844_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3840_.Y _4265_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3841_.X _3842_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3841_.X _4102_.D (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3841_.X _4104_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3841_.X _4916_.D (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _3841_.X _5008_.B1 (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT _3841_.X _5012_.A2 (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT _3841_.X _5114_.A2_N (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3841_.X _5118_.D (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3841_.X _5193_.D (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3841_.X _5233_.D (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3841_.X ANTENNA__5233__D.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015))
+    (INTERCONNECT _3841_.X ANTENNA__5193__D.DIODE (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT _3841_.X ANTENNA__5118__D.DIODE (0.019:0.019:0.019) (0.019:0.019:0.019))
+    (INTERCONNECT _3841_.X ANTENNA__5114__A2_N.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018))
+    (INTERCONNECT _3841_.X ANTENNA__5012__A2.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _3841_.X ANTENNA__5008__B1.DIODE (0.021:0.021:0.021) (0.021:0.021:0.021))
+    (INTERCONNECT _3841_.X ANTENNA__4916__D.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018))
+    (INTERCONNECT _3841_.X ANTENNA__4104__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3841_.X ANTENNA__4102__D.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3841_.X ANTENNA__3842__A.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _3842_.X _3843_.B (0.014:0.014:0.014) (0.013:0.013:0.013))
+    (INTERCONNECT _3842_.X _4170_.A2_N (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3842_.X _4898_.B (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3842_.X _4902_.A1 (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3842_.X _4912_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3842_.X _5077_.B (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _3842_.X _5190_.A2_N (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3842_.X _5230_.A2_N (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3842_.X _5292_.A2_N (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3842_.X _6195_.A0 (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3842_.X ANTENNA__6195__A0.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _3842_.X ANTENNA__5292__A2_N.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3842_.X ANTENNA__5230__A2_N.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3842_.X ANTENNA__5190__A2_N.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _3842_.X ANTENNA__5077__B.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT _3842_.X ANTENNA__4912__A.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _3842_.X ANTENNA__4902__A1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3842_.X ANTENNA__4898__B.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT _3842_.X ANTENNA__4170__A2_N.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3842_.X ANTENNA__3843__B.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
+    (INTERCONNECT _3843_.X _3844_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3843_.X _4265_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3844_.Y _3845_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3844_.Y _3846_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3845_.Y _3847_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3845_.Y _4260_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3846_.Y _3847_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3846_.Y _4260_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3847_.X _3848_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3848_.X _3899_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3848_.X _4264_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3849_.X _3852_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3849_.X _3853_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _3849_.X _3869_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3850_.Y _3852_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3850_.Y _3853_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3850_.Y _3869_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3851_.X _3852_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3851_.X _3853_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3851_.X _3869_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3852_.X _3858_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3852_.X _3879_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3853_.Y _3858_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3853_.Y _3879_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3854_.X _3857_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3854_.X _3883_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3854_.X _3910_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3854_.X _3940_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3854_.X _4006_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3854_.X _4070_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3854_.X _4120_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3854_.X _4946_.D (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3854_.X _5140_.B (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _3854_.X _5175_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3854_.X ANTENNA__5175__B.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _3854_.X ANTENNA__5140__B.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _3854_.X ANTENNA__4946__D.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3854_.X ANTENNA__4120__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3854_.X ANTENNA__4070__B.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _3854_.X ANTENNA__4006__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3854_.X ANTENNA__3940__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3854_.X ANTENNA__3910__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3854_.X ANTENNA__3883__B1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3854_.X ANTENNA__3857__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3855_.X _3856_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3855_.X _3883_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3855_.X _3887_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3855_.X _3888_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3855_.X _3911_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3855_.X _3941_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3855_.X _4071_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3855_.X _4121_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3855_.X _4122_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3855_.X _5177_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3856_.Y _3857_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3857_.X _3858_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3857_.X _3879_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3858_.X _3866_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3858_.X _3867_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _3858_.X _3895_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3858_.X _3897_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3859_.X _3866_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3859_.X _3867_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3859_.X _3884_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3860_.Y _3863_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3861_.Y _3863_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3861_.Y _4281_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3861_.Y _5533_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3862_.X _3863_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3862_.X _4286_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3863_.Y _3865_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3863_.Y _4286_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3864_.Y _3865_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3865_.Y _3866_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3865_.Y _3867_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3866_.X _3868_.A_N (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _3866_.X _4277_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3867_.X _3868_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3867_.X _4277_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3868_.X _3878_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3869_.X _3874_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3869_.X _4266_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3870_.Y _3873_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3871_.Y _3873_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3871_.Y _4284_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3872_.X _3873_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _3872_.X _4288_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3872_.X _5542_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3873_.X _3874_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3873_.X _4266_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3874_.Y _3875_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3874_.Y _3876_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3875_.Y _3877_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3876_.X _3877_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3876_.X _4273_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3876_.X _4274_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3877_.Y _3878_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3877_.Y _4277_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3878_.X _3898_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3878_.X _4263_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3879_.Y _3895_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3879_.Y _3897_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3880_.X _3882_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3881_.Y _3882_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3882_.Y _3892_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3882_.Y _3904_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3883_.Y _3884_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3884_.X _3890_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3884_.X _3891_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _3885_.X _3889_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3885_.X _3908_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3885_.X _3909_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3886_.X _3887_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3886_.X _3888_.C (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3886_.X _3911_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3886_.X _4007_.B2 (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _3886_.X _4071_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3886_.X _4072_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3886_.X _4121_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3886_.X _4122_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3886_.X _5032_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3886_.X _5177_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3887_.X _3889_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3887_.X _3908_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3887_.X _3909_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3888_.Y _3889_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3888_.Y _3908_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3888_.Y _3909_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3889_.X _3890_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3889_.X _3891_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3890_.Y _3892_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3890_.Y _3904_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3891_.X _3892_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3892_.Y _3896_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3892_.Y _3897_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3893_.X _3894_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3894_.Y _3897_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3894_.Y _3902_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3895_.X _3896_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3896_.Y _3897_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3896_.Y _3902_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3897_.Y _3898_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3897_.Y _4263_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3898_.X _3899_.B (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _3898_.X _4264_.A2 (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _3898_.X ANTENNA__4264__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3898_.X ANTENNA__3899__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3899_.Y _3923_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3899_.Y _4254_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3900_.Y _3901_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3901_.Y _3922_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3901_.Y _3927_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3902_.X _3920_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3902_.X _3921_.B_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3903_.Y _3919_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3903_.Y _3930_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3904_.Y _3917_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3904_.Y _3918_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3905_.Y _3907_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3906_.X _3907_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3907_.Y _3916_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3907_.Y _3934_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3907_.Y _3935_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3908_.Y _3914_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3908_.Y _3915_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3909_.X _3914_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3909_.X _3915_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3910_.Y _3913_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3910_.Y _3938_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3910_.Y _3939_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3911_.Y _3913_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3911_.Y _3938_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3911_.Y _3939_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3912_.X _3913_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3912_.X _3938_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3912_.X _3939_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3913_.Y _3914_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3913_.Y _3915_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3914_.X _3916_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3914_.X _3934_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3914_.X _3935_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3915_.Y _3916_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3915_.Y _3934_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3915_.Y _3935_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _3916_.X _3917_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3916_.X _3918_.B_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3917_.X _3919_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3917_.X _3930_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3918_.X _3919_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3919_.X _3920_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3919_.X _3921_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3920_.Y _3922_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3920_.Y _3927_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3920_.Y ANTENNA__3927__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3920_.Y ANTENNA__3922__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3921_.X _3922_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3921_.X ANTENNA__3922__B1_N.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3922_.Y _3923_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3922_.Y _4254_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3923_.X _3924_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3923_.X _4255_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3924_.Y _3956_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3924_.Y _4251_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3925_.Y _3926_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3926_.Y _3955_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3926_.Y _3993_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3927_.Y _3953_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3927_.Y _3954_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3928_.X _3929_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3929_.Y _3952_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3929_.Y _3996_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3930_.Y _3950_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3930_.Y _3951_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _3931_.X _3933_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3932_.Y _3933_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3933_.X _3949_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3933_.X _3999_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _3933_.X _4000_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3934_.Y _3947_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3934_.Y _3948_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3935_.X _3947_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3935_.X _3948_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3936_.Y _3937_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3937_.Y _3946_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3937_.Y _4001_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3937_.Y _4002_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3938_.X _3944_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3938_.X _3945_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3939_.Y _3944_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3939_.Y _3945_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3940_.Y _3943_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3940_.Y _4004_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3940_.Y _4005_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3941_.Y _3943_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3941_.Y _4004_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3941_.Y _4005_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3942_.X _3943_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3942_.X _4004_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3942_.X _4005_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3943_.Y _3944_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3943_.Y _3945_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3944_.X _3946_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3944_.X _4001_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3944_.X _4002_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3945_.Y _3946_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3945_.Y _4001_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3945_.Y _4002_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3946_.X _3947_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3946_.X _3948_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3947_.Y _3949_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3947_.Y _3999_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3947_.Y _4000_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3948_.X _3949_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3948_.X _3999_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3948_.X _4000_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3949_.X _3950_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3949_.X _3951_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3950_.X _3952_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3950_.X _3996_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3950_.X ANTENNA__3996__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3950_.X ANTENNA__3952__A2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3951_.Y _3952_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3951_.Y ANTENNA__3952__B1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3952_.X _3953_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3952_.X _3954_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3953_.Y _3955_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3953_.Y _3993_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3954_.X _3955_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3955_.Y _3956_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3955_.Y _4251_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3956_.X _3957_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3956_.X _4252_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3957_.Y _4028_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3957_.Y _4250_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3957_.Y _5575_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3958_.X _3992_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3958_.X _4253_.A1 (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3958_.X _4875_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3958_.X _5370_.A (0.026:0.026:0.026) (0.025:0.025:0.025))
+    (INTERCONNECT _3958_.X _5458_.A (0.025:0.025:0.025) (0.024:0.024:0.024))
+    (INTERCONNECT _3958_.X _5655_.B (0.026:0.026:0.026) (0.025:0.025:0.025))
+    (INTERCONNECT _3958_.X _5656_.A2 (0.026:0.026:0.026) (0.025:0.025:0.025))
+    (INTERCONNECT _3958_.X _5691_.A (0.023:0.023:0.023) (0.022:0.022:0.022))
+    (INTERCONNECT _3958_.X _5813_.A (0.023:0.023:0.023) (0.023:0.023:0.023))
+    (INTERCONNECT _3958_.X _5814_.A1 (0.023:0.023:0.023) (0.023:0.023:0.023))
+    (INTERCONNECT _3958_.X ANTENNA__5814__A1.DIODE (0.024:0.024:0.024) (0.023:0.023:0.023))
+    (INTERCONNECT _3958_.X ANTENNA__5813__A.DIODE (0.023:0.023:0.023) (0.023:0.023:0.023))
+    (INTERCONNECT _3958_.X ANTENNA__5691__A.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022))
+    (INTERCONNECT _3958_.X ANTENNA__5656__A2.DIODE (0.029:0.029:0.029) (0.028:0.028:0.028))
+    (INTERCONNECT _3958_.X ANTENNA__5655__B.DIODE (0.029:0.029:0.029) (0.028:0.028:0.028))
+    (INTERCONNECT _3958_.X ANTENNA__5458__A.DIODE (0.029:0.029:0.029) (0.028:0.028:0.028))
+    (INTERCONNECT _3958_.X ANTENNA__5370__A.DIODE (0.029:0.029:0.029) (0.028:0.028:0.028))
+    (INTERCONNECT _3958_.X ANTENNA__4875__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3958_.X ANTENNA__4253__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3958_.X ANTENNA__3992__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3959_.X _3962_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3959_.X _3967_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3959_.X _4015_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3960_.Y _3963_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3960_.Y _4003_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3961_.Y _3962_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3962_.X _3963_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3962_.X _4003_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3963_.Y _3967_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3963_.Y _4015_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3964_.X _3965_.A2 (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3964_.X _4034_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3964_.X _4078_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3964_.X _4128_.A (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _3964_.X _4879_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3964_.X _4887_.D (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3964_.X _4963_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3964_.X _5104_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3964_.X _5183_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3964_.X _5184_.A2 (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _3964_.X ANTENNA__5184__A2.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+    (INTERCONNECT _3964_.X ANTENNA__5183__B.DIODE (0.017:0.017:0.017) (0.017:0.017:0.017))
+    (INTERCONNECT _3964_.X ANTENNA__5104__B1.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+    (INTERCONNECT _3964_.X ANTENNA__4963__A2.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+    (INTERCONNECT _3964_.X ANTENNA__4887__D.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+    (INTERCONNECT _3964_.X ANTENNA__4879__B.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+    (INTERCONNECT _3964_.X ANTENNA__4128__A.DIODE (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT _3964_.X ANTENNA__4078__A2.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3964_.X ANTENNA__4034__B.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3964_.X ANTENNA__3965__A2.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3965_.Y _3966_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3966_.Y _3967_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3966_.Y _4015_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3967_.X _3969_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3967_.X _3985_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3967_.X _3986_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3967_.X _4016_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3967_.X ANTENNA__4016__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3967_.X ANTENNA__3986__B1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3967_.X ANTENNA__3985__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3967_.X ANTENNA__3969__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3968_.X _3969_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3968_.X _3985_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3968_.X _3986_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3969_.X _3989_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3969_.X _3990_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3970_.X _3976_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3970_.X _3982_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3971_.Y _3976_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3971_.Y _3982_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3972_.Y _3975_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3972_.Y _4042_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3972_.Y _4043_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3973_.Y _3975_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3973_.Y _4042_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3973_.Y _4043_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3974_.X _3975_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3974_.X _4042_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3974_.X _4043_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3975_.Y _3976_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3975_.Y _3982_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3976_.Y _3983_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3976_.Y _3984_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3976_.Y _4035_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3977_.Y _3979_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3977_.Y _4054_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3978_.X _3979_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3978_.X _4054_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3979_.Y _3981_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3980_.Y _3981_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3980_.Y _4054_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3981_.Y _3983_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3981_.Y _4035_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3982_.X _3983_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3982_.X _4035_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3983_.Y _3984_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3983_.Y _4036_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3983_.Y _4051_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3983_.Y _4052_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3984_.Y _3987_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3984_.Y _3997_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3985_.Y _3987_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3985_.Y _3997_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3986_.X _3987_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3986_.X _3997_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3987_.X _3989_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3987_.X _3990_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3987_.X _3998_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3988_.X _3989_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3988_.X _3990_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3989_.X _3991_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3989_.X _3992_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3990_.X _3991_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3991_.X _3992_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3991_.X _3995_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3992_.X _4027_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3992_.X _4029_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3993_.X _4025_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3993_.X _4026_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3994_.Y _3995_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3995_.X _4024_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3995_.X _4030_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3996_.Y _4022_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3996_.Y _4023_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3997_.Y _3998_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3998_.Y _4021_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3998_.Y _4060_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3998_.Y _4061_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3999_.X _4019_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3999_.X _4020_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4000_.Y _4019_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4000_.Y _4020_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4001_.Y _4013_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4001_.Y _4014_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4001_.Y _4017_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4002_.X _4013_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4002_.X _4014_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4002_.X _4017_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4003_.X _4012_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4003_.X _4062_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4003_.X _4063_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4004_.X _4010_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4004_.X _4011_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4005_.Y _4010_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4005_.Y _4011_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4006_.Y _4009_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4006_.Y _4068_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4006_.Y _4069_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4007_.Y _4009_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4007_.Y _4068_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4007_.Y _4069_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4008_.X _4009_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4008_.X _4068_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4008_.X _4069_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4009_.Y _4010_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4009_.Y _4011_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4010_.X _4012_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4010_.X _4062_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4010_.X _4063_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4011_.Y _4012_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4011_.Y _4062_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4011_.Y _4063_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4012_.X _4013_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4012_.X _4014_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4012_.X _4017_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4013_.X _4019_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4013_.X _4020_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4014_.Y _4018_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4014_.Y _4082_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4014_.Y _4083_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4015_.Y _4016_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4016_.Y _4018_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4016_.Y _4082_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4016_.Y _4083_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4017_.X _4018_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4017_.X _4082_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4017_.X _4083_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4018_.X _4019_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4018_.X _4020_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4019_.X _4021_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4019_.X _4060_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4019_.X _4061_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4019_.X ANTENNA__4061__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4019_.X ANTENNA__4060__C.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4019_.X ANTENNA__4021__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4020_.Y _4021_.B1_N (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4020_.Y _4060_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4020_.Y _4061_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4020_.Y ANTENNA__4061__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4020_.Y ANTENNA__4060__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4020_.Y ANTENNA__4021__B1_N.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4021_.Y _4022_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4021_.Y _4023_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4022_.Y _4024_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4022_.Y _4030_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4023_.Y _4024_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4024_.X _4025_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4024_.X _4026_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4025_.Y _4027_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4025_.Y _4029_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4026_.X _4027_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4027_.Y _4028_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4027_.Y _4250_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4027_.Y _5575_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4028_.Y _4249_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4028_.Y _5571_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4028_.Y _6078_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4029_.Y _4097_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4029_.Y _4247_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4030_.Y _4093_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4030_.Y _4095_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4031_.X _4033_.C (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _4031_.X _4881_.C (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4031_.X _4882_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4031_.X _4940_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4031_.X _4941_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4031_.X _4951_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4031_.X _4952_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4031_.X _4975_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4031_.X _4976_.C (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4031_.X _5101_.C (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4031_.X ANTENNA__5101__C.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4031_.X ANTENNA__4976__C.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4031_.X ANTENNA__4975__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4031_.X ANTENNA__4952__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4031_.X ANTENNA__4951__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4031_.X ANTENNA__4941__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4031_.X ANTENNA__4940__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4031_.X ANTENNA__4882__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4031_.X ANTENNA__4881__C.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4031_.X ANTENNA__4033__C.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4032_.X _4033_.D (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4032_.X _4065_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4032_.X _4881_.D (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4032_.X _4882_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4032_.X _4940_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4032_.X _4951_.B2 (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _4032_.X _4952_.D (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4032_.X _4975_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4032_.X _4976_.D (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4032_.X _5101_.D (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4032_.X ANTENNA__5101__D.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4032_.X ANTENNA__4976__D.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4032_.X ANTENNA__4975__B1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4032_.X ANTENNA__4952__D.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4032_.X ANTENNA__4951__B2.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4032_.X ANTENNA__4940__B1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4032_.X ANTENNA__4882__B1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4032_.X ANTENNA__4881__D.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4032_.X ANTENNA__4065__A.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _4032_.X ANTENNA__4033__D.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _4033_.X _4034_.C (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4033_.X _4067_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4033_.X _4078_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4033_.X ANTENNA__4078__B1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4033_.X ANTENNA__4067__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4033_.X ANTENNA__4034__C.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4034_.X _4036_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4034_.X _4051_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4034_.X _4052_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4034_.X _4079_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4034_.X ANTENNA__4079__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4034_.X ANTENNA__4052__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4034_.X ANTENNA__4051__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4034_.X ANTENNA__4036__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4035_.X _4036_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4035_.X _4051_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4035_.X _4052_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4036_.X _4055_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4036_.X _4056_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4037_.X _4041_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4037_.X _4114_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4037_.X _4141_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4037_.X ANTENNA__4141__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4037_.X ANTENNA__4114__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4037_.X ANTENNA__4041__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4038_.X _4040_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4038_.X _4257_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4038_.X _4889_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4038_.X _4890_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4038_.X _4907_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4038_.X _5003_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4038_.X _5004_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4038_.X _5070_.A1 (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _4038_.X _5109_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4038_.X _5110_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4038_.X ANTENNA__5110__A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4038_.X ANTENNA__5109__A1.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _4038_.X ANTENNA__5070__A1.DIODE (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT _4038_.X ANTENNA__5004__A.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015))
+    (INTERCONNECT _4038_.X ANTENNA__5003__A1.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT _4038_.X ANTENNA__4907__A.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _4038_.X ANTENNA__4890__A.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _4038_.X ANTENNA__4889__A1.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _4038_.X ANTENNA__4257__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4038_.X ANTENNA__4040__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4039_.X _4040_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4039_.X _4270_.D (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4039_.X _4889_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4039_.X _4907_.D (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4039_.X _5003_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4039_.X _5070_.B1 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4039_.X _5072_.B (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4039_.X _5109_.B2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4039_.X _5110_.D (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4039_.X _5199_.D (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4039_.X ANTENNA__5199__D.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4039_.X ANTENNA__5110__D.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4039_.X ANTENNA__5109__B2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4039_.X ANTENNA__5072__B.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _4039_.X ANTENNA__5070__B1.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _4039_.X ANTENNA__5003__B1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4039_.X ANTENNA__4907__D.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4039_.X ANTENNA__4889__B2.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _4039_.X ANTENNA__4270__D.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4039_.X ANTENNA__4040__B2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4040_.Y _4041_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4041_.X _4049_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4041_.X _4112_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4042_.X _4047_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4042_.X _4048_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4043_.Y _4047_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4043_.Y _4048_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4044_.X _4046_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4044_.X _4105_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4045_.X _4046_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4045_.X _4105_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4046_.X _4047_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4046_.X _4048_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4047_.Y _4049_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4047_.Y _4111_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4048_.X _4049_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4048_.X _4111_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4049_.X _4050_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4050_.Y _4053_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4050_.Y _4086_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4051_.Y _4053_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4051_.Y _4086_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4052_.X _4053_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4052_.X _4086_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4053_.X _4055_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4053_.X _4056_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4053_.X _4087_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4054_.X _4055_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4054_.X _4056_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4055_.X _4057_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4055_.X _4094_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4056_.X _4057_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4057_.X _4059_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4057_.X _4094_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4058_.Y _4059_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4059_.Y _4092_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4059_.Y _4145_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4059_.Y _4146_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4060_.X _4090_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4060_.X _4091_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4061_.Y _4090_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4061_.Y _4091_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4062_.Y _4077_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4062_.Y _4080_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4063_.X _4077_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4063_.X _4080_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4064_.X _4066_.A2 (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _4064_.X _4117_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4064_.X _4987_.B (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _4064_.X _5048_.B (0.014:0.014:0.014) (0.013:0.013:0.013))
+    (INTERCONNECT _4064_.X _5050_.C (0.014:0.014:0.014) (0.013:0.013:0.013))
+    (INTERCONNECT _4064_.X _5100_.A2 (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT _4064_.X _5170_.C (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4064_.X _5171_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4064_.X _5246_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4064_.X _6161_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4064_.X ANTENNA__6161__A1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4064_.X ANTENNA__5246__B.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _4064_.X ANTENNA__5171__A2.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _4064_.X ANTENNA__5170__C.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _4064_.X ANTENNA__5100__A2.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _4064_.X ANTENNA__5050__C.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _4064_.X ANTENNA__5048__B.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _4064_.X ANTENNA__4987__B.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _4064_.X ANTENNA__4117__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4064_.X ANTENNA__4066__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4065_.X _4066_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4065_.X _4280_.B1 (0.020:0.020:0.020) (0.019:0.019:0.019))
+    (INTERCONNECT _4065_.X _4987_.D (0.016:0.016:0.016) (0.015:0.015:0.015))
+    (INTERCONNECT _4065_.X _5049_.B (0.018:0.018:0.018) (0.018:0.018:0.018))
+    (INTERCONNECT _4065_.X _5050_.D (0.017:0.017:0.017) (0.017:0.017:0.017))
+    (INTERCONNECT _4065_.X _5100_.B1 (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _4065_.X _5170_.D (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _4065_.X _5171_.B1 (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _4065_.X _5394_.B1 (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT _4065_.X _6163_.A1 (0.020:0.020:0.020) (0.019:0.019:0.019))
+    (INTERCONNECT _4065_.X ANTENNA__6163__A1.DIODE (0.020:0.020:0.020) (0.020:0.020:0.020))
+    (INTERCONNECT _4065_.X ANTENNA__5394__B1.DIODE (0.020:0.020:0.020) (0.020:0.020:0.020))
+    (INTERCONNECT _4065_.X ANTENNA__5171__B1.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019))
+    (INTERCONNECT _4065_.X ANTENNA__5170__D.DIODE (0.020:0.020:0.020) (0.020:0.020:0.020))
+    (INTERCONNECT _4065_.X ANTENNA__5100__B1.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019))
+    (INTERCONNECT _4065_.X ANTENNA__5050__D.DIODE (0.020:0.020:0.020) (0.020:0.020:0.020))
+    (INTERCONNECT _4065_.X ANTENNA__5049__B.DIODE (0.020:0.020:0.020) (0.020:0.020:0.020))
+    (INTERCONNECT _4065_.X ANTENNA__4987__D.DIODE (0.020:0.020:0.020) (0.020:0.020:0.020))
+    (INTERCONNECT _4065_.X ANTENNA__4280__B1.DIODE (0.020:0.020:0.020) (0.020:0.020:0.020))
+    (INTERCONNECT _4065_.X ANTENNA__4066__B1.DIODE (0.020:0.020:0.020) (0.020:0.020:0.020))
+    (INTERCONNECT _4066_.Y _4067_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4067_.Y _4076_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4067_.Y _4115_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4067_.Y _4116_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4068_.X _4074_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4068_.X _4075_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4069_.Y _4074_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4069_.Y _4075_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4070_.Y _4073_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4070_.Y _4118_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4070_.Y _4119_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4071_.Y _4073_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4071_.Y _4118_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4071_.Y _4119_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4072_.X _4073_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4072_.X _4118_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4072_.X _4119_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4073_.Y _4074_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4073_.Y _4075_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4074_.X _4076_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4074_.X _4115_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4074_.X _4116_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4075_.Y _4076_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4075_.Y _4115_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4075_.Y _4116_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4076_.X _4077_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4076_.X _4080_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4077_.X _4081_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4077_.X _4084_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4077_.X _4088_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4077_.X _4131_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4078_.Y _4079_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4079_.X _4081_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4079_.X _4131_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4080_.Y _4081_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4080_.Y _4131_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4081_.Y _4084_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4081_.Y _4088_.C1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _4081_.Y _4132_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4081_.Y _4134_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4082_.Y _4084_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4082_.Y _4088_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4083_.X _4084_.C1 (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _4083_.X _4088_.A2 (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _4084_.X _4085_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4084_.X _4089_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4084_.X _4138_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4084_.X _4139_.A1 (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _4084_.X ANTENNA__4139__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4084_.X ANTENNA__4138__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4084_.X ANTENNA__4089__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4084_.X ANTENNA__4085__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4085_.Y _4090_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4085_.Y _4091_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4086_.Y _4087_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4087_.X _4089_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4087_.X _4138_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4087_.X _4139_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4088_.Y _4089_.C (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _4088_.Y _4138_.C (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _4088_.Y _4139_.A2 (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _4088_.Y ANTENNA__4139__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4088_.Y ANTENNA__4138__C.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4088_.Y ANTENNA__4089__C.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4089_.X _4090_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4089_.X _4091_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4090_.Y _4092_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4090_.Y _4145_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4090_.Y _4146_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4091_.X _4092_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4091_.X _4145_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4091_.X _4146_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4092_.X _4093_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4092_.X _4095_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4093_.X _4097_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4093_.X _4247_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4094_.X _4096_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4094_.X _4241_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4095_.Y _4096_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4095_.Y _4241_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4096_.Y _4097_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4096_.Y _4242_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4096_.Y _4247_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4097_.X _4249_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4097_.X _5572_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4097_.X _5573_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4097_.X _6078_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4098_.X _4102_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4098_.X _4103_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4098_.X _4170_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4099_.X _4101_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4099_.X _4895_.C (0.017:0.017:0.017) (0.016:0.016:0.016))
+    (INTERCONNECT _4099_.X _4896_.B1 (0.018:0.018:0.018) (0.018:0.018:0.018))
+    (INTERCONNECT _4099_.X _4900_.B1 (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT _4099_.X _5008_.A2 (0.018:0.018:0.018) (0.018:0.018:0.018))
+    (INTERCONNECT _4099_.X _5009_.C (0.018:0.018:0.018) (0.018:0.018:0.018))
+    (INTERCONNECT _4099_.X _5011_.D (0.017:0.017:0.017) (0.017:0.017:0.017))
+    (INTERCONNECT _4099_.X _5116_.B (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _4099_.X _5191_.C (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _4099_.X _5231_.D (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _4099_.X ANTENNA__5231__D.DIODE (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT _4099_.X ANTENNA__5191__C.DIODE (0.020:0.020:0.020) (0.020:0.020:0.020))
+    (INTERCONNECT _4099_.X ANTENNA__5116__B.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019))
+    (INTERCONNECT _4099_.X ANTENNA__5011__D.DIODE (0.021:0.021:0.021) (0.021:0.021:0.021))
+    (INTERCONNECT _4099_.X ANTENNA__5009__C.DIODE (0.021:0.021:0.021) (0.021:0.021:0.021))
+    (INTERCONNECT _4099_.X ANTENNA__5008__A2.DIODE (0.021:0.021:0.021) (0.021:0.021:0.021))
+    (INTERCONNECT _4099_.X ANTENNA__4900__B1.DIODE (0.021:0.021:0.021) (0.021:0.021:0.021))
+    (INTERCONNECT _4099_.X ANTENNA__4896__B1.DIODE (0.021:0.021:0.021) (0.021:0.021:0.021))
+    (INTERCONNECT _4099_.X ANTENNA__4895__C.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _4099_.X ANTENNA__4101__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4100_.X _4101_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4100_.X _4895_.B (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _4100_.X _4896_.A2 (0.015:0.015:0.015) (0.014:0.014:0.014))
+    (INTERCONNECT _4100_.X _4900_.A2 (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _4100_.X _4914_.B (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _4100_.X _4915_.A2 (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _4100_.X _5011_.C (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT _4100_.X _5116_.A (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _4100_.X _5191_.A (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _4100_.X _5231_.B (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _4100_.X ANTENNA__5231__B.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _4100_.X ANTENNA__5191__A.DIODE (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT _4100_.X ANTENNA__5116__A.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018))
+    (INTERCONNECT _4100_.X ANTENNA__5011__C.DIODE (0.021:0.021:0.021) (0.021:0.021:0.021))
+    (INTERCONNECT _4100_.X ANTENNA__4915__A2.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+    (INTERCONNECT _4100_.X ANTENNA__4914__B.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018))
+    (INTERCONNECT _4100_.X ANTENNA__4900__A2.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019))
+    (INTERCONNECT _4100_.X ANTENNA__4896__A2.DIODE (0.021:0.021:0.021) (0.021:0.021:0.021))
+    (INTERCONNECT _4100_.X ANTENNA__4895__B.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _4100_.X ANTENNA__4101__B2.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4101_.Y _4102_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4101_.Y _4170_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4102_.X _4103_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4102_.X _4171_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4103_.Y _4107_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4103_.Y _4109_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4104_.Y _4106_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4105_.X _4106_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4106_.Y _4107_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4106_.Y _4109_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4107_.X _4113_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4107_.X _4135_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4108_.X _4110_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4108_.X _4168_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4108_.X _4258_.D (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4108_.X _4269_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4108_.X _4906_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4108_.X _5198_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4108_.X _5236_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4108_.X _5382_.A2 (0.015:0.015:0.015) (0.014:0.014:0.014))
+    (INTERCONNECT _4108_.X _5383_.B (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT _4108_.X _6197_.A0 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4108_.X ANTENNA__6197__A0.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4108_.X ANTENNA__5383__B.DIODE (0.017:0.017:0.017) (0.017:0.017:0.017))
+    (INTERCONNECT _4108_.X ANTENNA__5382__A2.DIODE (0.017:0.017:0.017) (0.017:0.017:0.017))
+    (INTERCONNECT _4108_.X ANTENNA__5236__B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4108_.X ANTENNA__5198__B2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4108_.X ANTENNA__4906__B2.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _4108_.X ANTENNA__4269__B1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4108_.X ANTENNA__4258__D.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4108_.X ANTENNA__4168__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4108_.X ANTENNA__4110__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4109_.Y _4110_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4109_.Y _4169_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4110_.X _4113_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4110_.X _4135_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4111_.Y _4112_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4112_.Y _4113_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4112_.Y _4135_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4113_.X _4114_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4113_.X _4136_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4113_.X _4141_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4114_.X _4142_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4114_.X _4149_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4114_.X _4150_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4115_.Y _4127_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4115_.Y _4129_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4116_.X _4127_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4116_.X _4129_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4117_.Y _4126_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4117_.Y _4153_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4117_.Y _4154_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4118_.X _4124_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4118_.X _4125_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4119_.Y _4124_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4119_.Y _4125_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4120_.X _4123_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4120_.X _4155_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4120_.X _4931_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4120_.X _4935_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4120_.X _4947_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4120_.X _4982_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4120_.X _5030_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4120_.X _5251_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4120_.X _5279_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4120_.X _6159_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4120_.X ANTENNA__6159__A1.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4120_.X ANTENNA__5279__B.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _4120_.X ANTENNA__5251__A2.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _4120_.X ANTENNA__5030__A.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _4120_.X ANTENNA__4982__B.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _4120_.X ANTENNA__4947__B1.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _4120_.X ANTENNA__4935__A.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _4120_.X ANTENNA__4931__B.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _4120_.X ANTENNA__4155__B.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4120_.X ANTENNA__4123__A2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4121_.X _4123_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4121_.X _4156_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4122_.X _4123_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4122_.X _4156_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4123_.X _4124_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4123_.X _4125_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4124_.Y _4126_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4124_.Y _4153_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4124_.Y _4154_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4125_.X _4126_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4125_.X _4153_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4125_.X _4154_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4126_.Y _4127_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4126_.Y _4129_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4127_.Y _4130_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4127_.Y _4132_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4127_.Y _4134_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4127_.Y _4151_.B1 (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _4128_.X _4130_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4128_.X _4151_.A1 (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _4128_.X _4152_.A (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4128_.X _4194_.A (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4128_.X _4204_.A (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4128_.X _4207_.A (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4128_.X _4221_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4128_.X _5276_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4128_.X _5285_.A (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _4128_.X _5323_.A (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _4128_.X ANTENNA__5323__A.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _4128_.X ANTENNA__5285__A.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _4128_.X ANTENNA__5276__A1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4128_.X ANTENNA__4221__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4128_.X ANTENNA__4207__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4128_.X ANTENNA__4204__A.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _4128_.X ANTENNA__4194__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4128_.X ANTENNA__4152__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4128_.X ANTENNA__4151__A1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4128_.X ANTENNA__4130__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4129_.X _4130_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4129_.X _4151_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4130_.Y _4132_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4130_.Y _4134_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4130_.Y _4167_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4130_.Y _4179_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4130_.Y ANTENNA__4179__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4130_.Y ANTENNA__4167__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4130_.Y ANTENNA__4134__C1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4130_.Y ANTENNA__4132__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4131_.X _4132_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4131_.X _4134_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4132_.Y _4133_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4132_.Y _4137_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4132_.Y _4181_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4132_.Y ANTENNA__4181__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4132_.Y ANTENNA__4137__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4132_.Y ANTENNA__4133__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4133_.Y _4140_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4133_.Y _4143_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4134_.X _4137_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4134_.X _4181_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4134_.X ANTENNA__4181__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4134_.X ANTENNA__4137__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4135_.Y _4136_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4136_.Y _4137_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4136_.Y _4181_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4137_.X _4140_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4137_.X _4143_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4137_.X _4182_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4137_.X _4183_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4138_.Y _4140_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4138_.Y _4143_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4139_.X _4140_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4139_.X _4143_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4140_.Y _4144_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4140_.Y _4147_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4140_.Y _4148_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4140_.Y _4185_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4141_.Y _4142_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4142_.X _4144_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4142_.X _4185_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4143_.X _4144_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4143_.X _4185_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4144_.Y _4147_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4144_.Y _4148_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4144_.Y _4186_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4144_.Y _4235_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4145_.Y _4147_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4145_.Y _4148_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4146_.X _4147_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4146_.X _4148_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4147_.Y _4149_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4147_.Y _4150_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4147_.Y _4243_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4148_.X _4149_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4148_.X _4150_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4149_.Y _4187_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4149_.Y _4239_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4149_.Y _4243_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4149_.Y _6031_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4150_.X _4187_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4150_.X _4239_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4150_.X _6031_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4151_.X _4167_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4151_.X _4179_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4151_.X ANTENNA__4179__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4151_.X ANTENNA__4167__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4152_.X _4166_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4152_.X _4190_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4152_.X _4191_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4153_.X _4164_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4153_.X _4165_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4154_.Y _4164_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4154_.Y _4165_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4155_.Y _4157_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4156_.X _4157_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4157_.Y _4163_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4157_.Y _4193_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4157_.Y ANTENNA__4193__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4157_.Y ANTENNA__4163__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4158_.X _4159_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4158_.X _4930_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4158_.X _4934_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4158_.X _4936_.C (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4158_.X _5031_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4158_.X _5141_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4158_.X _5142_.A (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _4158_.X _5176_.B2 (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _4158_.X _5249_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4158_.X _5250_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4159_.X _4162_.A (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _4159_.X _4206_.B2 (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _4159_.X _4224_.A (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT _4159_.X _4929_.C (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4159_.X _5282_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4159_.X _5325_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4159_.X _5339_.A (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT _4159_.X _5955_.A1 (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT _4159_.X _5960_.A1 (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT _4159_.X _6155_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4159_.X ANTENNA__6155__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4159_.X ANTENNA__5960__A1.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+    (INTERCONNECT _4159_.X ANTENNA__5955__A1.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _4159_.X ANTENNA__5339__A.DIODE (0.017:0.017:0.017) (0.017:0.017:0.017))
+    (INTERCONNECT _4159_.X ANTENNA__5325__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4159_.X ANTENNA__5282__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4159_.X ANTENNA__4929__C.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4159_.X ANTENNA__4224__A.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT _4159_.X ANTENNA__4206__B2.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _4159_.X ANTENNA__4162__A.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _4160_.X _4161_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4160_.X _4936_.D (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4160_.X _4946_.C (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4160_.X _5031_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4160_.X _5032_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4160_.X _5141_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4160_.X _5142_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4160_.X _5176_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4160_.X _5249_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4160_.X _5250_.C (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4161_.X _4162_.C (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4161_.X _4206_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4161_.X _4929_.D (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4161_.X _4930_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4161_.X _4934_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4161_.X _4947_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4161_.X _4981_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4161_.X _5282_.D (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4161_.X _5325_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4161_.X _6157_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4161_.X ANTENNA__6157__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4161_.X ANTENNA__5325__B1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4161_.X ANTENNA__5282__D.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4161_.X ANTENNA__4981__B.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _4161_.X ANTENNA__4947__A2.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _4161_.X ANTENNA__4934__B1.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _4161_.X ANTENNA__4930__B1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4161_.X ANTENNA__4929__D.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _4161_.X ANTENNA__4206__A2.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _4161_.X ANTENNA__4162__C.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4162_.X _4163_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4162_.X _4192_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4162_.X ANTENNA__4192__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4162_.X ANTENNA__4163__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4163_.X _4164_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4163_.X _4165_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4164_.X _4166_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4164_.X _4190_.C (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _4164_.X _4191_.A2 (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _4164_.X ANTENNA__4191__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4164_.X ANTENNA__4190__C.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4164_.X ANTENNA__4166__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4165_.Y _4166_.B1_N (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4165_.Y _4190_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4165_.Y _4191_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4165_.Y ANTENNA__4191__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4165_.Y ANTENNA__4190__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4165_.Y ANTENNA__4166__B1_N.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4166_.X _4167_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4166_.X _4179_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4167_.X _4180_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4167_.X _4182_.A1 (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _4167_.X _4183_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4167_.X _4188_.A (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _4167_.X _4189_.A1 (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _4167_.X ANTENNA__4189__A1.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _4167_.X ANTENNA__4188__A.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _4167_.X ANTENNA__4183__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4167_.X ANTENNA__4182__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4167_.X ANTENNA__4180__A.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _4168_.Y _4169_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4169_.Y _4176_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4169_.Y _4177_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4170_.X _4171_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4171_.Y _4175_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4171_.Y _4196_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4171_.Y ANTENNA__4196__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4171_.Y ANTENNA__4175__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4172_.X _4174_.B (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _4172_.X _4209_.A1 (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _4172_.X _4225_.B (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _4172_.X _5117_.B2 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4172_.X _5192_.B2 (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4172_.X _5232_.A1 (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _4172_.X _5294_.C (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _4172_.X _5329_.A2 (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _4172_.X _5340_.B (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _4172_.X _6191_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4172_.X ANTENNA__6191__A0.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4172_.X ANTENNA__5340__B.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _4172_.X ANTENNA__5329__A2.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _4172_.X ANTENNA__5294__C.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _4172_.X ANTENNA__5232__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4172_.X ANTENNA__5192__B2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4172_.X ANTENNA__5117__B2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4172_.X ANTENNA__4225__B.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _4172_.X ANTENNA__4209__A1.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _4172_.X ANTENNA__4174__B.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _4173_.X _4174_.D (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4173_.X _4209_.B1 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4173_.X _4915_.B1 (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _4173_.X _5076_.B (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _4173_.X _5117_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4173_.X _5192_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4173_.X _5232_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4173_.X _5294_.D (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4173_.X _5329_.B1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4173_.X _6193_.A0 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4173_.X ANTENNA__6193__A0.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+    (INTERCONNECT _4173_.X ANTENNA__5329__B1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4173_.X ANTENNA__5294__D.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4173_.X ANTENNA__5232__B1.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _4173_.X ANTENNA__5192__A2.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _4173_.X ANTENNA__5117__A1.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _4173_.X ANTENNA__5076__B.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+    (INTERCONNECT _4173_.X ANTENNA__4915__B1.DIODE (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT _4173_.X ANTENNA__4209__B1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4173_.X ANTENNA__4174__D.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4174_.X _4175_.B (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _4174_.X _4196_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4174_.X _4210_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4175_.X _4176_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4175_.X _4177_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4175_.X _4197_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4176_.X _4178_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4176_.X _4184_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4176_.X _4215_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4177_.Y _4178_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4178_.X _4180_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4178_.X _4188_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4178_.X _4189_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4179_.Y _4180_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4179_.Y _4188_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4179_.Y _4189_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4179_.Y ANTENNA__4189__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4179_.Y ANTENNA__4188__C.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4179_.Y ANTENNA__4180__C.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4180_.Y _4182_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4180_.Y _4183_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4181_.Y _4182_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4181_.Y _4183_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4182_.Y _4184_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4182_.Y _4186_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4182_.Y _4215_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4182_.Y _4235_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4183_.X _4184_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4183_.X _4215_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4184_.Y _4186_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4184_.Y _4216_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4184_.Y _4235_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4185_.X _4186_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4185_.X _4235_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4186_.Y _4187_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4186_.Y _4236_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4186_.Y _4237_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4186_.Y _4239_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4186_.Y _6031_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4187_.Y _4240_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4187_.Y _6032_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4188_.X _4200_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4188_.X _4201_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4189_.Y _4200_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4189_.Y _4201_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4190_.Y _4195_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4190_.Y _4198_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4191_.X _4195_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4191_.X _4198_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4192_.Y _4193_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4192_.Y _4207_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4192_.Y _4222_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4193_.Y _4194_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4193_.Y _4205_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4194_.X _4195_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4194_.X _4198_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4195_.X _4199_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4195_.X _4202_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4195_.X _4203_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4195_.X ANTENNA__4203__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4195_.X ANTENNA__4202__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4195_.X ANTENNA__4199__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4196_.Y _4197_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4197_.Y _4199_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4197_.Y _4202_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4197_.Y _4203_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4198_.Y _4199_.B1_N (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4198_.Y _4202_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4198_.Y _4203_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4198_.Y ANTENNA__4203__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4198_.Y ANTENNA__4202__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4198_.Y ANTENNA__4199__B1_N.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4199_.X _4200_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4199_.X _4201_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4200_.X _4214_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4200_.X _4217_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4200_.X _4232_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4200_.X _4236_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4200_.X _4237_.A1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4201_.X _4214_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4201_.X _4217_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4202_.Y _4213_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4202_.Y _4218_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4203_.X _4213_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4203_.X _4218_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4204_.Y _4205_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4205_.Y _4208_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4205_.Y _4212_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4206_.X _4207_.D (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4206_.X _4222_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4206_.X ANTENNA__4222__B2.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _4206_.X ANTENNA__4207__D.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4207_.X _4208_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _4207_.X _4212_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4207_.X _4223_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4208_.Y _4211_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4208_.Y _4219_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4209_.Y _4210_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4210_.X _4211_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4210_.X _4219_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4211_.Y _4212_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4211_.Y _4220_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4212_.X _4213_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4212_.X _4218_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4213_.X _4214_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4213_.X _4230_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4213_.X _5987_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4213_.X _5994_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4214_.X _4232_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4214_.X _4234_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4214_.X _5995_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4215_.X _4216_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4216_.X _4233_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4216_.X _4234_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4216_.X _4236_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4216_.X _4237_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4217_.X _4231_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4217_.X _5994_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4218_.Y _4230_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4218_.Y _5987_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4219_.X _4220_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4220_.Y _4229_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4220_.Y _5975_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4221_.X _4222_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4221_.X _4224_.B (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _4221_.X _5257_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4221_.X _5312_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4221_.X _5327_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4221_.X _5337_.A1 (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _4221_.X _5339_.C (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4221_.X _5955_.B2 (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _4221_.X _5960_.B1 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4221_.X _6189_.A0 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4221_.X ANTENNA__6189__A0.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4221_.X ANTENNA__5960__B1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4221_.X ANTENNA__5955__B2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4221_.X ANTENNA__5339__C.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4221_.X ANTENNA__5337__A1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4221_.X ANTENNA__5327__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4221_.X ANTENNA__5312__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4221_.X ANTENNA__5257__A.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _4221_.X ANTENNA__4224__B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4221_.X ANTENNA__4222__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4222_.Y _4223_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4223_.Y _4226_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4223_.Y _4228_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4224_.X _4226_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4224_.X _4228_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4224_.X _5956_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4225_.Y _4227_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4225_.Y _5967_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4226_.Y _4227_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4226_.Y _5967_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4227_.Y _4228_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4227_.Y _5969_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4228_.X _4229_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4228_.X _5975_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4229_.Y _4230_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4229_.Y _5978_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4229_.Y _5987_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4230_.Y _4231_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4230_.Y _5988_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4230_.Y _5995_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4231_.X _4234_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4231_.X _6000_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4231_.X _6011_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4231_.X _6012_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4232_.Y _4233_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4233_.Y _4234_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4233_.Y _6011_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4233_.Y _6012_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4234_.Y _4240_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4234_.Y _6019_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4234_.Y _6020_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4235_.X _4236_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4235_.X _4237_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4236_.X _4238_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4236_.X _4239_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4236_.X _6033_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4236_.X _6034_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4237_.X _4238_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4238_.X _4240_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4238_.X _6019_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4238_.X _6020_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4239_.Y _4240_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4240_.X _4246_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4240_.X _5574_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4240_.X _6054_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4240_.X _6055_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4241_.X _4242_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4242_.X _4244_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4242_.X _4245_.B_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4243_.X _4244_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4243_.X _4245_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4244_.X _4246_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4244_.X _5574_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4244_.X _6054_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4244_.X _6055_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4245_.X _4246_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4245_.X _5572_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4246_.Y _4248_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4246_.Y _6064_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4247_.X _4248_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4247_.X _5572_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4247_.X _5573_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4248_.X _4249_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4248_.X _6078_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4249_.X _4250_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4249_.X _6079_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4250_.Y _4297_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4251_.Y _4252_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4252_.Y _4296_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4252_.Y _5575_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4252_.Y _5576_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4253_.X _4295_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4253_.X _5529_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4254_.Y _4255_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4255_.X _4294_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4255_.X _5528_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4256_.Y _4262_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4257_.X _4258_.A (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _4257_.X _4269_.A1 (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _4257_.X _4270_.A (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4257_.X _4906_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4257_.X _5198_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4257_.X _5199_.A (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _4257_.X _5442_.A1 (0.018:0.018:0.018) (0.018:0.018:0.018))
+    (INTERCONNECT _4257_.X _5545_.A1 (0.014:0.014:0.014) (0.013:0.013:0.013))
+    (INTERCONNECT _4257_.X _5547_.A (0.014:0.014:0.014) (0.013:0.013:0.013))
+    (INTERCONNECT _4257_.X _6199_.A0 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4257_.X ANTENNA__6199__A0.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _4257_.X ANTENNA__5547__A.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
+    (INTERCONNECT _4257_.X ANTENNA__5545__A1.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
+    (INTERCONNECT _4257_.X ANTENNA__5442__A1.DIODE (0.019:0.019:0.019) (0.019:0.019:0.019))
+    (INTERCONNECT _4257_.X ANTENNA__5199__A.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _4257_.X ANTENNA__5198__A1.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _4257_.X ANTENNA__4906__A1.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT _4257_.X ANTENNA__4270__A.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
+    (INTERCONNECT _4257_.X ANTENNA__4269__A1.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _4257_.X ANTENNA__4258__A.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _4258_.X _4259_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4258_.X _5530_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4259_.X _4261_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4260_.Y _4261_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4260_.Y _5530_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4261_.Y _4262_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4261_.Y _5531_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4262_.Y _4293_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4262_.Y _5565_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4263_.X _4264_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4263_.X ANTENNA__4264__B1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4264_.Y _4292_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4264_.Y _5564_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4265_.Y _4276_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4265_.Y _5556_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4266_.X _4273_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4266_.X _4274_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4266_.X ANTENNA__4274__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4266_.X ANTENNA__4273__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4267_.X _4268_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4267_.X _5371_.A1 (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _4267_.X _5380_.A (0.016:0.016:0.016) (0.015:0.015:0.015))
+    (INTERCONNECT _4267_.X _5442_.B1 (0.018:0.018:0.018) (0.017:0.017:0.017))
+    (INTERCONNECT _4267_.X _5444_.B (0.018:0.018:0.018) (0.018:0.018:0.018))
+    (INTERCONNECT _4267_.X _5545_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4267_.X _5547_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4267_.X _5645_.B (0.019:0.019:0.019) (0.018:0.018:0.018))
+    (INTERCONNECT _4267_.X _5682_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4267_.X _6201_.A0 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4267_.X ANTENNA__6201__A0.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4267_.X ANTENNA__5682__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4267_.X ANTENNA__5645__B.DIODE (0.020:0.020:0.020) (0.020:0.020:0.020))
+    (INTERCONNECT _4267_.X ANTENNA__5547__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4267_.X ANTENNA__5545__B2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4267_.X ANTENNA__5444__B.DIODE (0.020:0.020:0.020) (0.020:0.020:0.020))
+    (INTERCONNECT _4267_.X ANTENNA__5442__B1.DIODE (0.020:0.020:0.020) (0.020:0.020:0.020))
+    (INTERCONNECT _4267_.X ANTENNA__5380__A.DIODE (0.020:0.020:0.020) (0.020:0.020:0.020))
+    (INTERCONNECT _4267_.X ANTENNA__5371__A1.DIODE (0.020:0.020:0.020) (0.020:0.020:0.020))
+    (INTERCONNECT _4267_.X ANTENNA__4268__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4268_.Y _4272_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4268_.Y _5557_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4269_.Y _4271_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4269_.Y _5557_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4270_.X _4271_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4270_.X _5557_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4271_.Y _4272_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4272_.Y _4273_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4272_.Y _4274_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4273_.X _4275_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4273_.X _5558_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4273_.X _5559_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4274_.Y _4275_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4275_.Y _4276_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4275_.Y _5556_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4276_.Y _4291_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4276_.Y _5554_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4277_.Y _4290_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4277_.Y _5553_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4278_.Y _4281_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4278_.Y _5533_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4278_.Y _5540_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4278_.Y _5679_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4278_.Y _5680_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4279_.X _4280_.A1 (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _4279_.X _4286_.A1 (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _4279_.X _4978_.A1 (0.018:0.018:0.018) (0.017:0.017:0.017))
+    (INTERCONNECT _4279_.X _5026_.A1_N (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4279_.X _5052_.A (0.017:0.017:0.017) (0.017:0.017:0.017))
+    (INTERCONNECT _4279_.X _5103_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4279_.X _5393_.A (0.018:0.018:0.018) (0.017:0.017:0.017))
+    (INTERCONNECT _4279_.X _5394_.A1 (0.018:0.018:0.018) (0.017:0.017:0.017))
+    (INTERCONNECT _4279_.X _5400_.A1 (0.017:0.017:0.017) (0.017:0.017:0.017))
+    (INTERCONNECT _4279_.X _6165_.A1 (0.014:0.014:0.014) (0.013:0.013:0.013))
+    (INTERCONNECT _4279_.X ANTENNA__6165__A1.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _4279_.X ANTENNA__5400__A1.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+    (INTERCONNECT _4279_.X ANTENNA__5394__A1.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+    (INTERCONNECT _4279_.X ANTENNA__5393__A.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+    (INTERCONNECT _4279_.X ANTENNA__5103__A1.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+    (INTERCONNECT _4279_.X ANTENNA__5052__A.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+    (INTERCONNECT _4279_.X ANTENNA__5026__A1_N.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+    (INTERCONNECT _4279_.X ANTENNA__4978__A1.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+    (INTERCONNECT _4279_.X ANTENNA__4286__A1.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4279_.X ANTENNA__4280__A1.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _4280_.X _4281_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4281_.Y _4289_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4281_.Y _5532_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4282_.X _4285_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4282_.X _5544_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4283_.Y _4284_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4283_.Y _5535_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4284_.X _4285_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4284_.X _5537_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4284_.X _5538_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4284_.X _5544_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4285_.Y _4287_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4286_.X _4287_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4286_.X _5544_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4287_.X _4288_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4287_.X _5543_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4288_.Y _4289_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4288_.Y _5532_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4289_.Y _4290_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4289_.Y _5553_.B_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4290_.Y _4291_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4290_.Y _5554_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4291_.Y _4292_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _4291_.Y _5564_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4292_.X _4293_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4292_.X _5565_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4293_.Y _4294_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4293_.Y _5528_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4294_.Y _4295_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4294_.Y _5529_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4295_.Y _4296_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4295_.Y _5575_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4295_.Y _5576_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4296_.Y _4297_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4296_.Y _5571_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4297_.Y _4873_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4297_.Y ANTENNA__4873__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4298_.X _4299_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4298_.X _4352_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4298_.X _4353_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4298_.X _4608_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _4298_.X _4613_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4298_.X _4614_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4298_.X _4666_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4298_.X _4667_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4298_.X _5191_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4298_.X _5231_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4299_.X _4300_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4299_.X _4319_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4299_.X _4892_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4299_.X _4906_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4299_.X _4907_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4299_.X _5109_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4299_.X _5110_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4299_.X _5118_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4299_.X _5192_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4299_.X _5232_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4300_.X _4366_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4300_.X _4513_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4300_.X _4803_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4300_.X _4814_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4300_.X _4928_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4300_.X _5044_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4300_.X _5190_.A1_N (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4300_.X _5312_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4300_.X _5323_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4300_.X _6106_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4300_.X ANTENNA__6106__A1.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _4300_.X ANTENNA__5323__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4300_.X ANTENNA__5312__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4300_.X ANTENNA__5190__A1_N.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4300_.X ANTENNA__5044__B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4300_.X ANTENNA__4928__A2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4300_.X ANTENNA__4814__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4300_.X ANTENNA__4803__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4300_.X ANTENNA__4513__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4300_.X ANTENNA__4366__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4301_.X _4302_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4301_.X _4303_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4301_.X _4308_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4301_.X _4322_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4301_.X _4895_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4301_.X _4896_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4301_.X _4900_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4301_.X _4901_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4301_.X _5009_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4301_.X _5071_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4302_.Y _4306_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4302_.Y _4307_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4302_.Y _4392_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4303_.X _4306_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4303_.X _4307_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4303_.X _4392_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4304_.X _4305_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4304_.X _4308_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4304_.X _4346_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4304_.X _4348_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4304_.X _4381_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4304_.X _4383_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4304_.X _4900_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4304_.X _4901_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4304_.X _4914_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4304_.X _4915_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4305_.X _4306_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4305_.X _4307_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4305_.X _4392_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4306_.Y _4313_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4306_.Y _4314_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4307_.X _4313_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4307_.X _4314_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4308_.Y _4312_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4308_.Y _4344_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4308_.Y _4345_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4309_.X _4310_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4309_.X _4316_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4309_.X _4317_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4309_.X _4346_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4309_.X _4379_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4309_.X _4383_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4309_.X _4914_.D (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _4309_.X _4915_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4309_.X _5004_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4309_.X _5116_.D (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4310_.Y _4312_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4310_.Y _4344_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4310_.Y _4345_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4311_.X _4312_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4311_.X _4344_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4311_.X _4345_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4312_.Y _4313_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4312_.Y _4314_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4313_.X _4321_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4313_.X _4342_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4313_.X _4396_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4313_.X _4398_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4313_.X _4488_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4314_.Y _4321_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4314_.Y _4342_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4314_.Y _4396_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4315_.X _4316_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4315_.X _4317_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4315_.X _4347_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4315_.X _4351_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4315_.X _4353_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4315_.X _4609_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4315_.X _4610_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4315_.X _4672_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4315_.X _5116_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4315_.X _5191_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4316_.Y _4318_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4316_.Y _4362_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4317_.X _4318_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4317_.X _4362_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4318_.Y _4320_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4319_.Y _4320_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4319_.Y _4362_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4320_.Y _4321_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4320_.Y _4342_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4320_.Y _4396_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4321_.X _4343_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4321_.X _4360_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4322_.X _4324_.A (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _4322_.X _4388_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4322_.X _4390_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4322_.X _4423_.A (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _4322_.X _4425_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4322_.X _4601_.B2 (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _4322_.X _4887_.B (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _4322_.X _5008_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4322_.X _5011_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4322_.X _5070_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4322_.X ANTENNA__5070__B2.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019))
+    (INTERCONNECT _4322_.X ANTENNA__5011__B.DIODE (0.019:0.019:0.019) (0.019:0.019:0.019))
+    (INTERCONNECT _4322_.X ANTENNA__5008__B2.DIODE (0.019:0.019:0.019) (0.019:0.019:0.019))
+    (INTERCONNECT _4322_.X ANTENNA__4887__B.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020))
+    (INTERCONNECT _4322_.X ANTENNA__4601__B2.DIODE (0.021:0.021:0.021) (0.021:0.021:0.021))
+    (INTERCONNECT _4322_.X ANTENNA__4425__A.DIODE (0.019:0.019:0.019) (0.019:0.019:0.019))
+    (INTERCONNECT _4322_.X ANTENNA__4423__A.DIODE (0.021:0.021:0.021) (0.021:0.021:0.021))
+    (INTERCONNECT _4322_.X ANTENNA__4390__B2.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015))
+    (INTERCONNECT _4322_.X ANTENNA__4388__A.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _4322_.X ANTENNA__4324__A.DIODE (0.021:0.021:0.021) (0.021:0.021:0.021))
+    (INTERCONNECT _4323_.X _4324_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4323_.X _4598_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4323_.X _4600_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4323_.X _4697_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4323_.X _4698_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4323_.X _4771_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4323_.X _4816_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4323_.X _4877_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4323_.X _5170_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4323_.X _5251_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4324_.X _4341_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4324_.X _4519_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4324_.X _4520_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4324_.X _4602_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4325_.X _4329_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4325_.X _4389_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4325_.X _4430_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4325_.X _4564_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4325_.X _4565_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4325_.X _4879_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4325_.X _4897_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4325_.X _4963_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4325_.X _5077_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4325_.X _5381_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4325_.X ANTENNA__5381__A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4325_.X ANTENNA__5077__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4325_.X ANTENNA__4963__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4325_.X ANTENNA__4897__A1.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4325_.X ANTENNA__4879__A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4325_.X ANTENNA__4565__A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4325_.X ANTENNA__4564__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4325_.X ANTENNA__4430__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4325_.X ANTENNA__4389__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4325_.X ANTENNA__4329__A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4326_.X _4327_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4326_.X _4328_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4326_.X _4331_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4326_.X _4368_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4326_.X _4595_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4326_.X _4596_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4326_.X _4965_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4326_.X _5175_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4326_.X _5249_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4326_.X _5250_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4327_.Y _4329_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4327_.Y _4330_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4327_.Y _4367_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4328_.X _4329_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4328_.X _4330_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4329_.Y _4339_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4329_.Y _4340_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4329_.Y _4367_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4330_.X _4339_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4330_.X _4340_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4331_.Y _4338_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4331_.Y _4525_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4332_.X _4335_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4332_.X _4414_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4332_.X _4462_.C (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _4332_.X _4528_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4332_.X _4881_.B (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _4332_.X _4941_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4332_.X _4953_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4332_.X _4988_.D (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4332_.X _5030_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4332_.X _5141_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4333_.X _4334_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4333_.X _4337_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4333_.X _4369_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4333_.X _4595_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4333_.X _4642_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4333_.X _4706_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4333_.X _4988_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4333_.X _5176_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4333_.X _5177_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4333_.X _5250_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4334_.X _4335_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4334_.X _4375_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4334_.X _4414_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4334_.X _4596_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4334_.X _4881_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4334_.X _4965_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4334_.X _4989_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4334_.X _5101_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4334_.X _5140_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4334_.X _5249_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4335_.Y _4338_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4335_.Y _4524_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4336_.X _4337_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4336_.X _4373_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4336_.X _4374_.B2 (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _4336_.X _4412_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _4336_.X _4643_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4336_.X _4644_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4336_.X _4693_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4336_.X _5142_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4336_.X _5176_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4336_.X _5177_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4337_.X _4338_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4337_.X _4524_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4338_.Y _4339_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4338_.Y _4340_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _4339_.X _4341_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4339_.X _4519_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4339_.X _4520_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4340_.Y _4341_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4340_.Y _4519_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4340_.Y _4520_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4341_.X _4343_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4341_.X _4360_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4341_.X ANTENNA__4360__B1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4341_.X ANTENNA__4343__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4342_.Y _4343_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4342_.Y _4360_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4343_.X _4361_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4343_.X _4363_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4343_.X _4364_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4343_.X _4516_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _4344_.X _4350_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4344_.X _4358_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4345_.Y _4350_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4345_.Y _4358_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4346_.Y _4349_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4346_.Y _4606_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4346_.Y _4607_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4347_.Y _4349_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4347_.Y _4606_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4347_.Y _4607_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4348_.X _4349_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4348_.X _4606_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4348_.X _4607_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4349_.Y _4350_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4349_.Y _4358_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4350_.Y _4359_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4350_.Y _4361_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4350_.Y _4516_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4350_.Y _4604_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4351_.X _4352_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4351_.X _4385_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4351_.X _4889_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4351_.X _4890_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4351_.X _4906_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4351_.X _4907_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4351_.X _4916_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4351_.X _5114_.A1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4351_.X _5117_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4351_.X _5192_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4352_.Y _4354_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4352_.Y _4624_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4353_.X _4354_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4353_.X _4624_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4354_.Y _4357_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4355_.X _4356_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4355_.X _4593_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4355_.X _4613_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4355_.X _4665_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4355_.X _4670_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4355_.X _4679_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4355_.X _4683_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4355_.X _5110_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4355_.X _5231_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4355_.X _5232_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4356_.Y _4357_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4356_.Y _4624_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4357_.Y _4359_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4357_.Y _4604_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4358_.X _4359_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4358_.X _4604_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4359_.Y _4361_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4359_.Y _4516_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4359_.Y _4605_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4359_.Y _4621_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4359_.Y _4622_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4360_.Y _4361_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4360_.Y _4516_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4361_.Y _4363_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4361_.Y _4364_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4361_.Y _4517_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4362_.X _4363_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4362_.X _4364_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4363_.X _4365_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4363_.X _4366_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4364_.X _4365_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4365_.X _4366_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4365_.X _4514_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4366_.X _4546_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4366_.X _4664_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4367_.Y _4378_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4367_.Y _4492_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4368_.X _4370_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4368_.X _4371_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4368_.X _4410_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4368_.X _4697_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4368_.X _4876_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4368_.X _4877_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4368_.X _4966_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4368_.X _5100_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4368_.X _5101_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4368_.X _5170_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4369_.X _4370_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4369_.X _4371_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4369_.X _4411_.D (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4369_.X _4413_.A2 (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _4369_.X _4494_.A2_N (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4369_.X _4882_.B2 (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _4369_.X _4943_.B (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _4369_.X _4966_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4369_.X _5100_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4369_.X _6088_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4369_.X ANTENNA__6088__A1.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _4369_.X ANTENNA__5100__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4369_.X ANTENNA__4966__B1.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _4369_.X ANTENNA__4943__B.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _4369_.X ANTENNA__4882__B2.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _4369_.X ANTENNA__4494__A2_N.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4369_.X ANTENNA__4413__A2.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _4369_.X ANTENNA__4411__D.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _4369_.X ANTENNA__4371__D.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4369_.X ANTENNA__4370__B1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4370_.X _4376_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4370_.X _4377_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4371_.Y _4376_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4371_.Y _4377_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4372_.X _4373_.C (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4372_.X _4374_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4372_.X _4416_.B1 (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _4372_.X _4417_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4372_.X _4498_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4372_.X _4530_.D (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4372_.X _4643_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4372_.X _4644_.D (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4372_.X _5032_.D (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4372_.X _5142_.D (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4372_.X ANTENNA__5142__D.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4372_.X ANTENNA__5032__D.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4372_.X ANTENNA__4644__D.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4372_.X ANTENNA__4643__B1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4372_.X ANTENNA__4530__D.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4372_.X ANTENNA__4498__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4372_.X ANTENNA__4417__C.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4372_.X ANTENNA__4416__B1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4372_.X ANTENNA__4374__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4372_.X ANTENNA__4373__C.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4373_.X _4375_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4373_.X _4376_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4373_.X _4377_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4373_.X _4494_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4374_.Y _4375_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4374_.Y _4494_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4375_.X _4376_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4375_.X _4377_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4375_.X _4495_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4376_.X _4378_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _4376_.X _4491_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4377_.X _4378_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4377_.X _4491_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4378_.Y _4395_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4378_.Y _4397_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4378_.Y ANTENNA__4397__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4378_.Y ANTENNA__4395__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4379_.X _4380_.B (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _4379_.X _4428_.B (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _4379_.X _4550_.A (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _4379_.X _4889_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4379_.X _4890_.C (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4379_.X _4902_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4379_.X _4912_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4379_.X _5003_.A2 (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4379_.X _5074_.B (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4379_.X _5117_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4379_.X ANTENNA__5117__B1.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _4379_.X ANTENNA__5074__B.DIODE (0.019:0.019:0.019) (0.019:0.019:0.019))
+    (INTERCONNECT _4379_.X ANTENNA__5003__A2.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018))
+    (INTERCONNECT _4379_.X ANTENNA__4912__B.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+    (INTERCONNECT _4379_.X ANTENNA__4902__A2.DIODE (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT _4379_.X ANTENNA__4890__C.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _4379_.X ANTENNA__4889__B1.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _4379_.X ANTENNA__4550__A.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018))
+    (INTERCONNECT _4379_.X ANTENNA__4428__B.DIODE (0.019:0.019:0.019) (0.019:0.019:0.019))
+    (INTERCONNECT _4379_.X ANTENNA__4380__B.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+    (INTERCONNECT _4380_.Y _4384_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4381_.X _4382_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4381_.X _4426_.A1 (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _4381_.X _4562_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4381_.X _4719_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4381_.X _4898_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4381_.X _5003_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4381_.X _5004_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4381_.X _5012_.A1 (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _4381_.X _5070_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4381_.X _5072_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4382_.Y _4384_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4382_.Y _4427_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4382_.Y _4552_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4383_.X _4384_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4383_.X _4399_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4384_.Y _4387_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4384_.Y _4399_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4385_.X _4386_.B (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _4385_.X _4399_.A2 (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _4385_.X _4403_.B (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4385_.X _4547_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4385_.X _4768_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4385_.X _5006_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4385_.X _5093_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4385_.X _5285_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4385_.X _5367_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4385_.X _6108_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4385_.X ANTENNA__6108__A1.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _4385_.X ANTENNA__5367__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4385_.X ANTENNA__5285__B.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _4385_.X ANTENNA__5093__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4385_.X ANTENNA__5006__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4385_.X ANTENNA__4768__B.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4385_.X ANTENNA__4547__A2.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _4385_.X ANTENNA__4403__B.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _4385_.X ANTENNA__4399__A2.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _4385_.X ANTENNA__4386__B.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _4386_.Y _4387_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4387_.Y _4394_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4387_.Y _4407_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4388_.Y _4391_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4388_.Y _4406_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4388_.Y _4430_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4389_.Y _4391_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4389_.Y _4406_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4390_.X _4391_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4390_.X _4405_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4391_.X _4393_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4392_.Y _4393_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4392_.Y _4408_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4393_.Y _4394_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4393_.Y _4407_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4394_.Y _4395_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4394_.Y _4397_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4395_.Y _4400_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4395_.Y _4401_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4396_.Y _4398_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4396_.Y _4488_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4397_.X _4398_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4397_.X _4488_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4398_.X _4400_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4398_.X _4401_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4398_.X _4489_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4399_.Y _4400_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4399_.Y _4401_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4400_.X _4402_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4400_.X _4547_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4401_.X _4402_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4402_.X _4404_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4402_.X _4547_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4403_.Y _4404_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4404_.Y _4512_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4404_.Y _4549_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4405_.Y _4408_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4406_.Y _4408_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4406_.Y _4560_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4407_.Y _4408_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4408_.Y _4433_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4408_.Y _4555_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4409_.X _4411_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4409_.X _5712_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4409_.X _5713_.B (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _4409_.X _5749_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4409_.X _5750_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4409_.X _5829_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4409_.X _5853_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4409_.X _5893_.C (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4409_.X _5919_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4409_.X _6184_.A0 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4409_.X ANTENNA__6184__A0.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4409_.X ANTENNA__5919__C.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4409_.X ANTENNA__5893__C.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4409_.X ANTENNA__5853__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4409_.X ANTENNA__5829__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4409_.X ANTENNA__5750__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4409_.X ANTENNA__5749__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4409_.X ANTENNA__5713__B.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _4409_.X ANTENNA__5712__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4409_.X ANTENNA__4411__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4410_.X _4411_.C (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4410_.X _4698_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4410_.X _4774_.C (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4410_.X _4817_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4410_.X _4883_.D (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4410_.X _5026_.A2_N (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4410_.X _5171_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4410_.X _5282_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4410_.X _5325_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4410_.X _6086_.A1 (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _4410_.X ANTENNA__6086__A1.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _4410_.X ANTENNA__5325__A2.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4410_.X ANTENNA__5282__C.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4410_.X ANTENNA__5171__A1.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4410_.X ANTENNA__5026__A2_N.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4410_.X ANTENNA__4883__D.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4410_.X ANTENNA__4817__A2.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _4410_.X ANTENNA__4774__C.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _4410_.X ANTENNA__4698__A1.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _4410_.X ANTENNA__4411__C.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _4411_.X _4422_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4411_.X _4482_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4412_.X _4413_.B1 (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _4412_.X _4418_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4412_.X _4459_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4412_.X _4471_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4412_.X _4882_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4412_.X _4940_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4412_.X _4989_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4412_.X _5058_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4412_.X _5060_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4412_.X _6090_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4412_.X ANTENNA__6090__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4412_.X ANTENNA__5060__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4412_.X ANTENNA__5058__A2.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4412_.X ANTENNA__4989__B1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4412_.X ANTENNA__4940__B2.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4412_.X ANTENNA__4882__A1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4412_.X ANTENNA__4471__B.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4412_.X ANTENNA__4459__B.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _4412_.X ANTENNA__4418__A2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4412_.X ANTENNA__4413__B1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4413_.Y _4415_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4414_.X _4415_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4414_.X _4465_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4414_.X _4466_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4415_.X _4419_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4415_.X _4420_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4416_.X _4418_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4416_.X _4470_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4417_.X _4418_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4417_.X _4470_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4418_.X _4419_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4418_.X _4420_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4419_.X _4421_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4419_.X _4422_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4420_.X _4421_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4421_.Y _4422_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4421_.Y _4482_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4422_.X _4432_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4422_.X _4554_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4422_.X ANTENNA__4554__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4422_.X ANTENNA__4432__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4423_.X _4424_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4423_.X _4564_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4423_.X _4565_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4424_.Y _4427_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4424_.Y _4552_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4424_.Y _5495_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4424_.Y _5726_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4425_.X _4426_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4425_.X _5076_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4425_.X _5104_.B2 (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4425_.X _5442_.B2 (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4425_.X _5494_.B2 (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4425_.X _5655_.C (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4425_.X _5656_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4425_.X _5728_.C (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4425_.X _5729_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4425_.X _6114_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4425_.X ANTENNA__6114__A1.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _4425_.X ANTENNA__5729__A1.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+    (INTERCONNECT _4425_.X ANTENNA__5728__C.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+    (INTERCONNECT _4425_.X ANTENNA__5656__A1.DIODE (0.017:0.017:0.017) (0.017:0.017:0.017))
+    (INTERCONNECT _4425_.X ANTENNA__5655__C.DIODE (0.017:0.017:0.017) (0.017:0.017:0.017))
+    (INTERCONNECT _4425_.X ANTENNA__5494__B2.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+    (INTERCONNECT _4425_.X ANTENNA__5442__B2.DIODE (0.017:0.017:0.017) (0.017:0.017:0.017))
+    (INTERCONNECT _4425_.X ANTENNA__5104__B2.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+    (INTERCONNECT _4425_.X ANTENNA__5076__A.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+    (INTERCONNECT _4425_.X ANTENNA__4426__B2.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT _4426_.X _4427_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4426_.X _4553_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4427_.X _4429_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4428_.Y _4429_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4429_.Y _4431_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4429_.Y _4560_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4430_.X _4431_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4430_.X _4560_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4431_.Y _4432_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4431_.Y _4554_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4432_.Y _4433_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4432_.Y _4555_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4433_.Y _4487_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4433_.Y _4559_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4434_.X _4436_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4434_.X _4437_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4434_.X _4442_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4434_.X _4473_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4434_.X _4477_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4434_.X _4934_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4434_.X _4936_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4434_.X _4946_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4434_.X _4975_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4434_.X _4976_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4435_.X _4436_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4435_.X _4437_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4435_.X _4475_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4435_.X _4499_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4435_.X _4529_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4435_.X _4936_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4435_.X _4951_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4435_.X _4976_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4435_.X _5031_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4435_.X _5032_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4436_.X _4440_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4436_.X _4441_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4436_.X _4458_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4437_.Y _4440_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4437_.Y _4441_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4437_.Y _4458_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4438_.X _4439_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4438_.X _4460_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4438_.X _4462_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4438_.X _4529_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4438_.X _4940_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4438_.X _4941_.C (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4438_.X _4951_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4438_.X _4952_.C (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4438_.X _5031_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4438_.X _5141_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4439_.X _4440_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4439_.X _4441_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4439_.X _4458_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4440_.X _4444_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4440_.X _4469_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4441_.Y _4444_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4441_.Y _4469_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4442_.Y _4443_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4443_.X _4444_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4443_.X _4469_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4444_.X _4455_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4444_.X _4456_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4444_.X _4483_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4444_.X _4485_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4445_.X _4455_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4445_.X _4456_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4445_.X _4474_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4446_.X _4448_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4446_.X _4449_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4446_.X _4574_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4446_.X _4929_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4446_.X _4930_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4446_.X _4982_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4446_.X _5048_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4446_.X _5050_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4446_.X _5393_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4446_.X _5481_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4447_.X _4448_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4447_.X _4449_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4447_.X _4573_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4447_.X _4929_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4447_.X _4930_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4447_.X _4947_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4447_.X _5049_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4447_.X _5050_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4447_.X _5430_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4447_.X _5482_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4448_.X _4450_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4448_.X _4576_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4448_.X _4582_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4448_.X _5717_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4449_.X _4450_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4449_.X _4582_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4450_.X _4454_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4451_.X _4452_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4451_.X _4497_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4451_.X _4578_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4451_.X _4579_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4451_.X _4931_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4451_.X _4934_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4451_.X _4952_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4451_.X _4975_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4451_.X _4987_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4451_.X _5429_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4452_.X _4453_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4452_.X _4582_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4452_.X _5052_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4452_.X _5397_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4452_.X _5398_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4452_.X _5400_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4452_.X _5639_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4452_.X _5713_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _4452_.X _5783_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4452_.X _6094_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4453_.Y _4454_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4454_.X _4455_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4454_.X _4456_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4455_.Y _4457_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4455_.Y _4572_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4456_.X _4457_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4457_.Y _4468_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4457_.Y _4572_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4458_.X _4464_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4458_.X _4561_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4459_.Y _4463_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4460_.X _4461_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4460_.X _4578_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4460_.X _4935_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4460_.X _4978_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4460_.X _4979_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4460_.X _4987_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4460_.X _5058_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4460_.X _5059_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4460_.X _5397_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4460_.X _6092_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4461_.Y _4463_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4461_.Y _4580_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4462_.X _4463_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4462_.X _4584_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4462_.X _5490_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4463_.X _4464_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4463_.X _4561_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4464_.Y _4465_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4464_.Y _4466_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4465_.Y _4467_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4466_.X _4467_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4466_.X _4568_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4466_.X _4569_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4466_.X ANTENNA__4569__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4466_.X ANTENNA__4568__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4466_.X ANTENNA__4467__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4467_.X _4468_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4467_.X _4572_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4468_.Y _4486_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4468_.Y _4558_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4468_.Y ANTENNA__4558__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4468_.Y ANTENNA__4486__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4469_.Y _4483_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4469_.Y _4485_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4470_.X _4472_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4471_.Y _4472_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4472_.Y _4481_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4472_.Y _4493_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4473_.Y _4474_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4474_.X _4479_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4474_.X _4480_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4475_.X _4478_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4475_.X _4496_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4476_.X _4478_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4476_.X _4496_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4476_.X _4497_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4477_.Y _4478_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4477_.Y _4496_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4477_.Y _4497_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4478_.X _4479_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4478_.X _4480_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4479_.Y _4481_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4479_.Y _4493_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4480_.X _4481_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4481_.Y _4484_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4481_.Y _4485_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4482_.Y _4485_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4482_.Y _4490_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4483_.X _4484_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4484_.Y _4485_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4484_.Y _4490_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4485_.X _4486_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4485_.X _4558_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4485_.X ANTENNA__4558__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4485_.X ANTENNA__4486__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4486_.Y _4487_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4486_.Y _4559_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4487_.Y _4511_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4487_.Y _4548_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4488_.Y _4489_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4489_.Y _4510_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4489_.Y _4515_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4490_.X _4508_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4490_.X _4509_.B_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4490_.X ANTENNA__4509__B_N.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4490_.X ANTENNA__4508__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4491_.X _4492_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4492_.Y _4507_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4492_.Y _4518_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4493_.Y _4505_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4493_.Y _4506_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4494_.X _4495_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4495_.Y _4504_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4495_.Y _4522_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4495_.Y _4523_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4496_.Y _4502_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4496_.Y _4503_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4497_.X _4502_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4497_.X _4503_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4498_.Y _4501_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4498_.Y _4526_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4498_.Y _4527_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4499_.Y _4501_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4499_.Y _4526_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4499_.Y _4527_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4500_.X _4501_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4500_.X _4526_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4500_.X _4527_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4501_.Y _4502_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4501_.Y _4503_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4502_.X _4504_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4502_.X _4522_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4502_.X _4523_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4503_.Y _4504_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4503_.Y _4522_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4503_.Y _4523_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4504_.X _4505_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4504_.X _4506_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4505_.X _4507_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4505_.X _4518_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4506_.X _4507_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4507_.X _4508_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4507_.X _4509_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4508_.Y _4510_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4508_.Y _4515_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4508_.Y ANTENNA__4515__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4508_.Y ANTENNA__4510__A2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4509_.X _4510_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4510_.Y _4511_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4510_.Y _4548_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4511_.X _4512_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4511_.X _4549_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4512_.Y _4544_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4512_.Y _4545_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4513_.Y _4514_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4514_.Y _4543_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4514_.Y _4629_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4515_.Y _4541_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4515_.Y _4542_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4516_.X _4517_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4517_.Y _4540_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4517_.Y _4632_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4518_.Y _4538_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4518_.Y _4539_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4519_.X _4521_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4520_.Y _4521_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4521_.X _4537_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4521_.X _4635_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4521_.X _4636_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4522_.Y _4535_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4522_.Y _4536_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4523_.X _4535_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4523_.X _4536_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4524_.Y _4525_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4525_.Y _4534_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4525_.Y _4637_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4525_.Y _4638_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4526_.X _4532_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4526_.X _4533_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4527_.Y _4532_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4527_.Y _4533_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4528_.Y _4531_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4528_.Y _4640_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4528_.Y _4641_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4529_.Y _4531_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4529_.Y _4640_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4529_.Y _4641_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4530_.X _4531_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4530_.X _4640_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4530_.X _4641_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4531_.Y _4532_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4531_.Y _4533_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4532_.X _4534_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4532_.X _4637_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4532_.X _4638_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4533_.Y _4534_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4533_.Y _4637_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4533_.Y _4638_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4534_.X _4535_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4534_.X _4536_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4535_.Y _4537_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4535_.Y _4635_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4535_.Y _4636_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4536_.X _4537_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4536_.X _4635_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4536_.X _4636_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4537_.X _4538_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4537_.X _4539_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4538_.X _4540_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4538_.X _4632_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4538_.X ANTENNA__4632__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4538_.X ANTENNA__4540__A2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4539_.Y _4540_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4539_.Y ANTENNA__4540__B1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4540_.X _4541_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4540_.X _4542_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4541_.Y _4543_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4541_.Y _4629_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4542_.X _4543_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4543_.X _4544_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4543_.X _4545_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4544_.Y _4546_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4544_.Y _4664_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4545_.X _4546_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4546_.X _4592_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4546_.X _5520_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4546_.X _5521_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4547_.Y _4591_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _4547_.Y _5515_.A_N (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _4548_.Y _4549_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4549_.Y _4590_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4549_.Y _5516_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4550_.X _4551_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4550_.X _4553_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4550_.X _4714_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4550_.X _4767_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4550_.X _5257_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4550_.X _5276_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4550_.X _5370_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4550_.X _5371_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4550_.X _5476_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4550_.X _6110_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4551_.Y _4557_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4552_.Y _4553_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4553_.X _4556_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4553_.X _5477_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4554_.X _4555_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4555_.X _4556_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4555_.X _5477_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4556_.X _4557_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4556_.X _5476_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4557_.X _4589_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4557_.X _5479_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4558_.X _4559_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4559_.Y _4588_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4559_.Y _5478_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4560_.Y _4571_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4560_.Y _5503_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4561_.X _4568_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4561_.X _4569_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4561_.X ANTENNA__4569__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4561_.X ANTENNA__4568__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4562_.X _4563_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4562_.X _4720_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4562_.X _5183_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4562_.X _5184_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4562_.X _5380_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4562_.X _5458_.B (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _4562_.X _5508_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4562_.X _5664_.A2 (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _4562_.X _5735_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4562_.X _6112_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4563_.Y _4567_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4563_.Y _5504_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4564_.Y _4566_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4564_.Y _5504_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4565_.X _4566_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4565_.X _5504_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4566_.Y _4567_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4567_.Y _4568_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4567_.Y _4569_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4568_.X _4570_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4568_.X _5505_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4568_.X _5506_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4569_.Y _4570_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4570_.Y _4571_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4570_.Y _5503_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4571_.Y _4587_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4571_.Y _5501_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4572_.X _4586_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4572_.X _5500_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4573_.X _4575_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4573_.X _4981_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4573_.X _5394_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4573_.X _5640_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4573_.X _5714_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _4573_.X _5784_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4573_.X _5853_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4573_.X _5880_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4573_.X _5919_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4573_.X _6096_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4574_.X _4575_.B2 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _4574_.X _5394_.B2 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _4574_.X _5638_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4574_.X _5712_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4574_.X _5783_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4574_.X _5784_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4574_.X _5853_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4574_.X _5880_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _4574_.X _5919_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4574_.X _6098_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4575_.X _4577_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4575_.X _5480_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4576_.Y _4577_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4576_.Y _5480_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4576_.Y _5484_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4577_.Y _4585_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4578_.X _4581_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4578_.X _5492_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4579_.Y _4580_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4579_.Y _5483_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4580_.X _4581_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4580_.X _5485_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4580_.X _5492_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4580_.X _5718_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4581_.Y _4583_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4582_.X _4583_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4582_.X _5492_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4583_.X _4584_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4583_.X _5491_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4584_.Y _4585_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4584_.Y _5480_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4585_.Y _4586_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4585_.Y _5500_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4586_.Y _4587_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4586_.Y _5501_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4587_.Y _4588_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4587_.Y _5478_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4588_.Y _4589_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4588_.Y _5479_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4589_.X _4590_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4589_.X _5516_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4590_.X _4591_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4590_.X _5515_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4591_.Y _4592_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4591_.Y _5520_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4591_.Y _5521_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4592_.Y _4870_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4592_.Y _5518_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4592_.Y _5519_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4593_.X _4594_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4593_.X _4785_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4593_.X _4819_.B (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _4593_.X _4909_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4593_.X _5109_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4593_.X _5193_.C (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4593_.X _5198_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4593_.X _5199_.C (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4593_.X _5230_.A1_N (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4593_.X _5294_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4593_.X ANTENNA__5294__B.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _4593_.X ANTENNA__5230__A1_N.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4593_.X ANTENNA__5199__C.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4593_.X ANTENNA__5198__B1.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _4593_.X ANTENNA__5193__C.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4593_.X ANTENNA__5109__A2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4593_.X ANTENNA__4909__B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4593_.X ANTENNA__4819__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4593_.X ANTENNA__4785__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4593_.X ANTENNA__4594__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4594_.X _4628_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4594_.X _4630_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4594_.X _4821_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4594_.X _4827_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4594_.X _5129_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4594_.X _5131_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4594_.X _5327_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4594_.X _5329_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4594_.X _5337_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4594_.X _6104_.A1 (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _4594_.X ANTENNA__6104__A1.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _4594_.X ANTENNA__5337__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4594_.X ANTENNA__5329__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4594_.X ANTENNA__5327__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4594_.X ANTENNA__5131__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4594_.X ANTENNA__5129__A2.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _4594_.X ANTENNA__4827__A2.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4594_.X ANTENNA__4821__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4594_.X ANTENNA__4630__B.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4594_.X ANTENNA__4628__A2.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4595_.X _4597_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4595_.X _4603_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4595_.X _4651_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4596_.Y _4597_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4597_.X _4599_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4597_.X _4639_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4598_.Y _4599_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4598_.Y _4639_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4599_.Y _4603_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4599_.Y _4651_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4600_.X _4601_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4600_.X _4703_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4600_.X _4774_.A (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _4600_.X _4878_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4600_.X _4887_.C (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4600_.X _5104_.A2 (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _4600_.X _5136_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4600_.X _5171_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4600_.X _5279_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4600_.X _5282_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4600_.X ANTENNA__5282__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4600_.X ANTENNA__5279__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4600_.X ANTENNA__5171__B2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4600_.X ANTENNA__5136__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4600_.X ANTENNA__5104__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4600_.X ANTENNA__4887__C.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4600_.X ANTENNA__4878__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4600_.X ANTENNA__4774__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4600_.X ANTENNA__4703__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4600_.X ANTENNA__4601__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4601_.Y _4602_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4602_.Y _4603_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4602_.Y _4651_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4603_.X _4605_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4603_.X _4621_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4603_.X _4622_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4603_.X _4652_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4603_.X ANTENNA__4652__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4603_.X ANTENNA__4622__B1.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _4603_.X ANTENNA__4621__B.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _4603_.X ANTENNA__4605__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4604_.X _4605_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4604_.X _4621_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4604_.X _4622_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4605_.X _4625_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4605_.X _4626_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4606_.X _4612_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4606_.X _4618_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4607_.Y _4612_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4607_.Y _4618_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4608_.Y _4611_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4608_.Y _4681_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4608_.Y _4682_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4609_.Y _4611_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4609_.Y _4681_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4609_.Y _4682_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4610_.X _4611_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4610_.X _4681_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4610_.X _4682_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4611_.Y _4612_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4611_.Y _4618_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4612_.Y _4619_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4612_.Y _4620_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4612_.Y _4734_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4613_.Y _4615_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4613_.Y _4753_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4614_.X _4615_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4614_.X _4753_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4615_.Y _4617_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4616_.Y _4617_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4616_.Y _4753_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4617_.Y _4619_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4617_.Y _4734_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4618_.X _4619_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4618_.X _4734_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4619_.Y _4620_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4619_.Y _4735_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4619_.Y _4736_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4619_.Y _4752_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4620_.Y _4623_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4620_.Y _4633_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4621_.Y _4623_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4621_.Y _4633_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4622_.X _4623_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4622_.X _4633_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4623_.X _4625_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4623_.X _4626_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4623_.X _4634_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4624_.X _4625_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4624_.X _4626_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4625_.X _4627_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4625_.X _4628_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4626_.X _4627_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4627_.X _4628_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4627_.X _4631_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4628_.X _4663_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4628_.X _4850_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4629_.X _4661_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _4629_.X _4662_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4630_.Y _4631_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4631_.X _4660_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4631_.X _4852_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4632_.Y _4658_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4632_.Y _4659_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4633_.Y _4634_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4634_.Y _4657_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4634_.Y _4749_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4634_.Y _4750_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4635_.X _4655_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4635_.X _4656_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4636_.Y _4655_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4636_.Y _4656_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4637_.Y _4649_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4637_.Y _4650_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4637_.Y _4653_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4638_.X _4649_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4638_.X _4650_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4638_.X _4653_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4639_.X _4648_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4639_.X _4715_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4639_.X _4716_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4640_.X _4646_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4640_.X _4647_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4641_.Y _4646_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4641_.Y _4647_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4642_.Y _4645_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4642_.Y _4690_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4642_.Y _4691_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4643_.Y _4645_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4643_.Y _4690_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4643_.Y _4691_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4644_.X _4645_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4644_.X _4690_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4644_.X _4691_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4645_.Y _4646_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4645_.Y _4647_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4646_.X _4648_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4646_.X _4715_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4646_.X _4716_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4647_.Y _4648_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4647_.Y _4715_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4647_.Y _4716_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4648_.X _4649_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4648_.X _4650_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4648_.X _4653_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4649_.X _4655_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4649_.X _4656_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4650_.Y _4654_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4650_.Y _4729_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4650_.Y _4730_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4651_.Y _4652_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4652_.Y _4654_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4652_.Y _4729_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4652_.Y _4730_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4653_.X _4654_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4653_.X _4729_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4653_.X _4730_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4654_.X _4655_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4654_.X _4656_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4655_.X _4657_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4655_.X _4749_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4655_.X _4750_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4655_.X ANTENNA__4750__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4655_.X ANTENNA__4749__C.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4655_.X ANTENNA__4657__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4656_.Y _4657_.B1_N (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4656_.Y _4749_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4656_.Y _4750_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4656_.Y ANTENNA__4750__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4656_.Y ANTENNA__4749__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4656_.Y ANTENNA__4657__B1_N.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4657_.Y _4658_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4657_.Y _4659_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4658_.Y _4660_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4658_.Y _4852_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4659_.Y _4660_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4660_.X _4661_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4660_.X _4662_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4661_.Y _4663_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4661_.Y _4850_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4662_.X _4663_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4663_.X _4867_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4663_.X _4869_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4663_.X _5520_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4664_.X _4867_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4664_.X _4869_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4664_.X _5520_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4665_.X _4680_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4665_.X _4689_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4665_.X _4744_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4666_.X _4668_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4666_.X _4669_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4666_.X _4783_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4667_.Y _4668_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4667_.Y _4783_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4668_.X _4669_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4668_.X _4784_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4669_.Y _4675_.A_N (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _4669_.Y _4677_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4670_.Y _4674_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4671_.X _4673_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4671_.X _4683_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4672_.X _4673_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4672_.X _4683_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4673_.X _4674_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4674_.Y _4675_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4674_.Y _4677_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4675_.X _4688_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4675_.X _4726_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4676_.X _4678_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4676_.X _4757_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4676_.X _4781_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4676_.X _4821_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4676_.X _4829_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4676_.X _5112_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4676_.X _5236_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4676_.X _5292_.A1_N (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4676_.X _5294_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4676_.X _5329_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4676_.X ANTENNA__5329__B2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4676_.X ANTENNA__5294__A.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _4676_.X ANTENNA__5292__A1_N.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4676_.X ANTENNA__5236__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4676_.X ANTENNA__5112__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4676_.X ANTENNA__4829__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4676_.X ANTENNA__4821__B2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4676_.X ANTENNA__4781__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4676_.X ANTENNA__4757__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4676_.X ANTENNA__4678__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4677_.Y _4678_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4677_.Y _4782_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4678_.X _4688_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4678_.X _4726_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4679_.Y _4680_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4680_.X _4687_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4680_.X _4732_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4681_.X _4684_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4681_.X _4685_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4682_.Y _4684_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4682_.Y _4685_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4683_.X _4684_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4683_.X _4685_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4684_.X _4686_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4684_.X _4732_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4685_.Y _4686_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4685_.Y _4732_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4686_.Y _4687_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4687_.Y _4688_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4687_.Y _4726_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4688_.X _4689_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4688_.X _4728_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4688_.X _4744_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4688_.X _4792_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _4689_.X _4745_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4689_.X _4765_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4689_.X _4766_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _4689_.X _4859_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4690_.X _4696_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4690_.X _4700_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4691_.Y _4696_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4691_.Y _4700_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4692_.Y _4695_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4692_.Y _4704_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4692_.Y _4705_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4693_.Y _4695_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4693_.Y _4704_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4693_.Y _4705_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4694_.X _4695_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4694_.X _4704_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4694_.X _4705_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4695_.Y _4696_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4695_.Y _4700_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4696_.Y _4701_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4696_.Y _4702_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4696_.Y _4717_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4697_.X _4699_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4697_.X _4719_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4697_.X _4720_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4698_.Y _4699_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4699_.Y _4701_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4699_.Y _4702_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4699_.Y _4717_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4700_.X _4701_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4700_.X _4702_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4700_.X _4717_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4701_.Y _4712_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4701_.Y _4713_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4702_.X _4712_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _4702_.X _4713_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4703_.Y _4711_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4703_.Y _4769_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4703_.Y _4770_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4704_.X _4709_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4704_.X _4710_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4705_.Y _4709_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4705_.Y _4710_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4706_.X _4708_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4706_.X _4772_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4707_.X _4708_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4707_.X _4772_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4708_.X _4709_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4708_.X _4710_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4709_.Y _4711_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4709_.Y _4769_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4709_.Y _4770_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4710_.X _4711_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4710_.X _4769_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4710_.X _4770_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4711_.Y _4712_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4711_.Y _4713_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4712_.Y _4714_.C (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4712_.Y _4725_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4712_.Y _4727_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4712_.Y _4767_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4713_.X _4714_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4713_.X _4767_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4714_.Y _4725_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4714_.Y _4727_.C1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4714_.Y _4779_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4714_.Y _4780_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4714_.Y _4790_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4714_.Y ANTENNA__4790__A1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4714_.Y ANTENNA__4780__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4714_.Y ANTENNA__4779__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4714_.Y ANTENNA__4727__C1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4714_.Y ANTENNA__4725__A2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4715_.Y _4718_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4715_.Y _4722_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4716_.X _4718_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4716_.X _4722_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4717_.X _4718_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4717_.X _4722_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4718_.X _4723_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4718_.X _4724_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4718_.X _4731_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4718_.X _4740_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4719_.X _4721_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4719_.X _4735_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4719_.X _4736_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4719_.X _4752_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4719_.X ANTENNA__4752__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4719_.X ANTENNA__4736__B1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4719_.X ANTENNA__4735__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4719_.X ANTENNA__4721__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4720_.Y _4721_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4721_.X _4723_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4721_.X _4724_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4722_.Y _4723_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4722_.Y _4724_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4723_.Y _4725_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4723_.Y _4727_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4723_.Y _4731_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4723_.Y _4740_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4724_.X _4725_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4724_.X _4727_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4725_.Y _4728_.B (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _4725_.Y _4743_.A1 (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _4725_.Y _4746_.B1 (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _4725_.Y _4792_.B2 (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _4725_.Y ANTENNA__4792__B2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4725_.Y ANTENNA__4746__B1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4725_.Y ANTENNA__4743__A1.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _4725_.Y ANTENNA__4728__B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4726_.Y _4728_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4726_.Y _4792_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4727_.X _4728_.D (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4727_.X _4792_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4727_.X ANTENNA__4792__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4727_.X ANTENNA__4728__D.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4728_.Y _4743_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4728_.Y _4746_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4728_.Y _4793_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4728_.Y _4794_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4729_.Y _4731_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4729_.Y _4740_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4730_.X _4731_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4730_.X _4740_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4731_.X _4741_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4731_.X _4742_.A1 (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _4731_.X _4748_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4731_.X ANTENNA__4748__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4731_.X ANTENNA__4742__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4731_.X ANTENNA__4741__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4732_.X _4733_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4733_.Y _4737_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4733_.Y _4738_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4734_.X _4735_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4734_.X _4736_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4734_.X _4752_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4735_.Y _4737_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4735_.Y _4738_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4736_.X _4737_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4736_.X _4738_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4737_.X _4739_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4737_.X _4754_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4737_.X _4755_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4738_.Y _4739_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4739_.X _4741_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4739_.X _4742_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4740_.Y _4741_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4740_.Y _4742_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4740_.Y ANTENNA__4742__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4740_.Y ANTENNA__4741__C.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4741_.X _4743_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4741_.X _4746_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4741_.X _4751_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4741_.X _4760_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4742_.Y _4743_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4742_.Y _4746_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4743_.X _4747_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4743_.X _4763_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4743_.X _4764_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _4743_.X _4796_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4744_.Y _4745_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4745_.X _4747_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4745_.X _4796_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4746_.Y _4747_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4746_.Y _4796_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4747_.Y _4763_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4747_.Y _4764_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4747_.Y _4797_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4747_.Y _4844_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4748_.Y _4751_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4748_.Y _4760_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4749_.X _4751_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4749_.X _4760_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4750_.Y _4751_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4750_.Y _4760_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4751_.Y _4761_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4751_.Y _4762_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4751_.Y _4853_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4752_.X _4754_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4752_.X _4755_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4753_.X _4754_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4753_.X _4755_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4754_.X _4756_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4754_.X _4851_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4755_.X _4756_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4756_.X _4759_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4756_.X _4851_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4757_.X _4758_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4757_.X _4830_.A (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _4757_.X _4851_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4757_.X _5216_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4757_.X _5224_.A2 (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _4757_.X _5339_.D (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4757_.X _5340_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4757_.X _5953_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4757_.X _5960_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4757_.X _6102_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4757_.X ANTENNA__6102__A1.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4757_.X ANTENNA__5960__B2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4757_.X ANTENNA__5953__B2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4757_.X ANTENNA__5340__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4757_.X ANTENNA__5339__D.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4757_.X ANTENNA__5224__A2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4757_.X ANTENNA__5216__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4757_.X ANTENNA__4851__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4757_.X ANTENNA__4830__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4757_.X ANTENNA__4758__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4758_.Y _4759_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4759_.X _4761_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4759_.X _4762_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4759_.X _4853_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4760_.X _4761_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4760_.X _4762_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4760_.X _4853_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4761_.X _4763_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4761_.X _4764_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4762_.Y _4763_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4762_.Y _4764_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4763_.Y _4765_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4763_.Y _4766_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4763_.Y _4859_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4764_.X _4765_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4764_.X _4766_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4764_.X _4859_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4765_.Y _4798_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4765_.Y _4848_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4765_.Y _6040_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4766_.X _4798_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4766_.X _4848_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4766_.X _6040_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4767_.X _4779_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4767_.X _4780_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4767_.X _4790_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4767_.X ANTENNA__4790__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4767_.X ANTENNA__4780__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4767_.X ANTENNA__4779__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4768_.X _4778_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4768_.X _4800_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4768_.X _4801_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4769_.X _4776_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4769_.X _4777_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4770_.Y _4776_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4770_.Y _4777_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4771_.Y _4773_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4772_.X _4773_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4773_.Y _4775_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4773_.Y _4802_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4774_.X _4775_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4774_.X _4802_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4774_.X _4818_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4775_.X _4776_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4775_.X _4777_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4776_.X _4778_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4776_.X _4800_.C (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4776_.X _4801_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4776_.X ANTENNA__4801__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4776_.X ANTENNA__4800__C.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4776_.X ANTENNA__4778__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4777_.Y _4778_.B1_N (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4777_.Y _4800_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4777_.Y _4801_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4777_.Y ANTENNA__4801__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4777_.Y ANTENNA__4800__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4777_.Y ANTENNA__4778__B1_N.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4778_.X _4779_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4778_.X _4780_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4778_.X _4790_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4779_.Y _4793_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4779_.Y _4794_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4780_.X _4791_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4780_.X _4799_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4781_.Y _4782_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4782_.Y _4787_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4782_.Y _4788_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4783_.X _4784_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4784_.Y _4786_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4784_.Y _4805_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4785_.X _4786_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4785_.X _4805_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4785_.X _4822_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4786_.X _4787_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4786_.X _4788_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4786_.X _4806_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4787_.X _4789_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4787_.X _4795_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4787_.X _4836_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4788_.Y _4789_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4789_.X _4791_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4789_.X _4799_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4790_.Y _4791_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4790_.Y _4799_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4791_.X _4793_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4791_.X _4794_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4791_.X _4809_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4791_.X _4810_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4792_.X _4793_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4792_.X _4794_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4793_.X _4795_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4793_.X _4797_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4793_.X _4836_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4793_.X _4844_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4794_.Y _4795_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4794_.Y _4836_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4795_.Y _4797_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4795_.Y _4838_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4795_.Y _4839_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4795_.Y _4842_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4795_.Y _4844_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4796_.X _4797_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4796_.X _4844_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4797_.Y _4798_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _4797_.Y _4845_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4797_.Y _4846_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4797_.Y _4848_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4797_.Y _6040_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4798_.Y _4849_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4798_.Y _6041_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4799_.Y _4809_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4799_.Y _4810_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4800_.Y _4804_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4800_.Y _4807_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4801_.X _4804_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4801_.X _4807_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4802_.X _4803_.C (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4802_.X _4814_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4802_.X ANTENNA__4814__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4802_.X ANTENNA__4803__C.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4803_.X _4804_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4803_.X _4807_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4803_.X _4815_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4804_.X _4808_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4804_.X _4812_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4805_.Y _4806_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4806_.Y _4808_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4806_.Y _4813_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4807_.X _4808_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4807_.X _4812_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4808_.X _4809_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4808_.X _4810_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4809_.X _4811_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4809_.X _4837_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4809_.X _4843_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4809_.X _4845_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4809_.X _4846_.A1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4810_.X _4811_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4810_.X _4837_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4810_.X _4843_.D_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4811_.X _4835_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4811_.X _5992_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4812_.X _4813_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4813_.Y _4825_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4813_.Y _4837_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4813_.Y _4841_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4814_.Y _4815_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4815_.Y _4820_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4815_.Y _4824_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4816_.X _4817_.B2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4816_.X _4829_.A (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4816_.X _4963_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4816_.X _5103_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4816_.X _5246_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4816_.X _5325_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4816_.X _5339_.B (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _4816_.X _5953_.A1 (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _4816_.X _5960_.A2 (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _4816_.X _6084_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4816_.X ANTENNA__6084__A1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4816_.X ANTENNA__5960__A2.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _4816_.X ANTENNA__5953__A1.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4816_.X ANTENNA__5339__B.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _4816_.X ANTENNA__5325__B2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4816_.X ANTENNA__5246__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4816_.X ANTENNA__5103__A2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4816_.X ANTENNA__4963__B2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4816_.X ANTENNA__4829__A.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _4816_.X ANTENNA__4817__B2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4817_.Y _4818_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4818_.Y _4819_.C (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4818_.Y _4827_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4818_.Y ANTENNA__4827__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4818_.Y ANTENNA__4819__C.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4819_.X _4820_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4819_.X _4824_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4819_.X _4828_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4820_.Y _4823_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4820_.Y _4826_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4821_.Y _4822_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4822_.X _4823_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4822_.X _4826_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4823_.X _4824_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4823_.X _4834_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4823_.X _5976_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4824_.X _4825_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4824_.X _4837_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4824_.X _4841_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4825_.X _4835_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4825_.X _5985_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4825_.X _5986_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4826_.Y _4834_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4826_.Y _5976_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4827_.Y _4828_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4828_.Y _4831_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4828_.Y _4833_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4829_.X _4831_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4829_.X _4833_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4829_.X _5954_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4830_.Y _4832_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4830_.Y _5965_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4831_.Y _4832_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4831_.Y _5965_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4832_.Y _4833_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4832_.Y _5966_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4833_.X _4834_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4833_.X _5977_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4834_.X _4835_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4834_.X _5978_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4834_.X _5985_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4834_.X _5986_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4835_.Y _4840_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4835_.Y _6001_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4835_.Y _6010_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4836_.X _4838_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4836_.X _4839_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4836_.X _4842_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4837_.X _4838_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4837_.X _4839_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4838_.X _4840_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4838_.X _6010_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4839_.Y _4840_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4839_.Y _6010_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4840_.X _4847_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4840_.X _6014_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4840_.X _6022_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4841_.Y _4843_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4841_.Y _5992_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4842_.X _4843_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4842_.X _4845_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4842_.X _4846_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4843_.X _4847_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4843_.X _6022_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4844_.X _4845_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4844_.X _4846_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4845_.X _4847_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4845_.X _4848_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4845_.X _6023_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4845_.X _6042_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4846_.X _4847_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4846_.X _6023_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4847_.X _4849_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4847_.X _6042_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4848_.Y _4849_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4849_.X _4862_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4849_.X _5519_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4849_.X _6049_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4849_.X _6050_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4849_.X _6067_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4850_.Y _4857_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4850_.Y _4863_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4850_.Y _4865_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4851_.X _4856_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4851_.X _4858_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4852_.X _4854_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4852_.X _4855_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4853_.Y _4854_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4853_.Y _4855_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4854_.X _4856_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4854_.X _4858_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4855_.X _4856_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4856_.Y _4857_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4856_.Y _4863_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4856_.Y _4865_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4857_.Y _4861_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4857_.Y _6068_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4857_.Y _6069_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4858_.X _4860_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4858_.X _4864_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4859_.Y _4860_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4859_.Y _4864_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4860_.X _4861_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4860_.X _6049_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4860_.X _6050_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4860_.X _6067_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4861_.X _4862_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4861_.X _5519_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4862_.Y _4868_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4862_.Y _6076_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4863_.X _4866_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4864_.X _4866_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4864_.X _6067_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4865_.X _4866_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4866_.Y _4868_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _4866_.Y _5518_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4866_.Y _6076_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4867_.X _4868_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4867_.X _5518_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4867_.X _5519_.D_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4867_.X _6076_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4868_.Y _4869_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4868_.Y _6077_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4869_.Y _4870_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4870_.X _4873_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4871_.Y _4872_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4871_.Y _5632_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4871_.Y _5932_.A (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _4871_.Y _6003_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4871_.Y _6013_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4871_.Y _6026_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4871_.Y _6077_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4871_.Y ANTENNA__6077__B1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4871_.Y ANTENNA__6026__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4871_.Y ANTENNA__6013__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4871_.Y ANTENNA__6003__B1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4871_.Y ANTENNA__5932__A.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _4871_.Y ANTENNA__5632__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4871_.Y ANTENNA__4872__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4872_.X _4873_.C1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4872_.X _5874_.A (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4872_.X _5959_.B1 (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _4872_.X _5961_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4872_.X _5970_.C1 (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _4872_.X _5990_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4872_.X _6029_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4872_.X _6044_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4872_.X _6060_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4872_.X _6063_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4872_.X ANTENNA__6063__B1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4872_.X ANTENNA__6060__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4872_.X ANTENNA__6044__C1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4872_.X ANTENNA__6029__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4872_.X ANTENNA__5990__C1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4872_.X ANTENNA__5970__C1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4872_.X ANTENNA__5961__B1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4872_.X ANTENNA__5959__B1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4872_.X ANTENNA__5874__A.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _4872_.X ANTENNA__4873__C1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4873_.X _5422_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4874_.X _5422_.B1 (0.016:0.016:0.016) (0.015:0.015:0.015))
+    (INTERCONNECT _4874_.X _5633_.A (0.017:0.017:0.017) (0.016:0.016:0.016))
+    (INTERCONNECT _4874_.X _5933_.C1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4874_.X _5962_.C1 (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT _4874_.X _6005_.A (0.017:0.017:0.017) (0.016:0.016:0.016))
+    (INTERCONNECT _4874_.X _6060_.C1 (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT _4874_.X _6072_.C1 (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT _4874_.X _6081_.C1 (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT _4874_.X _6136_.A_N (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4874_.X _6171_.A_N (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4874_.X ANTENNA__6171__A_N.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4874_.X ANTENNA__6136__A_N.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4874_.X ANTENNA__6081__C1.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+    (INTERCONNECT _4874_.X ANTENNA__6072__C1.DIODE (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT _4874_.X ANTENNA__6060__C1.DIODE (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT _4874_.X ANTENNA__6005__A.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+    (INTERCONNECT _4874_.X ANTENNA__5962__C1.DIODE (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT _4874_.X ANTENNA__5933__C1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4874_.X ANTENNA__5633__A.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+    (INTERCONNECT _4874_.X ANTENNA__5422__B1.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+    (INTERCONNECT _4875_.X _4928_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4875_.X _5129_.A1 (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4875_.X _5224_.A1 (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _4875_.X _5367_.A1 (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _4875_.X _5531_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4875_.X _5664_.A1 (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _4875_.X _5697_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4875_.X _5790_.A (0.015:0.015:0.015) (0.014:0.014:0.014))
+    (INTERCONNECT _4875_.X _5791_.A2 (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT _4875_.X _6203_.A0 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4875_.X ANTENNA__6203__A0.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _4875_.X ANTENNA__5791__A2.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT _4875_.X ANTENNA__5790__A.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT _4875_.X ANTENNA__5697__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4875_.X ANTENNA__5664__A1.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT _4875_.X ANTENNA__5531__A1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4875_.X ANTENNA__5367__A1.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _4875_.X ANTENNA__5224__A1.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _4875_.X ANTENNA__5129__A1.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _4875_.X ANTENNA__4928__A1.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _4876_.X _4878_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4876_.X _4963_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4877_.X _4878_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4877_.X _4963_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4878_.X _4880_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4879_.Y _4880_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4880_.Y _4885_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4880_.Y _4886_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4881_.X _4883_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4881_.X _4884_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4881_.X _5026_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4882_.Y _4883_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4882_.Y _5026_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4883_.X _4884_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4883_.X _5027_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4884_.X _4885_.B_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4884_.X _4886_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4885_.X _4905_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4885_.X _4921_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4885_.X ANTENNA__4921__A.DIODE (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _4885_.X ANTENNA__4905__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4886_.Y _4888_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4886_.Y _5024_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4887_.X _4888_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4887_.X _5024_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4887_.X _5105_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4888_.Y _4905_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4888_.Y _4921_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4888_.Y ANTENNA__4921__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4888_.Y ANTENNA__4905__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4889_.Y _4891_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4889_.Y _4924_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4890_.X _4891_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4890_.X _4924_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4891_.Y _4893_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4892_.Y _4893_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4892_.Y _4924_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4893_.Y _4894_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4894_.Y _4904_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4894_.Y _5002_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4895_.X _4897_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4896_.X _4897_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4896_.X _5012_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4897_.X _4899_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4898_.Y _4899_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4899_.X _4903_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4899_.X _5001_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4900_.X _4902_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4900_.X _4911_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4901_.X _4902_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4901_.X _4911_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4902_.X _4903_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4902_.X _5001_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4903_.Y _4904_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4903_.Y _5002_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4904_.Y _4905_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4904_.Y _4921_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4905_.Y _4922_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4905_.Y _4925_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4905_.Y _4926_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4906_.Y _4908_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4906_.Y _5125_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4907_.X _4908_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4907_.X _5125_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4908_.Y _4910_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4909_.Y _4910_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4909_.Y _5125_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4910_.Y _4920_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4910_.Y _5107_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4911_.X _4913_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4912_.Y _4913_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4913_.Y _4918_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4913_.Y _4919_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4914_.X _4916_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4914_.X _4917_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4914_.X _5114_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4915_.Y _4916_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4915_.Y _5114_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4916_.X _4917_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4916_.X _5115_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4917_.Y _4918_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4917_.Y _4919_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4918_.Y _4920_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4918_.Y _5107_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4919_.X _4920_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4920_.Y _4923_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4920_.Y _5022_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4921_.X _4922_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4922_.Y _4923_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4922_.Y _5022_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4923_.X _4925_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4923_.X _4926_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4924_.X _4925_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4924_.X _4926_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4925_.X _4927_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4925_.X _4928_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4926_.X _4927_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4927_.X _4928_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4927_.X _5045_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4928_.X _5099_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4928_.X _5366_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4929_.Y _4932_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4929_.Y _4933_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4929_.Y _4949_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4930_.X _4932_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4930_.X _4933_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4930_.X _4949_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4931_.X _4932_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4931_.X _4933_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4931_.X _4949_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4932_.Y _4938_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4932_.Y _4939_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4933_.X _4938_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4933_.X _4939_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4934_.Y _4937_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4934_.Y _5028_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4934_.Y _5029_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4935_.Y _4937_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4935_.Y _5028_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4935_.Y _5029_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4936_.X _4937_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4936_.X _5028_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4936_.X _5029_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4937_.Y _4938_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4937_.Y _4939_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4938_.Y _4945_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4938_.Y _4960_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4938_.Y _4961_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4938_.Y _5025_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4939_.X _4945_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4939_.X _5025_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4940_.Y _4942_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4940_.Y _4964_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4941_.X _4942_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4941_.X _4964_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4942_.Y _4944_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4943_.Y _4944_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4943_.Y _4964_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4944_.Y _4945_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4944_.Y _5025_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4945_.Y _4960_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4945_.Y _4961_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4945_.Y _5037_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4945_.Y _5038_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4946_.X _4948_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4946_.X _4985_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4946_.X _5054_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4947_.X _4948_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4947_.X _4984_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4948_.X _4950_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4949_.Y _4950_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4949_.Y _4985_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4950_.Y _4957_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4950_.Y _4958_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4951_.X _4954_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4951_.X _4955_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4952_.Y _4954_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4952_.Y _4955_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4953_.X _4954_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4953_.X _4955_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4954_.Y _4956_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4955_.X _4956_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4955_.X _4991_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _4955_.X _4992_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4956_.Y _4957_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4956_.Y _4958_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4957_.Y _4959_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4957_.Y _4985_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4958_.X _4959_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4959_.Y _4960_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4959_.Y _4962_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4960_.Y _4998_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4960_.Y _4999_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _4961_.Y _4962_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4962_.X _4974_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4962_.X _5023_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4963_.X _4971_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4963_.X _4972_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4964_.X _4968_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4964_.X _4969_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4965_.X _4967_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4965_.X _4994_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4965_.X _4995_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4966_.Y _4967_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4967_.X _4968_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4967_.X _4969_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4968_.X _4970_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4968_.X _5017_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4968_.X _5018_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4968_.X ANTENNA__5018__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4968_.X ANTENNA__5017__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4968_.X ANTENNA__4970__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4969_.Y _4970_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4970_.X _4971_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4970_.X _4972_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4971_.Y _4973_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4971_.Y _5017_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4971_.Y _5018_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4972_.X _4973_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4973_.X _4974_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4973_.X _5023_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4974_.X _4998_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4974_.X _4999_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4975_.X _4978_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4975_.X _4979_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4976_.X _4977_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4976_.X _4979_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4976_.X _5056_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4977_.Y _4978_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4978_.Y _4980_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4979_.X _4980_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4979_.X _5056_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4980_.Y _4983_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4980_.Y _5054_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4981_.Y _4982_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4982_.X _4983_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4982_.X _5054_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4983_.Y _4986_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4983_.Y _5065_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _4984_.Y _4985_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4985_.Y _4986_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4985_.Y _5065_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4986_.X _4997_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4986_.X _5066_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _4987_.X _4991_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4987_.X _4992_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4988_.X _4990_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4988_.X _5063_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4988_.X _5379_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4989_.Y _4990_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4990_.X _4991_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4990_.X _4992_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4991_.Y _4993_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4991_.Y _5079_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4991_.Y _5080_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4991_.Y ANTENNA__5080__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4991_.Y ANTENNA__5079__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4991_.Y ANTENNA__4993__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4992_.X _4993_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4993_.X _4994_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4993_.X _4995_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4994_.X _4996_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4995_.Y _4996_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4995_.Y _5079_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4995_.Y _5080_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4996_.Y _4997_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4996_.Y _5066_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4997_.X _4998_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4997_.X _4999_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4998_.X _5000_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4998_.X _5086_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4999_.Y _5000_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5000_.Y _5021_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5000_.Y _5086_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5001_.Y _5002_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5002_.X _5020_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5002_.X _5088_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5003_.Y _5005_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5003_.Y _5089_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5004_.X _5005_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5004_.X _5089_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5005_.Y _5007_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5006_.Y _5007_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5006_.Y _5089_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5007_.Y _5014_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5007_.Y _5015_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5008_.Y _5010_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5009_.X _5010_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5009_.X _5377_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5010_.X _5013_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5010_.X _5068_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5011_.X _5012_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5012_.X _5013_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5012_.X _5068_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5013_.Y _5014_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5013_.Y _5015_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5014_.Y _5016_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5014_.Y _5069_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5015_.X _5016_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5016_.Y _5017_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5016_.Y _5018_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5017_.Y _5019_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5017_.Y _5090_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5017_.Y _5091_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5018_.X _5019_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5019_.Y _5020_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5019_.Y _5088_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5020_.Y _5021_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5020_.Y _5086_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5021_.Y _5043_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5021_.Y _5046_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5022_.Y _5042_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5022_.Y _5133_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5023_.Y _5040_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5023_.Y _5041_.B_N (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5023_.Y ANTENNA__5041__B_N.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5023_.Y ANTENNA__5040__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5024_.X _5039_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5024_.X _5152_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5024_.X _5153_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5025_.X _5037_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5025_.X _5038_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5026_.X _5027_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5027_.Y _5036_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5027_.Y _5134_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5027_.Y _5135_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5028_.X _5034_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5028_.X _5035_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5029_.Y _5034_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5029_.Y _5035_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5030_.Y _5033_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5030_.Y _5138_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5030_.Y _5139_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5031_.Y _5033_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5031_.Y _5138_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5031_.Y _5139_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5032_.X _5033_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5032_.X _5138_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5032_.X _5139_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5033_.Y _5034_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5033_.Y _5035_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5034_.X _5036_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5034_.X _5134_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5034_.X _5135_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5035_.Y _5036_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5035_.Y _5134_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5035_.Y _5135_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5036_.X _5037_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5036_.X _5038_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5037_.X _5039_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5037_.X _5152_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5037_.X _5153_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5038_.Y _5039_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5038_.Y _5152_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5038_.Y _5153_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5039_.Y _5040_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5039_.Y _5041_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5039_.Y ANTENNA__5041__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5039_.Y ANTENNA__5040__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5040_.Y _5042_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5040_.Y _5133_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5041_.X _5042_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5042_.X _5043_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5042_.X _5046_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5043_.X _5096_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5043_.X _5097_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5044_.Y _5045_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5045_.X _5047_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5045_.X _5130_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5046_.X _5047_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5046_.X _5130_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5047_.Y _5096_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5047_.Y _5097_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5048_.Y _5051_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5049_.Y _5051_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _5049_.Y _5395_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5049_.Y _5428_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5050_.X _5051_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5050_.X _5400_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5051_.Y _5053_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5051_.Y _5400_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5052_.Y _5053_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5053_.Y _5055_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5053_.Y _5390_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5054_.Y _5055_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5054_.Y _5390_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5055_.Y _5064_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5055_.Y _5392_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5056_.X _5062_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5056_.X _5378_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5057_.X _5058_.A1 (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _5057_.X _5397_.B2 (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _5057_.X _5429_.A (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _5057_.X _5640_.B (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _5057_.X _5677_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5057_.X _5785_.C1 (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _5057_.X _5808_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5057_.X _5880_.D (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _5057_.X _5906_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5057_.X _6169_.A1 (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _5057_.X ANTENNA__6169__A1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _5057_.X ANTENNA__5906__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5057_.X ANTENNA__5880__D.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _5057_.X ANTENNA__5808__C1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5057_.X ANTENNA__5785__C1.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _5057_.X ANTENNA__5677__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5057_.X ANTENNA__5640__B.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _5057_.X ANTENNA__5429__A.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _5057_.X ANTENNA__5397__B2.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _5057_.X ANTENNA__5058__A1.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _5058_.X _5061_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5058_.X _5378_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5059_.X _5060_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5059_.X _5398_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5060_.Y _5061_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5060_.Y _5378_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5060_.Y _5402_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5060_.Y _5440_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5061_.Y _5062_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5062_.X _5063_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5062_.X _5379_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5063_.X _5064_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5063_.X _5391_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5064_.Y _5067_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5064_.Y _5376_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5064_.Y ANTENNA__5376__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5064_.Y ANTENNA__5067__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5065_.X _5066_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5066_.Y _5067_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5066_.Y _5376_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5067_.X _5083_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5067_.X _5084_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5068_.X _5069_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5069_.Y _5082_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _5069_.Y _5372_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5070_.Y _5073_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5071_.X _5072_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5071_.X _5382_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5071_.X _5383_.C (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5071_.X _5444_.C (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _5071_.X ANTENNA__5444__C.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _5071_.X ANTENNA__5383__C.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _5071_.X ANTENNA__5382__B1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _5071_.X ANTENNA__5072__C.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _5072_.X _5073_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5072_.X _5371_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5073_.Y _5075_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5073_.Y _5371_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5074_.Y _5075_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5075_.Y _5078_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5075_.Y _5377_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5076_.Y _5077_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5077_.X _5078_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5077_.X _5377_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5078_.Y _5079_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _5078_.Y _5080_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5079_.Y _5081_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5079_.Y _5373_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5079_.Y _5465_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5080_.X _5081_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5081_.X _5082_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5081_.X _5372_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5082_.Y _5083_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5082_.Y _5084_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5083_.Y _5085_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5083_.Y _5376_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5084_.X _5085_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5085_.Y _5087_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5085_.Y _5368_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5086_.Y _5087_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5086_.Y _5368_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5087_.Y _5095_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5087_.Y _5369_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5088_.X _5090_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5088_.X _5091_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5089_.X _5090_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5089_.X _5091_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5090_.X _5092_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5090_.X _5367_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5091_.X _5092_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5092_.X _5094_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5092_.X _5367_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5093_.Y _5094_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5094_.X _5095_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5094_.X _5369_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5095_.X _5096_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5095_.X _5097_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5096_.Y _5098_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5096_.Y _5414_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5096_.Y _5415_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5096_.Y _5424_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5097_.X _5098_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5098_.X _5099_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5098_.X _5366_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5099_.Y _5165_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5099_.Y _5166_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5100_.Y _5102_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5101_.X _5102_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5101_.X _5103_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5102_.Y _5103_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5102_.Y _5137_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5103_.X _5106_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5103_.X _5148_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5104_.Y _5105_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5105_.Y _5106_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5105_.Y _5148_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5106_.Y _5108_.A (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _5106_.Y _5123_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _5106_.Y _5149_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5106_.Y ANTENNA__5149__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5106_.Y ANTENNA__5123__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5106_.Y ANTENNA__5108__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5107_.Y _5108_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5107_.Y _5123_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5108_.Y _5126_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5108_.Y _5127_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5109_.Y _5111_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5109_.Y _5212_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5110_.X _5111_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5110_.X _5212_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5111_.Y _5113_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5112_.Y _5113_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5112_.Y _5212_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5113_.Y _5122_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5113_.Y _5203_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5114_.X _5115_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5115_.Y _5120_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5115_.Y _5121_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5116_.X _5118_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5116_.X _5119_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5116_.X _5190_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5117_.Y _5118_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5117_.Y _5190_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5118_.X _5119_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5118_.X _5195_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5118_.X _5196_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5119_.Y _5120_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5119_.Y _5121_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5120_.Y _5122_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5120_.Y _5203_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5121_.X _5122_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5122_.X _5124_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5122_.X _5155_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5123_.X _5124_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5123_.X _5155_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5124_.X _5126_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5124_.X _5127_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5125_.X _5126_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5125_.X _5127_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5126_.X _5128_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5126_.X _5129_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5127_.X _5128_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5128_.X _5129_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5128_.X _5132_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5129_.X _5164_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5129_.X _5167_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5130_.X _5162_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5130_.X _5163_.B_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5131_.Y _5132_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5132_.X _5161_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5132_.X _5221_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5133_.Y _5159_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5133_.Y _5160_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5134_.Y _5147_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5134_.Y _5150_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5135_.X _5147_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5135_.X _5150_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5136_.Y _5137_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5137_.Y _5146_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5137_.Y _5168_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5137_.Y _5169_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5138_.X _5144_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5138_.X _5145_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5139_.Y _5144_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5139_.Y _5145_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5140_.Y _5143_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5140_.Y _5173_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5140_.Y _5174_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5141_.Y _5143_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5141_.Y _5173_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5141_.Y _5174_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5142_.X _5143_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5142_.X _5173_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5142_.X _5174_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5143_.Y _5144_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5143_.Y _5145_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5144_.X _5146_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5144_.X _5168_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5144_.X _5169_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5145_.Y _5146_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5145_.Y _5168_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5145_.Y _5169_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5146_.X _5147_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5146_.X _5150_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5147_.Y _5151_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _5147_.Y _5154_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5147_.Y _5156_.B1 (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _5147_.Y _5188_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5148_.X _5149_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5149_.X _5151_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5149_.X _5188_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5150_.X _5151_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5150_.X _5188_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5151_.Y _5154_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5151_.Y _5156_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5151_.Y _5189_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5151_.Y _5207_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5152_.X _5154_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5152_.X _5156_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5153_.Y _5154_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5153_.Y _5156_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5154_.X _5157_.C (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _5154_.X _5158_.A (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _5154_.X _5209_.A1 (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _5154_.X ANTENNA__5209__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5154_.X ANTENNA__5158__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5154_.X ANTENNA__5157__C.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _5155_.Y _5157_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5155_.Y _5209_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5156_.Y _5157_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _5156_.Y _5209_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _5156_.Y ANTENNA__5209__A2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5156_.Y ANTENNA__5157__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5157_.Y _5158_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5157_.Y _5210_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5157_.Y _5218_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5158_.Y _5159_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5158_.Y _5160_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5159_.X _5161_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5159_.X _5221_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5160_.X _5161_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5161_.X _5162_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5161_.X _5163_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5162_.Y _5164_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5162_.Y _5167_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5163_.X _5164_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5164_.Y _5165_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5164_.Y _5166_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5165_.X _5417_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _5165_.X _5420_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5165_.X _5472_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _5165_.X _5473_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5166_.Y _5365_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5166_.Y _6074_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5167_.Y _5227_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5167_.Y _5362_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5168_.Y _5182_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _5168_.Y _5186_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5169_.X _5182_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _5169_.X _5186_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5170_.X _5172_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5170_.X _5183_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5170_.X _5184_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5171_.Y _5172_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5172_.Y _5181_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5172_.Y _5244_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5172_.Y _5245_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5173_.X _5179_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5173_.X _5180_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5174_.Y _5179_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5174_.Y _5180_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5175_.Y _5178_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5175_.Y _5247_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5175_.Y _5248_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5176_.Y _5178_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5176_.Y _5247_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5176_.Y _5248_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5177_.X _5178_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5177_.X _5247_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5177_.X _5248_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _5178_.Y _5179_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5178_.Y _5180_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5179_.X _5181_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5179_.X _5244_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5179_.X _5245_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5180_.Y _5181_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5180_.Y _5244_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5180_.Y _5245_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5181_.X _5182_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5181_.X _5186_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5182_.X _5187_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5182_.X _5189_.A1 (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _5182_.X _5207_.B1 (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _5182_.X _5258_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5183_.Y _5185_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5183_.Y _5204_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5183_.Y _5211_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5183_.Y ANTENNA__5211__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5183_.Y ANTENNA__5204__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5183_.Y ANTENNA__5185__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5184_.X _5185_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5185_.Y _5187_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5185_.Y _5258_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5186_.Y _5187_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5186_.Y _5258_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5187_.Y _5189_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5187_.Y _5207_.C1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _5187_.Y _5259_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5187_.Y _5262_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _5188_.X _5189_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5188_.X _5207_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5189_.X _5208_.A (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _5189_.X _5210_.A1 (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _5189_.X _5218_.B1 (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _5189_.X _5264_.A (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _5189_.X _5265_.B2 (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _5189_.X ANTENNA__5265__B2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _5189_.X ANTENNA__5264__A.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _5189_.X ANTENNA__5218__B1.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _5189_.X ANTENNA__5210__A1.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _5189_.X ANTENNA__5208__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5190_.X _5195_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5190_.X _5196_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5191_.X _5193_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5191_.X _5194_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5191_.X _5230_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _5192_.Y _5193_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5192_.Y _5230_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5193_.X _5194_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5193_.X _5235_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5193_.X _5237_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5194_.Y _5195_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5194_.Y _5197_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5195_.X _5205_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5195_.X _5206_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5196_.Y _5197_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5197_.Y _5202_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5197_.Y _5240_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5198_.Y _5200_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5199_.X _5200_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5199_.X _5242_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5199_.X _5267_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5200_.X _5201_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5201_.Y _5202_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5201_.Y _5240_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5202_.Y _5205_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5202_.Y _5206_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5203_.Y _5204_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5203_.Y _5211_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5204_.Y _5205_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5204_.Y _5206_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5205_.Y _5208_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5205_.Y _5213_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5205_.Y _5214_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5205_.Y _5264_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5205_.Y _5265_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5206_.X _5208_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5206_.X _5264_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5206_.X _5265_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5207_.Y _5208_.D (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _5207_.Y _5264_.D (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _5207_.Y _5265_.B1 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _5207_.Y ANTENNA__5265__B1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _5207_.Y ANTENNA__5264__D.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _5207_.Y ANTENNA__5208__D.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _5208_.Y _5210_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5208_.Y _5218_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5209_.X _5210_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5209_.X _5218_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5210_.Y _5219_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5210_.Y _5222_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5210_.Y _5223_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5210_.Y _5225_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5211_.Y _5213_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5211_.Y _5214_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5212_.X _5213_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5212_.X _5214_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5213_.X _5215_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5213_.X _5224_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5214_.X _5215_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5215_.X _5217_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5215_.X _5224_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5216_.Y _5217_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5217_.X _5220_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5217_.X _5243_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5218_.X _5219_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5219_.Y _5220_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5219_.Y _5243_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5220_.X _5222_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5220_.X _5223_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5220_.X _5225_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5221_.Y _5222_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5221_.Y _5223_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5221_.Y _5225_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5222_.X _5227_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5222_.X _5362_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5223_.Y _5226_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5223_.Y _5228_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5224_.Y _5226_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5224_.Y _5229_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5225_.X _5226_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5225_.X _5228_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5226_.X _5227_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5226_.X _5362_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5227_.X _5365_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5227_.X _6061_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5227_.X _6063_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5227_.X _6073_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5228_.Y _5229_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5229_.Y _5275_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5229_.Y _5363_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5230_.X _5235_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5230_.X _5237_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5231_.X _5233_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5231_.X _5234_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5231_.X _5292_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5232_.Y _5233_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5232_.Y _5292_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5233_.X _5234_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5233_.X _5293_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5234_.Y _5235_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5234_.Y _5238_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5235_.X _5241_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5235_.X _5260_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5236_.Y _5239_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5236_.Y _5291_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5237_.Y _5238_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5238_.Y _5239_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5238_.Y _5291_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5239_.X _5241_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5239_.X _5260_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5240_.Y _5241_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5240_.Y _5260_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5241_.Y _5242_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5241_.Y _5261_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5241_.Y _5267_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5242_.X _5268_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5242_.X _5274_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5242_.X _5307_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _5243_.X _5272_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5243_.X _5273_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5243_.X _5306_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5244_.Y _5255_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5244_.Y _5256_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5245_.X _5255_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5245_.X _5256_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5246_.Y _5254_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5246_.Y _5277_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5246_.Y _5278_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5247_.X _5252_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5247_.X _5253_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5248_.Y _5252_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5248_.Y _5253_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5249_.X _5251_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5249_.X _5280_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5250_.X _5251_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5250_.X _5280_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5251_.X _5252_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5251_.X _5253_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5252_.Y _5254_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5252_.Y _5277_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5252_.Y _5278_.A2 (0.002:0.002:0.002) (0.001:0.002:0.002))
+    (INTERCONNECT _5253_.X _5254_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5253_.X _5277_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5253_.X _5278_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5254_.Y _5255_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5254_.Y _5256_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5255_.Y _5257_.C (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _5255_.Y _5259_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5255_.Y _5262_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5255_.Y _5276_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5256_.X _5257_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5256_.X _5276_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5257_.Y _5259_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5257_.Y _5262_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5257_.Y _5288_.A (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _5257_.Y _5289_.A (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _5257_.Y _5290_.A1 (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _5257_.Y ANTENNA__5290__A1.DIODE (0.010:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _5257_.Y ANTENNA__5289__A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _5257_.Y ANTENNA__5288__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _5257_.Y ANTENNA__5262__C1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5257_.Y ANTENNA__5259__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5258_.X _5259_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5258_.X _5262_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5259_.Y _5263_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _5259_.Y _5266_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _5259_.Y _5269_.B1 (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _5259_.Y _5300_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _5259_.Y ANTENNA__5300__A1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _5259_.Y ANTENNA__5269__B1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _5259_.Y ANTENNA__5266__A1.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _5259_.Y ANTENNA__5263__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _5260_.X _5261_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5261_.X _5263_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5261_.X _5300_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5262_.X _5263_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5262_.X _5300_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5262_.X ANTENNA__5300__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5262_.X ANTENNA__5263__C.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5263_.Y _5266_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5263_.Y _5269_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5263_.Y _5301_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5263_.Y _5302_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5264_.X _5266_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5264_.X _5269_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5265_.Y _5266_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5265_.Y _5269_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5266_.X _5270_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5266_.X _5271_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5266_.X _5304_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5267_.Y _5268_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5268_.X _5270_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5268_.X _5304_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5269_.Y _5270_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5269_.Y _5304_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5270_.Y _5271_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5270_.Y _5305_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5270_.Y _5354_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _5271_.X _5272_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5271_.X _5273_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5271_.X _5306_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5272_.X _5274_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5273_.X _5274_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5274_.Y _5275_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5274_.Y _5363_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5275_.X _5364_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5275_.X _6057_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5275_.X _6058_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5276_.X _5288_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _5276_.X _5289_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _5276_.X _5290_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _5276_.X ANTENNA__5290__A2.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _5276_.X ANTENNA__5289__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _5276_.X ANTENNA__5288__B.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _5277_.X _5284_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5277_.X _5286_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5278_.Y _5284_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5278_.Y _5286_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5279_.Y _5281_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5280_.X _5281_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5281_.Y _5283_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5281_.Y _5311_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5282_.X _5283_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5282_.X _5311_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5282_.X _5326_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5283_.X _5284_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5283_.X _5286_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5284_.X _5287_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _5284_.X _5309_.B (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _5284_.X _5310_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _5284_.X ANTENNA__5310__A2.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _5284_.X ANTENNA__5309__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _5284_.X ANTENNA__5287__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _5285_.X _5287_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5285_.X _5309_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5285_.X _5310_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5286_.Y _5287_.B1_N (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _5286_.Y _5309_.A (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _5286_.Y _5310_.A1 (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _5286_.Y ANTENNA__5310__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _5286_.Y ANTENNA__5309__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _5286_.Y ANTENNA__5287__B1_N.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _5287_.X _5288_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5287_.X _5289_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5287_.X _5290_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5288_.Y _5301_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5288_.Y _5302_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5289_.X _5299_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5289_.X _5308_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5290_.Y _5299_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5290_.Y _5308_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5291_.Y _5296_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5291_.Y _5297_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5292_.X _5293_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5293_.Y _5295_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5293_.Y _5314_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5294_.X _5295_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5294_.X _5314_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5294_.X _5330_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5295_.X _5296_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5295_.X _5297_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5295_.X _5315_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5296_.X _5298_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5296_.X _5303_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5296_.X _5347_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5297_.Y _5298_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5298_.X _5299_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5298_.X _5308_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5299_.X _5301_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5299_.X _5302_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5299_.X _5318_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5299_.X _5319_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5300_.X _5301_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5300_.X _5302_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5301_.X _5303_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5301_.X _5305_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5301_.X _5347_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5301_.X _5354_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5302_.Y _5303_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5302_.Y _5347_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5303_.Y _5305_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5303_.Y _5349_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5303_.Y _5350_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5303_.Y _5352_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5303_.Y _5354_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5303_.Y _5355_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5304_.X _5305_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5304_.X _5354_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5305_.Y _5356_.A1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5305_.Y _5357_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5305_.Y _5361_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5305_.Y _6045_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5305_.Y _6046_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5306_.Y _5307_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5307_.Y _5359_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5307_.Y _5360_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5308_.Y _5318_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5308_.Y _5319_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5309_.Y _5313_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5309_.Y _5316_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5310_.X _5313_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5310_.X _5316_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5311_.X _5312_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5311_.X _5324_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5311_.X ANTENNA__5324__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5311_.X ANTENNA__5312__C.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5312_.X _5313_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5312_.X _5316_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5313_.X _5317_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5313_.X _5321_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5313_.X _5322_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5314_.Y _5315_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5315_.Y _5317_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5315_.Y _5321_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5315_.Y _5322_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5316_.Y _5317_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5316_.Y _5321_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5316_.Y _5322_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5317_.X _5318_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5317_.X _5319_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5318_.X _5320_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5318_.X _5348_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5318_.X _5356_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5318_.X _5357_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5319_.X _5320_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5319_.X _5348_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5320_.X _5346_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5320_.X _5351_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5320_.X _6003_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5320_.X _6004_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5321_.Y _5333_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5321_.Y _5334_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5322_.X _5333_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5322_.X _5334_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5323_.Y _5324_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5324_.Y _5328_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5324_.Y _5332_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5325_.Y _5326_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5326_.Y _5327_.C (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _5326_.Y _5337_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5326_.Y ANTENNA__5337__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5326_.Y ANTENNA__5327__C.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5327_.X _5328_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5327_.X _5332_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5327_.X _5338_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5328_.Y _5331_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5328_.Y _5335_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5329_.Y _5330_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5330_.X _5331_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5330_.X _5335_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5331_.Y _5332_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5331_.Y _5336_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5332_.X _5333_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5332_.X _5334_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5333_.X _5345_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5333_.X _5348_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5333_.X _5351_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5333_.X _5981_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5333_.X _6002_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5334_.Y _5345_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5334_.Y _5981_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5335_.X _5336_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5336_.X _5344_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5336_.X _5972_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5337_.Y _5338_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5338_.Y _5341_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5338_.Y _5343_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5339_.X _5341_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _5339_.X _5343_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5339_.X _5961_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5340_.Y _5342_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5340_.Y _5963_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5341_.Y _5342_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5341_.Y _5963_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5342_.Y _5343_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5342_.Y _5964_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5343_.Y _5344_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5343_.Y _5972_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5344_.Y _5345_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5344_.Y _5973_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5344_.Y _5981_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5345_.Y _5346_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5345_.Y _5982_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5345_.Y _6002_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5346_.Y _5353_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5346_.Y _6008_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5347_.X _5349_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5347_.X _5350_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5347_.X _5352_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5347_.X _5355_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5348_.X _5349_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5348_.X _5350_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5349_.Y _5353_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5349_.Y _6007_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5350_.X _5353_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5350_.X _6007_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5351_.Y _5353_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5352_.Y _5353_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5353_.Y _5358_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5353_.Y _6028_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5354_.X _5356_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5354_.X _5357_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5355_.X _5356_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5355_.X _5357_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5356_.X _5358_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5356_.X _6027_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5357_.X _5358_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5357_.X _6027_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5358_.X _5359_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5358_.X _5360_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5359_.X _5361_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5359_.X _6045_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5359_.X _6046_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5360_.X _5361_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5361_.Y _5364_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5361_.Y _6057_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5361_.Y _6058_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5362_.X _5364_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _5362_.X _6061_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5363_.X _5364_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5363_.X _6062_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5364_.Y _5365_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5364_.Y _6063_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5364_.Y _6073_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5365_.X _5417_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5365_.X _5420_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5365_.X _5472_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5365_.X _5473_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5366_.Y _5414_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5366_.Y _5415_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5366_.Y _5424_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5367_.X _5413_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5367_.X _5425_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5368_.Y _5410_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5368_.Y _5411_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5369_.Y _5410_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5369_.Y _5411_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5370_.Y _5375_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5370_.Y _5466_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5371_.X _5374_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5371_.X _5465_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5372_.X _5373_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5372_.X _5465_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5373_.Y _5374_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5374_.Y _5375_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5374_.Y _5466_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5375_.Y _5409_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5375_.Y _5463_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5376_.X _5408_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5376_.X _5461_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5376_.X _5462_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5377_.Y _5389_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5377_.Y _5453_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5378_.X _5386_.A1 (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _5378_.X _5387_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5378_.X ANTENNA__5387__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5378_.X ANTENNA__5386__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5379_.X _5386_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5379_.X _5387_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5380_.Y _5385_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5380_.Y _5454_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5381_.X _5382_.A1 (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _5381_.X _5383_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _5381_.X _5442_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _5381_.X _5444_.A (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _5381_.X _5493_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _5381_.X _5494_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _5381_.X _5645_.A (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _5381_.X _5791_.A1 (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _5381_.X _5858_.A1 (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _5381_.X _6116_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5381_.X ANTENNA__6116__A1.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+    (INTERCONNECT _5381_.X ANTENNA__5858__A1.DIODE (0.017:0.017:0.017) (0.017:0.017:0.017))
+    (INTERCONNECT _5381_.X ANTENNA__5791__A1.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+    (INTERCONNECT _5381_.X ANTENNA__5645__A.DIODE (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT _5381_.X ANTENNA__5494__A1.DIODE (0.017:0.017:0.017) (0.017:0.017:0.017))
+    (INTERCONNECT _5381_.X ANTENNA__5493__A.DIODE (0.017:0.017:0.017) (0.017:0.017:0.017))
+    (INTERCONNECT _5381_.X ANTENNA__5444__A.DIODE (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT _5381_.X ANTENNA__5442__A2.DIODE (0.017:0.017:0.017) (0.017:0.017:0.017))
+    (INTERCONNECT _5381_.X ANTENNA__5383__A.DIODE (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT _5381_.X ANTENNA__5382__A1.DIODE (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT _5382_.Y _5384_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5382_.Y _5454_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5383_.X _5384_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5383_.X _5454_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5384_.Y _5385_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5385_.Y _5386_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5385_.Y _5387_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5386_.X _5388_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5386_.X _5455_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5386_.X _5456_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5387_.Y _5388_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5388_.Y _5389_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5388_.Y _5453_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5389_.Y _5407_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5389_.Y _5426_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5390_.X _5404_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5390_.X _5405_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5391_.Y _5392_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5392_.X _5404_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5392_.X _5405_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5393_.Y _5395_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5393_.Y _5428_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _5393_.Y _5436_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5393_.Y _5642_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5393_.Y _5643_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5394_.X _5395_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5395_.Y _5403_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5395_.Y _5427_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5396_.X _5397_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5396_.X _5638_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _5396_.X _5639_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _5396_.X _5675_.A (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _5396_.X _5676_.A (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _5396_.X _5784_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5396_.X _5807_.A1 (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _5396_.X _5880_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5396_.X _5906_.A (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _5396_.X _6167_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _5396_.X ANTENNA__6167__A1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _5396_.X ANTENNA__5906__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _5396_.X ANTENNA__5880__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _5396_.X ANTENNA__5807__A1.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _5396_.X ANTENNA__5784__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _5396_.X ANTENNA__5676__A.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _5396_.X ANTENNA__5675__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _5396_.X ANTENNA__5639__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _5396_.X ANTENNA__5638__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _5396_.X ANTENNA__5397__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _5397_.X _5399_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5397_.X _5441_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5398_.Y _5399_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5398_.Y _5433_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5398_.Y _5434_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5398_.Y _5441_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5399_.Y _5401_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5400_.X _5401_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5400_.X _5441_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5401_.X _5402_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5401_.X _5440_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5402_.X _5403_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5402_.X _5427_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5403_.Y _5404_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5403_.Y _5405_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5404_.Y _5406_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5404_.Y _5450_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5404_.Y _5451_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5404_.Y ANTENNA__5451__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5404_.Y ANTENNA__5450__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5404_.Y ANTENNA__5406__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5405_.X _5406_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5406_.X _5407_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5406_.X _5426_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5406_.X ANTENNA__5426__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5406_.X ANTENNA__5407__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5407_.Y _5408_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5407_.Y _5461_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5407_.Y _5462_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5408_.X _5409_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5409_.Y _5410_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5409_.Y _5411_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5410_.Y _5412_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5410_.Y _5469_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5410_.Y _5470_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5411_.X _5412_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5412_.X _5413_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5412_.X _5425_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5413_.Y _5414_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5413_.Y _5415_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5413_.Y _5424_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5414_.Y _5416_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5415_.X _5416_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5415_.X _5472_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5415_.X _5473_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5416_.Y _5417_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5416_.Y _5420_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5417_.Y _5421_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5418_.X _5419_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5419_.X _5420_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _5419_.X _5423_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5419_.X _5780_.B1 (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _5419_.X _5934_.C1 (0.016:0.016:0.016) (0.015:0.015:0.015))
+    (INTERCONNECT _5419_.X _6000_.C1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5419_.X _6047_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _5419_.X _6059_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _5419_.X _6070_.C1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _5419_.X ANTENNA__6070__C1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _5419_.X ANTENNA__6059__B1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _5419_.X ANTENNA__6047__B1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _5419_.X ANTENNA__6000__C1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _5419_.X ANTENNA__5934__C1.DIODE (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT _5419_.X ANTENNA__5780__B1.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _5419_.X ANTENNA__5423__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5419_.X ANTENNA__5420__B1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5420_.X _5421_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5421_.X _5422_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5422_.X output18.A (0.018:0.018:0.018) (0.018:0.018:0.018))
+    (INTERCONNECT _5422_.X ANTENNA_output18_A.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018))
+    (INTERCONNECT _5423_.X _5634_.A1 (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _5423_.X _5782_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _5423_.X _5875_.A1 (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _5423_.X _5933_.A1 (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _5423_.X _5971_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5423_.X _5979_.C1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5423_.X _5980_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5423_.X _5991_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5423_.X _6016_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5423_.X _6081_.A1 (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _5423_.X ANTENNA__6081__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5423_.X ANTENNA__6016__A1.DIODE (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _5423_.X ANTENNA__5991__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5423_.X ANTENNA__5980__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5423_.X ANTENNA__5979__C1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5423_.X ANTENNA__5971__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5423_.X ANTENNA__5933__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5423_.X ANTENNA__5875__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5423_.X ANTENNA__5782__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5423_.X ANTENNA__5634__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5424_.X _5472_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5424_.X _5473_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5425_.Y _5469_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5425_.Y _5470_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5426_.Y _5450_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5426_.Y _5451_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5427_.X _5437_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5427_.X _5438_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5428_.Y _5432_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5428_.Y _5646_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5429_.Y _5431_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5430_.Y _5431_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5430_.Y _5785_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5431_.X _5432_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5431_.X _5646_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _5432_.Y _5433_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5432_.Y _5434_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5433_.X _5435_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5434_.Y _5435_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5434_.Y _5646_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5435_.X _5436_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5435_.X _5642_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5435_.X _5643_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5436_.X _5437_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5436_.X _5438_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5437_.X _5439_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5438_.Y _5439_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5438_.Y _5651_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5438_.Y _5652_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5439_.Y _5447_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5439_.Y _5448_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5440_.Y _5441_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5441_.X _5446_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5441_.X _5654_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5441_.X ANTENNA__5654__A1.DIODE (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _5441_.X ANTENNA__5446__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5442_.X _5443_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5442_.X _5654_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5443_.Y _5445_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5444_.X _5445_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5444_.X _5654_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5445_.Y _5446_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5446_.Y _5447_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5446_.Y _5448_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5447_.X _5449_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5447_.X _5651_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5447_.X _5652_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5448_.Y _5449_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5449_.Y _5450_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5449_.Y _5451_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5450_.X _5452_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5450_.X _5661_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5450_.X _5662_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5451_.Y _5452_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5452_.X _5460_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5452_.X _5637_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5453_.X _5455_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5453_.X _5456_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5454_.X _5455_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5454_.X _5456_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5455_.X _5457_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5455_.X _5664_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5456_.X _5457_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5457_.X _5459_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5457_.X _5664_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5458_.Y _5459_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5459_.Y _5460_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5459_.Y _5637_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5460_.Y _5464_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5460_.Y _5635_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5461_.X _5463_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5462_.X _5463_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5463_.X _5464_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5463_.X _5635_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5464_.X _5468_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5464_.X _5636_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5465_.Y _5467_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5466_.X _5467_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5467_.Y _5468_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5467_.Y _5636_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5468_.X _5469_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5468_.X _5470_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5469_.Y _5471_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5469_.Y _5669_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5469_.Y _5802_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5469_.Y _5877_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5470_.X _5471_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5471_.X _5472_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5471_.X _5474_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5472_.Y _5475_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5472_.Y _5669_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5472_.Y _5802_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5472_.Y _5877_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5473_.X _5474_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5474_.X _5475_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5475_.Y _5634_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5476_.X _5477_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5477_.Y _5514_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5477_.Y _5708_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5478_.X _5511_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5478_.X _5512_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5479_.X _5511_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5479_.X _5512_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5480_.X _5489_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5480_.X _5711_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5481_.Y _5486_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5481_.Y _5487_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5482_.Y _5483_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5482_.Y _5854_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5483_.X _5484_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5483_.X _5717_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5484_.X _5485_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5484_.X _5718_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5485_.Y _5486_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5485_.Y _5487_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5486_.X _5488_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5486_.X _5716_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5486_.X _5852_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5487_.Y _5488_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5488_.X _5489_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5488_.X _5711_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5489_.Y _5497_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5489_.Y _5498_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5490_.Y _5491_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5491_.Y _5492_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5492_.X _5496_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5492_.X _5727_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5492_.X ANTENNA__5727__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5492_.X ANTENNA__5496__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5493_.Y _5495_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5493_.Y _5719_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5493_.Y _5726_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5493_.Y _5856_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5494_.X _5495_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5494_.X _5727_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5495_.X _5496_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5496_.Y _5497_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5496_.Y _5498_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5497_.X _5499_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5497_.X _5709_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5497_.X _5723_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5497_.X _5724_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5498_.Y _5499_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5498_.Y _5709_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5499_.Y _5502_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5500_.X _5501_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5501_.X _5502_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5501_.X _5709_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5502_.X _5510_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5502_.X _5710_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5503_.X _5505_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5503_.X _5506_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5504_.X _5505_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5504_.X _5506_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5505_.X _5507_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5505_.X _5735_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5506_.X _5507_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5507_.X _5509_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5507_.X _5735_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5508_.Y _5509_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5509_.X _5510_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5509_.X _5710_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5510_.X _5511_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5510_.X _5512_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5511_.Y _5513_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5511_.Y _5737_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5511_.Y _5739_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5512_.X _5513_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5513_.X _5514_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5513_.X _5708_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5514_.Y _5517_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5514_.Y _5706_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5515_.X _5516_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5516_.X _5517_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5516_.X _5706_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5517_.X _5523_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5517_.X _5524_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5518_.Y _5523_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5518_.Y _5524_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5519_.X _5523_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5519_.X _5524_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5520_.X _5521_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5521_.X _5522_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5522_.Y _5523_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5522_.Y _5524_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5523_.Y _5527_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5524_.X _5527_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5524_.X _5741_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5524_.X _5743_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5524_.X _5870_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5524_.X _5871_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5524_.X ANTENNA__5871__A2.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _5524_.X ANTENNA__5870__A2.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _5524_.X ANTENNA__5743__A2.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _5524_.X ANTENNA__5741__A2.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _5524_.X ANTENNA__5527__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5525_.X _5526_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5526_.X _5527_.C (0.017:0.017:0.017) (0.017:0.017:0.017))
+    (INTERCONNECT _5526_.X _5872_.A (0.021:0.021:0.021) (0.021:0.021:0.021))
+    (INTERCONNECT _5526_.X _5954_.B (0.014:0.014:0.014) (0.013:0.013:0.013))
+    (INTERCONNECT _5526_.X _5970_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _5526_.X _5977_.B1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _5526_.X _5986_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _5526_.X _6014_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _5526_.X _6077_.A2 (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _5526_.X ANTENNA__6077__A2.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _5526_.X ANTENNA__6014__A2.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _5526_.X ANTENNA__5986__B1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _5526_.X ANTENNA__5977__B1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _5526_.X ANTENNA__5970__A1.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _5526_.X ANTENNA__5954__B.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _5526_.X ANTENNA__5872__A.DIODE (0.025:0.025:0.025) (0.024:0.024:0.024))
+    (INTERCONNECT _5526_.X ANTENNA__5527__C.DIODE (0.017:0.017:0.017) (0.017:0.017:0.017))
+    (INTERCONNECT _5527_.X _5632_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5528_.X _5568_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5528_.X _5569_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5529_.X _5568_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5529_.X _5569_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5530_.X _5531_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5531_.X _5567_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5531_.X _5673_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5532_.X _5541_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5532_.X _5674_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5533_.Y _5536_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5533_.Y _5683_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5534_.Y _5535_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5534_.Y _5808_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5535_.X _5536_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5535_.X _5683_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5536_.Y _5537_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5536_.Y _5538_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5537_.X _5539_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5538_.Y _5539_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5538_.Y _5683_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5539_.X _5540_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5539_.X _5679_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5539_.X _5680_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5540_.X _5541_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5540_.X _5674_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5541_.Y _5550_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5541_.Y _5551_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5542_.Y _5543_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5543_.Y _5544_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5544_.X _5549_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5544_.X _5692_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5545_.X _5546_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5545_.X _5692_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5546_.Y _5548_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5547_.X _5548_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5547_.X _5692_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5548_.Y _5549_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5549_.Y _5550_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5549_.Y _5551_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5550_.X _5552_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5550_.X _5688_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5550_.X _5689_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5550_.X _5695_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5551_.Y _5552_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5551_.Y _5695_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5552_.Y _5555_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5553_.X _5554_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5554_.X _5555_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5554_.X _5695_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5555_.Y _5563_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5555_.Y _5695_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5556_.X _5558_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5556_.X _5559_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5557_.X _5558_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5557_.X _5559_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5558_.X _5560_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5558_.X _5697_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5559_.X _5560_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5560_.X _5562_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5560_.X _5697_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5561_.Y _5562_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5562_.Y _5563_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5562_.Y _5695_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5563_.Y _5566_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5563_.Y _5672_.A_N (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _5564_.Y _5565_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5565_.X _5566_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5565_.X _5672_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5566_.X _5567_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5566_.X _5673_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5567_.Y _5568_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5567_.Y _5569_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5568_.Y _5570_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5568_.Y _5671_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5568_.Y _5804_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5569_.X _5570_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5570_.Y _5577_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5570_.Y _5578_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5570_.Y ANTENNA__5578__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5570_.Y ANTENNA__5577__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5571_.X _5572_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5571_.X _5574_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5572_.X _5577_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5572_.X _5578_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5573_.X _5574_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5573_.X _6064_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5574_.X _5577_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5574_.X _5578_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5575_.X _5576_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5576_.X _5577_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5576_.X _5578_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5577_.X _5631_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5578_.X _5579_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5578_.X _5671_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5578_.X _5826_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5578_.X _5827_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5578_.X ANTENNA__5827__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5578_.X ANTENNA__5826__A1.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _5578_.X ANTENNA__5671__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5578_.X ANTENNA__5579__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5579_.Y _5631_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5580_.X _5619_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5580_.X _5620_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5581_.X _5619_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5581_.X _5620_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5582_.X _5618_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5582_.X _5747_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5583_.X _5592_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _5583_.X _5748_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5584_.Y _5587_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5584_.Y _5757_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5585_.Y _5586_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5585_.Y _5830_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5586_.X _5587_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5586_.X _5757_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5587_.Y _5588_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5587_.Y _5589_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5588_.X _5590_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5589_.Y _5590_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5589_.Y _5757_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5590_.X _5591_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5590_.X _5753_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _5590_.X _5754_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5591_.X _5592_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5591_.X _5748_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5592_.Y _5601_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5592_.Y _5602_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5592_.Y ANTENNA__5602__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5592_.Y ANTENNA__5601__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5593_.Y _5594_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5594_.Y _5595_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5595_.X _5600_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5595_.X _5765_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5595_.X ANTENNA__5765__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5595_.X ANTENNA__5600__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5596_.X _5597_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5596_.X _5765_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5597_.Y _5599_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5598_.X _5599_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5598_.X _5765_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5599_.Y _5600_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5600_.Y _5601_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5600_.Y _5602_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5601_.X _5603_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5601_.X _5762_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5601_.X _5763_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5601_.X _5770_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5602_.Y _5603_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5602_.Y _5770_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5603_.Y _5606_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5604_.X _5605_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5604_.X ANTENNA__5605__B1_N.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5605_.X _5606_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5605_.X _5770_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5606_.Y _5614_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5606_.Y _5770_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5607_.X _5609_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5607_.X _5610_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5608_.X _5609_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5608_.X _5610_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5609_.X _5611_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5609_.X _5772_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5610_.X _5611_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5611_.X _5613_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5611_.X _5772_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5612_.Y _5613_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5613_.Y _5614_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5613_.Y _5770_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5614_.Y _5617_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5614_.Y _5746_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5615_.Y _5616_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5616_.X _5617_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5616_.X _5746_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5617_.X _5618_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5617_.X _5747_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5618_.Y _5619_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5618_.Y _5620_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5619_.Y _5621_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5619_.Y _5778_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5619_.Y _5779_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5619_.Y _5848_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5619_.Y _5891_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5620_.X _5621_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5621_.Y _5628_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5621_.Y _5630_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5621_.Y ANTENNA__5630__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5621_.Y ANTENNA__5628__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5622_.Y _5623_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5622_.Y _5625_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5623_.X _5628_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5623_.X _5630_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5624_.Y _5625_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5625_.X _5628_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5625_.X _5630_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5626_.X _5627_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5627_.X _5628_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5627_.X _5630_.A4 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5628_.X _5629_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5628_.X _5778_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5628_.X _5779_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5628_.X _5848_.A2 (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _5628_.X _5891_.A2 (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _5628_.X ANTENNA__5891__A2.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _5628_.X ANTENNA__5848__A2.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _5628_.X ANTENNA__5779__B.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _5628_.X ANTENNA__5778__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _5628_.X ANTENNA__5629__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5629_.Y _5630_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5630_.X _5631_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5631_.Y _5632_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5631_.Y ANTENNA__5632__C.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5632_.X _5634_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _5633_.X _5634_.C1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5633_.X _5782_.C1 (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _5633_.X _5875_.C1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _5633_.X _5952_.C1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _5633_.X _5971_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5633_.X _5980_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5633_.X _5991_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5633_.X _6016_.C1 (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _5633_.X _6030_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5633_.X _6048_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5633_.X ANTENNA__6048__C1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5633_.X ANTENNA__6030__C1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5633_.X ANTENNA__6016__C1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5633_.X ANTENNA__5991__C1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5633_.X ANTENNA__5980__C1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5633_.X ANTENNA__5971__C1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5633_.X ANTENNA__5952__C1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5633_.X ANTENNA__5875__C1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5633_.X ANTENNA__5782__C1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5633_.X ANTENNA__5634__C1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5634_.X output19.A (0.019:0.019:0.019) (0.019:0.019:0.019))
+    (INTERCONNECT _5634_.X ANTENNA_output19_A.DIODE (0.019:0.019:0.019) (0.019:0.019:0.019))
+    (INTERCONNECT _5635_.X _5666_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5635_.X _5667_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5636_.X _5666_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5636_.X _5667_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5637_.Y _5661_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5637_.Y _5662_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5638_.Y _5641_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5639_.Y _5640_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5640_.X _5641_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5641_.X _5642_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5641_.X _5643_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5642_.X _5644_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5643_.Y _5644_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5643_.Y _5786_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5643_.Y _5787_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5644_.X _5648_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5644_.X _5649_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5645_.Y _5647_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5645_.Y _5789_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5646_.Y _5647_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5646_.Y _5789_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5647_.Y _5648_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5647_.Y _5649_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5648_.Y _5650_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5648_.Y _5786_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5648_.Y _5787_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5649_.X _5650_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5650_.X _5651_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5650_.X _5652_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5651_.Y _5653_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5651_.Y _5794_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5651_.Y _5878_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5652_.X _5653_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5653_.X _5658_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5653_.X _5659_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5654_.Y _5655_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5654_.Y _5656_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5655_.X _5657_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5655_.X _5796_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5655_.X _5797_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5656_.X _5657_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5657_.X _5658_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5657_.X _5659_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5658_.Y _5660_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5658_.Y _5794_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5658_.Y _5878_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5659_.X _5660_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5660_.X _5661_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5660_.X _5662_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5661_.X _5663_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5661_.X _5800_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5662_.Y _5663_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5663_.X _5665_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5663_.X _5799_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5664_.Y _5665_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5664_.Y _5799_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5665_.Y _5666_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5665_.Y _5667_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5666_.X _5668_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5666_.X _5802_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5666_.X _5877_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5667_.X _5668_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5667_.X _5802_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5667_.X _5877_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5668_.X _5670_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5669_.Y _5670_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5670_.Y _5782_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5671_.X _5703_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5671_.X _5704_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5672_.X _5699_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5672_.X _5700_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5673_.X _5699_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5673_.X _5700_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5674_.Y _5688_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5674_.Y _5689_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5675_.Y _5678_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5676_.Y _5677_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5677_.X _5678_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5678_.X _5679_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5678_.X _5680_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5679_.X _5681_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5680_.Y _5681_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5680_.Y _5809_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5680_.Y _5810_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5681_.X _5685_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5681_.X _5686_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5682_.Y _5684_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5682_.Y _5812_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5683_.Y _5684_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5683_.Y _5812_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5684_.Y _5685_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5684_.Y _5686_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5685_.Y _5687_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5685_.Y _5809_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5685_.Y _5810_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5686_.X _5687_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5687_.X _5688_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5687_.X _5689_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5688_.X _5690_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5688_.X _5817_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5689_.Y _5690_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5690_.Y _5694_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5690_.Y _5817_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5691_.Y _5693_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5691_.Y _5805_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5692_.Y _5693_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5692_.Y _5805_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5693_.Y _5694_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5693_.Y _5817_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5694_.Y _5696_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5694_.Y _5822_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5695_.X _5696_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5695_.X _5822_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5696_.Y _5698_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5696_.Y _5823_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5697_.Y _5698_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5697_.Y _5823_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5698_.Y _5699_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5698_.Y _5700_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5699_.Y _5702_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5699_.Y _5804_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5700_.Y _5701_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5700_.Y _5826_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5700_.Y _5827_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5701_.Y _5702_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5702_.Y _5703_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5702_.Y _5704_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5703_.X _5705_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5704_.Y _5705_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5705_.X _5781_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5706_.X _5707_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5707_.Y _5741_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5707_.Y _5743_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5707_.Y _5870_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5707_.Y _5871_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5708_.X _5737_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5708_.X _5739_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5709_.X _5732_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5709_.X _5733_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5710_.Y _5732_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5710_.Y _5733_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5711_.Y _5723_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5711_.Y _5724_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5712_.Y _5715_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5713_.Y _5714_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5714_.X _5715_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5715_.X _5716_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5715_.X _5852_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5715_.X ANTENNA__5852__A2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5715_.X ANTENNA__5716__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5716_.Y _5720_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5716_.Y _5721_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5717_.X _5718_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5718_.X _5719_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5718_.X _5856_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5719_.Y _5720_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5719_.Y _5721_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5720_.X _5722_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5720_.X _5852_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5721_.Y _5722_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5722_.Y _5723_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5722_.Y _5724_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5723_.X _5725_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5723_.X _5861_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5724_.Y _5725_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5725_.Y _5731_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5725_.Y _5861_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5726_.Y _5727_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5727_.Y _5728_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5727_.Y _5729_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5728_.X _5730_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5728_.X _5863_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5728_.X _5864_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5729_.X _5730_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5730_.X _5731_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5730_.X _5861_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5731_.X _5732_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5731_.X _5733_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5732_.Y _5734_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5732_.Y _5866_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5732_.Y _5867_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5733_.X _5734_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5734_.X _5736_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5734_.X _5851_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5735_.X _5736_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5735_.X _5851_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5736_.Y _5737_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5736_.Y _5739_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5737_.Y _5738_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5738_.Y _5740_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5738_.Y _5870_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5738_.Y _5871_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5739_.Y _5740_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5739_.Y _5869_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5740_.Y _5741_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5740_.Y _5743_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5741_.Y _5744_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5742_.Y _5743_.B1 (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _5742_.Y _5930_.B1 (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _5742_.Y _5993_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5742_.Y _6025_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5742_.Y _6049_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5742_.Y ANTENNA__6049__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5742_.Y ANTENNA__6025__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5742_.Y ANTENNA__5993__B1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5742_.Y ANTENNA__5930__B1.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+    (INTERCONNECT _5742_.Y ANTENNA__5743__B1.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _5743_.X _5744_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5744_.Y _5781_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5745_.Y _5780_.A1 (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _5745_.Y _5950_.B1 (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _5745_.Y _5968_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5745_.Y _5999_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5745_.Y _6015_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5745_.Y _6070_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5745_.Y ANTENNA__6070__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5745_.Y ANTENNA__6015__A2.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _5745_.Y ANTENNA__5999__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5745_.Y ANTENNA__5968__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5745_.Y ANTENNA__5950__B1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _5745_.Y ANTENNA__5780__A1.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _5746_.X _5774_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5746_.X _5775_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5747_.X _5774_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5747_.X _5775_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5748_.Y _5762_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5748_.Y _5763_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5748_.Y ANTENNA__5763__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5748_.Y ANTENNA__5762__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5749_.Y _5752_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5750_.Y _5751_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5751_.X _5752_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5752_.X _5753_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5752_.X _5754_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5753_.X _5755_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5754_.Y _5755_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5754_.Y _5831_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5754_.Y _5832_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5755_.X _5759_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5755_.X _5760_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5756_.Y _5758_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5756_.Y _5834_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5757_.Y _5758_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5757_.Y _5834_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5757_.Y ANTENNA__5834__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5757_.Y ANTENNA__5758__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5758_.Y _5759_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5758_.Y _5760_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5759_.Y _5761_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5759_.Y _5831_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5759_.Y _5832_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5760_.X _5761_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5761_.X _5762_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5761_.X _5763_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5762_.X _5764_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5762_.X _5839_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5763_.Y _5764_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5764_.Y _5769_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5764_.Y _5839_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5765_.Y _5766_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5765_.Y _5767_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5766_.X _5768_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5766_.X _5841_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5766_.X _5842_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5767_.X _5768_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5768_.X _5769_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5768_.X _5839_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5769_.Y _5771_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5769_.Y _5844_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5770_.X _5771_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5770_.X _5844_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5771_.Y _5773_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5771_.Y _5845_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5772_.Y _5773_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5772_.Y _5845_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5773_.Y _5774_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5773_.Y _5775_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5774_.Y _5777_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5774_.Y _5848_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5774_.Y _5891_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5775_.Y _5776_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5775_.Y _5848_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5775_.Y _5891_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5776_.Y _5777_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5777_.Y _5778_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5777_.Y _5779_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5778_.Y _5780_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5779_.X _5780_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5780_.X _5781_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5781_.X _5782_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5782_.X output20.A (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _5782_.X ANTENNA_output20_A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _5783_.X _5785_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5783_.X _5854_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5784_.X _5785_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5785_.X _5786_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5785_.X _5787_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5786_.Y _5788_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5786_.Y _5881_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5786_.Y _5882_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5787_.X _5788_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5788_.Y _5793_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5788_.Y _5879_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5789_.Y _5790_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5789_.Y _5791_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5790_.X _5792_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5790_.X _5884_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5790_.X _5934_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5791_.Y _5792_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5792_.Y _5793_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5792_.Y _5879_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5793_.Y _5795_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5793_.Y _5878_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5794_.Y _5795_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5795_.Y _5796_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5795_.Y _5797_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5796_.Y _5798_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5796_.Y _5876_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5796_.Y _5885_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5796_.Y _5886_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5797_.X _5798_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5797_.X _5876_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5798_.Y _5801_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5799_.X _5800_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5800_.Y _5801_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5800_.Y _5876_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5801_.Y _5803_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5801_.Y _5877_.C1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5801_.Y ANTENNA__5877__C1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5801_.Y ANTENNA__5803__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5802_.X _5803_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5803_.X _5875_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5804_.X _5826_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5804_.X _5827_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5805_.Y _5819_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5805_.Y _5820_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5806_.X _5808_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5806_.X _5830_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5807_.X _5808_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5808_.X _5809_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5808_.X _5810_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5809_.Y _5811_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5809_.Y _5908_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5809_.Y _5937_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5810_.X _5811_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5811_.Y _5816_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5811_.Y _5907_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5812_.Y _5813_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5812_.Y _5814_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5813_.X _5815_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5813_.X _5910_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5813_.X _5938_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5814_.Y _5815_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5815_.Y _5816_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5815_.Y _5907_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5816_.Y _5818_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5816_.Y _5905_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5817_.X _5818_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5817_.X _5905_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5818_.Y _5819_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5818_.Y _5820_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5819_.Y _5821_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5819_.Y _5911_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5819_.Y _5912_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5820_.X _5821_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5821_.Y _5825_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5821_.Y _5904_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5822_.X _5824_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5823_.X _5824_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5824_.Y _5825_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5824_.Y _5904_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5825_.X _5826_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5825_.X _5828_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5826_.X _5850_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5826_.X _5915_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5826_.X _5916_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5826_.X _5940_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5827_.X _5828_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5828_.Y _5850_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5829_.X _5830_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5830_.X _5831_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5830_.X _5832_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5830_.X ANTENNA__5832__C.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5830_.X ANTENNA__5831__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5831_.Y _5833_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5831_.Y _5895_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5831_.Y _5946_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5832_.X _5833_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5833_.Y _5838_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5833_.Y _5894_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5834_.Y _5835_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5834_.Y _5836_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5835_.X _5837_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5835_.X _5897_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5835_.X _5947_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5836_.Y _5837_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5837_.Y _5838_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5837_.Y _5894_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5838_.Y _5840_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5838_.Y _5892_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5839_.X _5840_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5839_.X _5892_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5840_.Y _5841_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5840_.Y _5842_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5841_.Y _5843_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5841_.Y _5898_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5841_.Y _5899_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5842_.X _5843_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5843_.Y _5847_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5843_.Y _5890_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5844_.X _5846_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5845_.X _5846_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5846_.Y _5847_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5846_.Y _5890_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5847_.X _5849_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5847_.X _5891_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5848_.X _5849_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5849_.X _5850_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5850_.X _5874_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5850_.X ANTENNA__5874__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5851_.Y _5866_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5851_.Y _5867_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5852_.Y _5855_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5852_.Y _5921_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5853_.X _5854_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5854_.X _5855_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5854_.X _5921_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5854_.X ANTENNA__5921__A2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5854_.X ANTENNA__5855__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5855_.Y _5860_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5855_.Y _5920_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5856_.Y _5857_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5856_.Y _5858_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5857_.X _5859_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5857_.X _5923_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5857_.X _5924_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5858_.Y _5859_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5859_.Y _5860_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5859_.Y _5920_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5860_.Y _5862_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5860_.Y _5918_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5861_.X _5862_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5861_.X _5918_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5862_.Y _5863_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5862_.Y _5864_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5863_.Y _5865_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5863_.Y _5926_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5863_.Y _5927_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5864_.X _5865_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5865_.Y _5866_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5865_.Y _5867_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5866_.X _5868_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5866_.X _5929_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5866_.X _5930_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5866_.X _5944_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5867_.Y _5868_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5868_.Y _5871_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5868_.Y _5873_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5869_.Y _5870_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5869_.Y _5871_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5870_.X _5873_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5871_.X _5872_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5871_.X _5929_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5871_.X _5930_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5871_.X _5944_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5872_.Y _5873_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5873_.X _5874_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5874_.X _5875_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _5875_.X output21.A (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _5875_.X ANTENNA_output21_A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _5876_.X _5888_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5876_.X _5889_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5876_.X _5936_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5877_.X _5888_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5877_.X _5889_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5877_.X _5936_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5878_.Y _5885_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5878_.Y _5886_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5879_.X _5881_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5879_.X _5882_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5880_.Y _5881_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5880_.Y _5882_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5881_.Y _5883_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5881_.Y _5934_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5882_.X _5883_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5883_.Y _5884_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5883_.Y _5934_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5884_.Y _5885_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5884_.Y _5886_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5885_.Y _5887_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5885_.Y _5936_.A3 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5886_.X _5887_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5886_.X _5935_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5887_.Y _5888_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5887_.Y _5889_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5888_.Y _5933_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5889_.X _5933_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5890_.X _5901_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5890_.X _5902_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5890_.X _5949_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5891_.X _5901_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5891_.X _5902_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5891_.X _5949_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5892_.X _5898_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5892_.X _5899_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5893_.Y _5896_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5893_.Y _5946_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5893_.Y ANTENNA__5946__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5893_.Y ANTENNA__5896__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5894_.X _5895_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5894_.X _5946_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5895_.Y _5896_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5896_.Y _5897_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5896_.Y _5947_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5897_.Y _5898_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5897_.Y _5899_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5898_.Y _5900_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5898_.Y _5948_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5899_.X _5900_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5899_.X _5949_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5900_.X _5901_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5900_.X _5902_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5901_.Y _5903_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5902_.X _5903_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5903_.X _5932_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5904_.X _5915_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5904_.X _5916_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5904_.X _5940_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5905_.X _5911_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5905_.X _5912_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5906_.Y _5909_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5906_.Y _5937_.B1 (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _5907_.X _5908_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5907_.X _5937_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5908_.Y _5909_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5909_.Y _5910_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5909_.Y _5938_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5910_.Y _5911_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5910_.Y _5912_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5911_.Y _5913_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5911_.Y _5939_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5912_.X _5913_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5912_.X _5940_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5913_.Y _5914_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5914_.Y _5915_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5914_.Y _5916_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5915_.Y _5917_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5916_.X _5917_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5917_.X _5932_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5918_.X _5926_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5918_.X _5927_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5919_.X _5922_.A (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _5919_.X _5942_.A (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _5919_.X ANTENNA__5942__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5919_.X ANTENNA__5922__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5920_.X _5921_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5921_.X _5922_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5921_.X _5942_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5922_.X _5923_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5922_.X _5924_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5923_.X _5925_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5924_.Y _5925_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5924_.Y _5945_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5925_.Y _5926_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5925_.Y _5927_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5926_.Y _5928_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5926_.Y _5943_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5927_.X _5928_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5927_.X _5944_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5928_.X _5929_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5928_.X _5930_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5929_.Y _5931_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5930_.X _5931_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5931_.Y _5932_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5932_.X _5933_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5933_.X output22.A (0.041:0.041:0.042) (0.040:0.040:0.040))
+    (INTERCONNECT _5933_.X ANTENNA_output22_A.DIODE (0.041:0.041:0.041) (0.040:0.040:0.040))
+    (INTERCONNECT _5934_.X _5952_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5934_.X ANTENNA__5952__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5935_.Y _5936_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5936_.X _5952_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5937_.Y _5938_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5938_.Y _5941_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5938_.Y ANTENNA__5941__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5939_.Y _5940_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5940_.X _5941_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5941_.X _5951_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5941_.X ANTENNA__5951__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5942_.Y _5945_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5943_.Y _5944_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5944_.X _5945_.A4 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5945_.X _5951_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5946_.Y _5947_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5947_.Y _5950_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5948_.Y _5949_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5949_.X _5950_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5950_.X _5951_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5951_.Y _5952_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5952_.X output23.A (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _5952_.X ANTENNA_output23_A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _5953_.X _5954_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5954_.X _5962_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5955_.X _5956_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5956_.X _5962_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5957_.Y _5959_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5958_.X _5959_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5959_.X _5962_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5960_.Y _5961_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5961_.Y _5962_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5962_.X output16.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5962_.X ANTENNA_output16_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5963_.X _5964_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5964_.Y _5971_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5965_.X _5966_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5966_.Y _5970_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5967_.X _5969_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5968_.X _5969_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5969_.Y _5970_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5970_.X _5971_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5971_.X output24.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5972_.X _5973_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5973_.Y _5980_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5974_.Y _5979_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5975_.X _5978_.A1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5976_.X _5977_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5977_.Y _5978_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5978_.X _5979_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5979_.Y _5980_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5980_.X output25.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5980_.X ANTENNA_output25_A.DIODE (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _5981_.X _5982_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5982_.Y _5991_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5983_.Y _5990_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5983_.Y _5999_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5984_.X _5990_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5985_.Y _5989_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5985_.Y _5993_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5986_.X _5989_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5987_.X _5988_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5988_.Y _5989_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5989_.X _5990_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5990_.X _5991_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5991_.X output26.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5991_.X ANTENNA_output26_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5992_.X _5993_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5993_.Y _6001_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5994_.Y _5995_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5995_.X _5996_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5996_.X _6000_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5997_.Y _5998_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5998_.X _5999_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5999_.X _6000_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6000_.X _6001_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6001_.X _6005_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6002_.Y _6003_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6002_.Y _6004_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6003_.Y _6004_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6004_.X _6005_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6005_.X _6006_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6005_.X ANTENNA__6006__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6006_.X output27.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6007_.X _6008_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6008_.X _6016_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6009_.Y _6015_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6010_.Y _6014_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6011_.Y _6013_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6012_.X _6013_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6013_.X _6014_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6014_.Y _6015_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6015_.Y _6016_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6016_.X output28.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6016_.X ANTENNA_output28_A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6017_.Y _6018_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6018_.X _6030_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6019_.Y _6021_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6019_.Y _6033_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6019_.Y _6034_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6020_.Y _6021_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6021_.X _6026_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _6022_.Y _6024_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6023_.Y _6024_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6024_.Y _6025_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6025_.Y _6026_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6026_.X _6030_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6027_.X _6028_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6028_.Y _6029_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6029_.Y _6030_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6030_.X output29.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6030_.X ANTENNA_output29_A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6031_.X _6032_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6032_.Y _6033_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6032_.Y _6034_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6033_.Y _6035_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6034_.X _6035_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6035_.X _6048_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6035_.X ANTENNA__6048__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6036_.X _6037_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6037_.Y _6039_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6038_.X _6039_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6039_.Y _6044_.A2 (0.001:0.001:0.001) (0.000:0.000:0.001))
+    (INTERCONNECT _6040_.X _6041_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6041_.Y _6043_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6042_.X _6043_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6043_.Y _6044_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6044_.X _6048_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6045_.Y _6047_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6046_.X _6047_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6047_.X _6048_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6048_.X output30.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6048_.X ANTENNA_output30_A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6049_.Y _6050_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6050_.X _6060_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6051_.Y _6053_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6051_.Y _6065_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6052_.X _6053_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6053_.X _6056_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6054_.Y _6056_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6055_.X _6056_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6056_.X _6060_.A3 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6056_.X ANTENNA__6060__A3.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6057_.X _6059_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6057_.X _6062_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6058_.Y _6059_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6059_.X _6060_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6060_.X output31.A (0.012:0.012:0.012) (0.011:0.011:0.012))
+    (INTERCONNECT _6060_.X ANTENNA_output31_A.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _6061_.X _6062_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6062_.Y _6072_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6063_.Y _6072_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6064_.X _6071_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6065_.X _6066_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6066_.Y _6070_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6067_.X _6068_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6067_.X _6069_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6068_.Y _6069_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6069_.X _6070_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6070_.X _6071_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6071_.Y _6072_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6072_.X output32.A (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT _6072_.X ANTENNA_output32_A.DIODE (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT _6073_.X _6074_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6074_.X _6081_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6075_.X _6080_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6076_.X _6077_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6077_.X _6080_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6078_.Y _6079_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6079_.X _6080_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6080_.X _6081_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6080_.X ANTENNA__6081__B1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6081_.X output17.A (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _6081_.X ANTENNA_output17_A.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _6082_.X _6083_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6083_.X _6084_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6083_.X _6086_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6083_.X _6088_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6083_.X _6090_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6083_.X _6092_.S (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _6083_.X _6094_.S (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6083_.X _6096_.S (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6083_.X _6098_.S (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6083_.X ANTENNA__6098__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6083_.X ANTENNA__6096__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6083_.X ANTENNA__6094__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6083_.X ANTENNA__6092__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6083_.X ANTENNA__6090__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6083_.X ANTENNA__6088__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6083_.X ANTENNA__6086__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6083_.X ANTENNA__6084__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6084_.X _6085_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6085_.X _6223_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6086_.X _6087_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6087_.X _6224_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6088_.X _6089_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6089_.X _6225_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6090_.X _6091_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6091_.X _6226_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6092_.X _6093_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6093_.X _6227_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6094_.X _6095_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6095_.X _6228_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6096_.X _6097_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6097_.X _6229_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6098_.X _6099_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6099_.X _6230_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6100_.X _6101_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6101_.X _6102_.S (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _6101_.X _6104_.S (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _6101_.X _6106_.S (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _6101_.X _6108_.S (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6101_.X _6110_.S (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6101_.X _6112_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6101_.X _6114_.S (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6101_.X _6116_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6101_.X ANTENNA__6116__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6101_.X ANTENNA__6114__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6101_.X ANTENNA__6112__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6101_.X ANTENNA__6110__S.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _6101_.X ANTENNA__6108__S.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6101_.X ANTENNA__6106__S.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6101_.X ANTENNA__6104__S.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6101_.X ANTENNA__6102__S.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6102_.X _6103_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6103_.X _6231_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6104_.X _6105_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6105_.X _6232_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6106_.X _6107_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6107_.X _6233_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6108_.X _6109_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6109_.X _6234_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6110_.X _6111_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6111_.X _6235_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6112_.X _6113_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6113_.X _6236_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6114_.X _6115_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6115_.X _6237_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6116_.X _6117_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6117_.X _6238_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6118_.X _6119_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6119_.X _6120_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6119_.X _6122_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6119_.X _6124_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6119_.X _6126_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6119_.X _6128_.S (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _6119_.X _6130_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6119_.X _6132_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6119_.X _6134_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6119_.X ANTENNA__6134__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6119_.X ANTENNA__6132__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6119_.X ANTENNA__6130__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6119_.X ANTENNA__6128__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6119_.X ANTENNA__6126__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6119_.X ANTENNA__6124__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6119_.X ANTENNA__6122__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6119_.X ANTENNA__6120__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6120_.X _6121_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6121_.X _6239_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6122_.X _6123_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6123_.X _6240_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6124_.X _6125_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6125_.X _6241_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6126_.X _6127_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6127_.X _6242_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6128_.X _6129_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6129_.X _6243_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6130_.X _6131_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6131_.X _6244_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6132_.X _6133_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6133_.X _6245_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6134_.X _6135_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6135_.X _6246_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6136_.X _6137_.S (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _6136_.X _6139_.S (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _6136_.X _6141_.S (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _6136_.X _6143_.S (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _6136_.X _6145_.S (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _6136_.X _6147_.S (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _6136_.X _6149_.S (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _6136_.X _6151_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6136_.X ANTENNA__6151__S.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _6136_.X ANTENNA__6149__S.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _6136_.X ANTENNA__6147__S.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6136_.X ANTENNA__6145__S.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _6136_.X ANTENNA__6143__S.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6136_.X ANTENNA__6141__S.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6136_.X ANTENNA__6139__S.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _6136_.X ANTENNA__6137__S.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6137_.X _6138_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6138_.X _6247_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6139_.X _6140_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6140_.X _6248_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6141_.X _6142_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6142_.X _6249_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6143_.X _6144_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6144_.X _6250_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6145_.X _6146_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6146_.X _6251_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6147_.X _6148_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6148_.X _6252_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6149_.X _6150_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6150_.X _6253_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6151_.X _6152_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6152_.X _6254_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6153_.X _6154_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6154_.X _6155_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6154_.X _6157_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6154_.X _6159_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6154_.X _6161_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6154_.X _6163_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6154_.X _6165_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6154_.X _6167_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6154_.X _6169_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6155_.X _6156_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6156_.X _6255_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6157_.X _6158_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6158_.X _6256_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6159_.X _6160_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6160_.X _6257_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6161_.X _6162_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6162_.X _6258_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6163_.X _6164_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6164_.X _6259_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6165_.X _6166_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6166_.X _6260_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6167_.X _6168_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6168_.X _6261_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6169_.X _6170_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6170_.X _6262_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6171_.X _6172_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6171_.X _6174_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6171_.X _6176_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6171_.X _6178_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6171_.X _6180_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6171_.X _6182_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6171_.X _6184_.S (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _6171_.X _6186_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6172_.X _6173_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6173_.X _6263_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6174_.X _6175_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6175_.X _6264_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6176_.X _6177_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6177_.X _6265_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6178_.X _6179_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6179_.X _6266_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6180_.X _6181_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6181_.X _6267_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6182_.X _6183_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6183_.X _6268_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6184_.X _6185_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6185_.X _6269_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6186_.X _6187_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6187_.X _6270_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6188_.X _6189_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6188_.X _6191_.S (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6188_.X _6193_.S (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6188_.X _6195_.S (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6188_.X _6197_.S (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6188_.X _6199_.S (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6188_.X _6201_.S (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6188_.X _6203_.S (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6188_.X ANTENNA__6203__S.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6188_.X ANTENNA__6201__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6188_.X ANTENNA__6199__S.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6188_.X ANTENNA__6197__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6188_.X ANTENNA__6195__S.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6188_.X ANTENNA__6193__S.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6188_.X ANTENNA__6191__S.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6188_.X ANTENNA__6189__S.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _6189_.X _6190_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6190_.X _6271_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6191_.X _6192_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6192_.X _6272_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6193_.X _6194_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6194_.X _6273_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6195_.X _6196_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6196_.X _6274_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6197_.X _6198_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6198_.X _6275_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6199_.X _6200_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6200_.X _6276_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6201_.X _6202_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6202_.X _6277_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6203_.X _6204_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6204_.X _6278_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6205_.X _6206_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6206_.X _6207_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6206_.X _6209_.S (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _6206_.X _6211_.S (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6206_.X _6213_.S (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _6206_.X _6215_.S (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6206_.X _6217_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6206_.X _6219_.S (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6206_.X _6221_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6206_.X ANTENNA__6221__S.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _6206_.X ANTENNA__6219__S.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _6206_.X ANTENNA__6217__S.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _6206_.X ANTENNA__6215__S.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6206_.X ANTENNA__6213__S.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6206_.X ANTENNA__6211__S.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6206_.X ANTENNA__6209__S.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6206_.X ANTENNA__6207__S.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _6207_.X _6208_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6208_.X _6279_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6209_.X _6210_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6210_.X _6280_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6211_.X _6212_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6212_.X _6281_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6213_.X _6214_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6214_.X _6282_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6215_.X _6216_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6216_.X _6283_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6217_.X _6218_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6218_.X _6284_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6219_.X _6220_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6220_.X _6285_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6221_.X _6222_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6222_.X _6286_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6223_.Q _4323_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6223_.Q _4327_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6223_.Q _4328_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6223_.Q _4708_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6224_.Q _4326_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6224_.Q _4692_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6224_.Q _4706_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6224_.Q _4707_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6225_.Q _4333_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6225_.Q _4693_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6225_.Q _4694_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6225_.Q _4707_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6226_.Q _4332_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6226_.Q _4336_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6226_.Q _4694_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6227_.Q _4372_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6227_.Q _4438_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6228_.Q _4416_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6228_.Q _4417_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6228_.Q _4435_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6228_.Q _4451_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6228_.Q _4500_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6228_.Q _4530_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6229_.Q _4434_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6229_.Q _4445_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6229_.Q _4447_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6229_.Q _4476_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6229_.Q _4499_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6229_.Q _4500_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6230_.Q _4443_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6230_.Q _4445_.A (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _6230_.Q _4446_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6230_.Q _4473_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6230_.Q _4476_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6230_.Q _4477_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6230_.Q _4946_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6230_.Q _4947_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6231_.Q _4616_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6231_.Q _4665_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6231_.Q _4668_.C (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6231_.Q _4676_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6231_.Q _4679_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6231_.Q _4783_.A1_N (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6231_.Q _4785_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6231_.Q _5198_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6231_.Q _5199_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6231_.Q _5233_.C (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6231_.Q ANTENNA__5233__C.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6231_.Q ANTENNA__5199__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6231_.Q ANTENNA__5198__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6231_.Q ANTENNA__4785__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6231_.Q ANTENNA__4783__A1_N.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6231_.Q ANTENNA__4679__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6231_.Q ANTENNA__4676__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _6231_.Q ANTENNA__4668__C.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6231_.Q ANTENNA__4665__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6231_.Q ANTENNA__4616__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6232_.Q _4355_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6232_.Q _4614_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6232_.Q _4666_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6232_.Q _4667_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6233_.Q _4298_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6233_.Q _4671_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6233_.Q _4672_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6234_.Q _4315_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6234_.Q _4671_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6235_.Q _4309_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6235_.Q _4348_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6235_.Q _4609_.B1 (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _6235_.Q _4610_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6236_.Q _4304_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6236_.Q _4311_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6237_.Q _4301_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6237_.Q _4311_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6238_.Q _4302_.A (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _6238_.Q _4303_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6238_.Q _4325_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6238_.Q _4330_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6238_.Q _4390_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6238_.Q _4896_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6238_.Q _5008_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6238_.Q _5009_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6238_.Q _5011_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6238_.Q ANTENNA__5011__A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _6238_.Q ANTENNA__5009__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6238_.Q ANTENNA__5008__A1.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _6238_.Q ANTENNA__4896__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _6238_.Q ANTENNA__4390__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6238_.Q ANTENNA__4330__A1.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _6238_.Q ANTENNA__4325__A.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _6238_.Q ANTENNA__4303__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6238_.Q ANTENNA__4302__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6239_.Q _3111_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6239_.Q _3730_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6240_.Q _3116_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6240_.Q _3121_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6241_.Q _3129_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6241_.Q _3132_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6241_.Q _3385_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6241_.Q _3959_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6242_.Q _3124_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6242_.Q _3133_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6242_.Q _3437_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6242_.Q _4008_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6243_.Q _3216_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6243_.Q _3246_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6243_.Q _3368_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6243_.Q _3437_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6243_.Q _3829_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6243_.Q _3830_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6243_.Q _3851_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6243_.Q _3942_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6243_.Q _4008_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6244_.Q _3244_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6244_.Q _3366_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6244_.Q _3368_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6245_.Q _3264_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6245_.Q _3266_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6245_.Q _3849_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6245_.Q _3859_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6245_.Q _3862_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6245_.Q _3912_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6246_.Q _3271_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6246_.Q _3279_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6246_.Q _3309_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6246_.Q _3859_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6246_.Q _3862_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6246_.Q _3883_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6246_.Q _3887_.A1 (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _6246_.Q _3888_.A (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _6247_.Q _3408_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6247_.Q _4037_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6248_.Q _3187_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6248_.Q _3459_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6248_.Q _3978_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6248_.Q _4098_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6249_.Q _3106_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6249_.Q _3399_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6250_.Q _3162_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6250_.Q _3401_.A2 (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _6250_.Q _3402_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6250_.Q _3464_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6250_.Q _3759_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6250_.Q _3973_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6250_.Q _3974_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6250_.Q _4044_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6250_.Q _4045_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6251_.Q _3152_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6251_.Q _3175_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6251_.Q _3974_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6252_.Q _3147_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6252_.Q _3200_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6252_.Q _3752_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6253_.Q _3110_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6253_.Q _3143_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6253_.Q _3744_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6253_.Q _3752_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6254_.Q _3115_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6254_.Q _3144_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6254_.Q _3146_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6254_.Q _3209_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6254_.Q _3733_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6254_.Q _3744_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6254_.Q _3746_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6254_.Q _3794_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6254_.Q _3795_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6254_.Q ANTENNA__3795__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6254_.Q ANTENNA__3794__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6254_.Q ANTENNA__3746__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6254_.Q ANTENNA__3744__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6254_.Q ANTENNA__3733__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6254_.Q ANTENNA__3209__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6254_.Q ANTENNA__3146__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6254_.Q ANTENNA__3144__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6254_.Q ANTENNA__3115__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6255_.Q _3886_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6255_.Q _3912_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6255_.Q _3941_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6255_.Q _3942_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6255_.Q _4008_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6255_.Q _4158_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6256_.Q _3855_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6256_.Q _3859_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6256_.Q _3912_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6256_.Q _3942_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6256_.Q _4007_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6256_.Q _4008_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6256_.Q _4072_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6256_.Q _4160_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6257_.Q _3854_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6257_.Q _3859_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6257_.Q _3885_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6258_.Q _3735_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6258_.Q _3829_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6258_.Q _3830_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6258_.Q _3849_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6258_.Q _3959_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6259_.Q _3736_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6259_.Q _3802_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6259_.Q _3830_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6259_.Q _3849_.B1 (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _6259_.Q _3959_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6260_.Q _3734_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6260_.Q _3803_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6260_.Q _3828_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6260_.Q _3851_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6260_.Q _3960_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6260_.Q _4953_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6260_.Q ANTENNA__4953__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6260_.Q ANTENNA__3960__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6260_.Q ANTENNA__3851__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6260_.Q ANTENNA__3828__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6260_.Q ANTENNA__3803__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6260_.Q ANTENNA__3734__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6261_.Q _3727_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6261_.Q _3730_.B2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _6261_.Q _3731_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _6261_.Q _3806_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6261_.Q _3826_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6261_.Q _3872_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6261_.Q ANTENNA__3872__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6261_.Q ANTENNA__3826__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6261_.Q ANTENNA__3806__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6261_.Q ANTENNA__3731__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6261_.Q ANTENNA__3730__B2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6261_.Q ANTENNA__3727__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6262_.Q _3730_.A1 (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT _6262_.Q _3731_.B (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT _6262_.Q _3804_.A (0.019:0.019:0.019) (0.018:0.018:0.018))
+    (INTERCONNECT _6262_.Q _3806_.B (0.014:0.014:0.014) (0.013:0.013:0.013))
+    (INTERCONNECT _6262_.Q _3825_.A1 (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _6262_.Q _3826_.B (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _6262_.Q _3872_.B (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _6262_.Q _4965_.B (0.018:0.018:0.018) (0.017:0.017:0.017))
+    (INTERCONNECT _6262_.Q _4988_.B (0.018:0.018:0.018) (0.018:0.018:0.018))
+    (INTERCONNECT _6262_.Q ANTENNA__4988__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6262_.Q ANTENNA__4965__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6262_.Q ANTENNA__3872__B.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _6262_.Q ANTENNA__3826__B.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _6262_.Q ANTENNA__3825__A1.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _6262_.Q ANTENNA__3806__B.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _6262_.Q ANTENNA__3804__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6262_.Q ANTENNA__3731__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6262_.Q ANTENNA__3730__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6263_.Q _3308_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6263_.Q _3310_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6263_.Q _3368_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6263_.Q _3437_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6263_.Q _3488_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _6263_.Q _4694_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6264_.Q _3273_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6264_.Q _3277_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6264_.Q _3336_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6264_.Q _3368_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6264_.Q _3437_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6264_.Q _3488_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6264_.Q _4694_.B (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _6264_.Q _4707_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6265_.Q _3272_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6265_.Q _3278_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6265_.Q _4445_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6265_.Q _4692_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6266_.Q _3126_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6266_.Q _3134_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6266_.Q _3385_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6266_.Q _4373_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6266_.Q _4417_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6267_.Q _3127_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6267_.Q _3135_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6267_.Q _3385_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6267_.Q _4373_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6268_.Q _3123_.A (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _6268_.Q _3215_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6268_.Q _3268_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6268_.Q _3386_.A (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _6268_.Q _4331_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _6268_.Q _4375_.C (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _6268_.Q _4439_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _6268_.Q ANTENNA__4439__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6268_.Q ANTENNA__4375__C.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6268_.Q ANTENNA__4331__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6268_.Q ANTENNA__3386__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6268_.Q ANTENNA__3268__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6268_.Q ANTENNA__3215__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6268_.Q ANTENNA__3123__A.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _6269_.Q _3108_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6269_.Q _3117_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6269_.Q _3118_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6269_.Q _3242_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _6269_.Q _4327_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6269_.Q _4328_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6269_.Q _4414_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6269_.Q ANTENNA__4414__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6269_.Q ANTENNA__4328__B2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6269_.Q ANTENNA__4327__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6269_.Q ANTENNA__3242__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6269_.Q ANTENNA__3118__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _6269_.Q ANTENNA__3117__B2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _6269_.Q ANTENNA__3108__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6270_.Q _3117_.A1 (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _6270_.Q _3118_.B (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _6270_.Q _3220_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6270_.Q _3222_.B (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _6270_.Q _3241_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _6270_.Q _3242_.B (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _6270_.Q _3294_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6270_.Q _4327_.B (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _6270_.Q _4328_.A1 (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _6270_.Q _4414_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6270_.Q ANTENNA__4414__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6270_.Q ANTENNA__4328__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6270_.Q ANTENNA__4327__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6270_.Q ANTENNA__3294__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6270_.Q ANTENNA__3242__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6270_.Q ANTENNA__3241__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6270_.Q ANTENNA__3222__B.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _6270_.Q ANTENNA__3220__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6270_.Q ANTENNA__3118__B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _6270_.Q ANTENNA__3117__A1.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _6271_.Q _3729_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6271_.Q _3732_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6271_.Q _3733_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6271_.Q _3964_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6272_.Q _3743_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6272_.Q _3752_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6272_.Q _3771_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6272_.Q _3974_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6272_.Q _4100_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6273_.Q _3744_.B1 (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _6273_.Q _3745_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6273_.Q _3752_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6273_.Q _3771_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6273_.Q _3973_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6273_.Q _3974_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6273_.Q _4045_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6273_.Q _4099_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6274_.Q _3747_.B (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _6274_.Q _3750_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6274_.Q _3769_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6274_.Q _3794_.B (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _6274_.Q _3795_.B1 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _6274_.Q _3841_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6274_.Q _3972_.B (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _6274_.Q _4046_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6274_.Q _5009_.D (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6274_.Q ANTENNA__5009__D.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _6274_.Q ANTENNA__4046__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6274_.Q ANTENNA__3972__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6274_.Q ANTENNA__3841__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6274_.Q ANTENNA__3795__B1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6274_.Q ANTENNA__3794__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6274_.Q ANTENNA__3769__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6274_.Q ANTENNA__3750__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _6274_.Q ANTENNA__3747__B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _6275_.Q _3757_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6275_.Q _3759_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6275_.Q _3775_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6275_.Q _3788_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6275_.Q _3789_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6275_.Q _3978_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6276_.Q _3756_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6276_.Q _3759_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6276_.Q _3789_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6276_.Q _4038_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6277_.Q _3761_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6277_.Q _3837_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6278_.Q _3726_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6278_.Q _3819_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6278_.Q _3925_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6278_.Q _3994_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6278_.Q ANTENNA__3994__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6278_.Q ANTENNA__3925__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6278_.Q ANTENNA__3819__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6278_.Q ANTENNA__3726__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6279_.Q _3113_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6279_.Q _3120_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6279_.Q _4329_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6279_.Q _4330_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6280_.Q _3141_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6280_.Q _3145_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6280_.Q _3154_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6280_.Q _3402_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6281_.Q _3142_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6281_.Q _3156_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6281_.Q _3177_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6281_.Q _3402_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6281_.Q _4311_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6281_.Q _4671_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6282_.Q _3148_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6282_.Q _3151_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6282_.Q _3174_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6282_.Q _3400_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6282_.Q _4305_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6283_.Q _3163_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6283_.Q _3165_.D (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6283_.Q _3182_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6283_.Q _3406_.D (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6283_.Q _4317_.D (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6283_.Q _4353_.D (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6283_.Q ANTENNA__4353__D.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6283_.Q ANTENNA__4317__D.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _6283_.Q ANTENNA__3406__D.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6283_.Q ANTENNA__3182__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6283_.Q ANTENNA__3165__D.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6283_.Q ANTENNA__3163__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6284_.Q _3161_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6284_.Q _3165_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _6284_.Q _3180_.A (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _6284_.Q _3202_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _6284_.Q _3252_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6284_.Q _3253_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6284_.Q _3406_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _6284_.Q _4317_.A (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _6284_.Q _4353_.A (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _6284_.Q _4614_.A (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _6284_.Q ANTENNA__4614__A.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _6284_.Q ANTENNA__4353__A.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _6284_.Q ANTENNA__4317__A.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT _6284_.Q ANTENNA__3406__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _6284_.Q ANTENNA__3253__A1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _6284_.Q ANTENNA__3252__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _6284_.Q ANTENNA__3202__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _6284_.Q ANTENNA__3180__A.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _6284_.Q ANTENNA__3165__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6284_.Q ANTENNA__3161__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _6285_.Q _3167_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6285_.Q _3186_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6285_.Q _4319_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6286_.Q _3103_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6286_.Q _3235_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6286_.Q _3350_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6286_.Q _3677_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6286_.Q _4513_.A (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _6286_.Q _4630_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6286_.Q ANTENNA__4630__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6286_.Q ANTENNA__4513__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6286_.Q ANTENNA__3677__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6286_.Q ANTENNA__3350__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6286_.Q ANTENNA__3235__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6286_.Q ANTENNA__3103__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT input1.X _4874_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT input1.X _6082_.A (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT input1.X _6100_.A (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT input1.X _6118_.A (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT input1.X _6153_.A (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT input1.X _6188_.A_N (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT input1.X _6205_.A_N (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT input1.X ANTENNA__6205__A_N.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT input1.X ANTENNA__6188__A_N.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT input1.X ANTENNA__6153__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT input1.X ANTENNA__6118__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT input1.X ANTENNA__6100__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT input1.X ANTENNA__6082__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT input1.X ANTENNA__4874__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT input2.X _6084_.A0 (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT input2.X _6102_.A0 (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT input2.X _6120_.A0 (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT input2.X _6137_.A1 (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT input2.X _6155_.A0 (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT input2.X _6172_.A1 (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT input2.X _6189_.A1 (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT input2.X _6207_.A1 (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT input2.X ANTENNA__6207__A1.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT input2.X ANTENNA__6189__A1.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT input2.X ANTENNA__6172__A1.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT input2.X ANTENNA__6155__A0.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT input2.X ANTENNA__6137__A1.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT input2.X ANTENNA__6120__A0.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT input2.X ANTENNA__6102__A0.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT input2.X ANTENNA__6084__A0.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT input3.X _6086_.A0 (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT input3.X _6104_.A0 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT input3.X _6122_.A0 (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT input3.X _6139_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT input3.X _6157_.A0 (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT input3.X _6174_.A1 (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT input3.X _6191_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT input3.X _6209_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT input3.X ANTENNA__6209__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT input3.X ANTENNA__6191__A1.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT input3.X ANTENNA__6174__A1.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT input3.X ANTENNA__6157__A0.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT input3.X ANTENNA__6139__A1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT input3.X ANTENNA__6122__A0.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT input3.X ANTENNA__6104__A0.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT input3.X ANTENNA__6086__A0.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT input4.X _6088_.A0 (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT input4.X _6106_.A0 (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT input4.X _6124_.A0 (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT input4.X _6141_.A1 (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT input4.X _6159_.A0 (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT input4.X _6176_.A1 (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT input4.X _6193_.A1 (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT input4.X _6211_.A1 (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT input4.X ANTENNA__6211__A1.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT input4.X ANTENNA__6193__A1.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT input4.X ANTENNA__6176__A1.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT input4.X ANTENNA__6159__A0.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT input4.X ANTENNA__6141__A1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT input4.X ANTENNA__6124__A0.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT input4.X ANTENNA__6106__A0.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT input4.X ANTENNA__6088__A0.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015))
+    (INTERCONNECT input5.X _6090_.A0 (0.023:0.023:0.023) (0.022:0.022:0.022))
+    (INTERCONNECT input5.X _6108_.A0 (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT input5.X _6126_.A0 (0.023:0.023:0.023) (0.023:0.023:0.023))
+    (INTERCONNECT input5.X _6143_.A1 (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT input5.X _6161_.A0 (0.023:0.023:0.023) (0.023:0.023:0.023))
+    (INTERCONNECT input5.X _6178_.A1 (0.023:0.023:0.023) (0.022:0.022:0.022))
+    (INTERCONNECT input5.X _6195_.A1 (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT input5.X _6213_.A1 (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT input5.X ANTENNA__6213__A1.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020))
+    (INTERCONNECT input5.X ANTENNA__6195__A1.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT input5.X ANTENNA__6178__A1.DIODE (0.025:0.025:0.025) (0.024:0.024:0.024))
+    (INTERCONNECT input5.X ANTENNA__6161__A0.DIODE (0.025:0.025:0.025) (0.024:0.024:0.024))
+    (INTERCONNECT input5.X ANTENNA__6143__A1.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018))
+    (INTERCONNECT input5.X ANTENNA__6126__A0.DIODE (0.024:0.024:0.024) (0.024:0.024:0.024))
+    (INTERCONNECT input5.X ANTENNA__6108__A0.DIODE (0.021:0.021:0.021) (0.021:0.021:0.021))
+    (INTERCONNECT input5.X ANTENNA__6090__A0.DIODE (0.025:0.025:0.025) (0.024:0.024:0.024))
+    (INTERCONNECT input6.X _6092_.A0 (0.031:0.031:0.031) (0.030:0.030:0.030))
+    (INTERCONNECT input6.X _6110_.A0 (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT input6.X _6128_.A0 (0.028:0.028:0.028) (0.027:0.027:0.027))
+    (INTERCONNECT input6.X _6145_.A1 (0.017:0.017:0.017) (0.016:0.016:0.016))
+    (INTERCONNECT input6.X _6163_.A0 (0.028:0.028:0.028) (0.027:0.027:0.027))
+    (INTERCONNECT input6.X _6180_.A1 (0.026:0.026:0.026) (0.025:0.025:0.025))
+    (INTERCONNECT input6.X _6197_.A1 (0.017:0.017:0.017) (0.017:0.017:0.017))
+    (INTERCONNECT input6.X _6215_.A1 (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT input6.X ANTENNA__6215__A1.DIODE (0.026:0.026:0.026) (0.025:0.025:0.025))
+    (INTERCONNECT input6.X ANTENNA__6197__A1.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019))
+    (INTERCONNECT input6.X ANTENNA__6180__A1.DIODE (0.030:0.030:0.030) (0.029:0.029:0.029))
+    (INTERCONNECT input6.X ANTENNA__6163__A0.DIODE (0.030:0.030:0.030) (0.029:0.029:0.029))
+    (INTERCONNECT input6.X ANTENNA__6145__A1.DIODE (0.025:0.025:0.025) (0.024:0.024:0.024))
+    (INTERCONNECT input6.X ANTENNA__6128__A0.DIODE (0.029:0.029:0.029) (0.029:0.029:0.029))
+    (INTERCONNECT input6.X ANTENNA__6110__A0.DIODE (0.026:0.026:0.026) (0.025:0.025:0.025))
+    (INTERCONNECT input6.X ANTENNA__6092__A0.DIODE (0.031:0.031:0.031) (0.030:0.030:0.030))
+    (INTERCONNECT input7.X _6094_.A0 (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT input7.X _6112_.A0 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT input7.X _6130_.A0 (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT input7.X _6147_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT input7.X _6165_.A0 (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT input7.X _6182_.A1 (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT input7.X _6199_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT input7.X _6217_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT input7.X ANTENNA__6217__A1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT input7.X ANTENNA__6199__A1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT input7.X ANTENNA__6182__A1.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT input7.X ANTENNA__6165__A0.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT input7.X ANTENNA__6147__A1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT input7.X ANTENNA__6130__A0.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT input7.X ANTENNA__6112__A0.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT input7.X ANTENNA__6094__A0.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT input8.X _6096_.A0 (0.034:0.034:0.034) (0.032:0.032:0.032))
+    (INTERCONNECT input8.X _6114_.A0 (0.022:0.022:0.022) (0.022:0.022:0.022))
+    (INTERCONNECT input8.X _6132_.A0 (0.033:0.033:0.033) (0.032:0.032:0.032))
+    (INTERCONNECT input8.X _6149_.A1 (0.028:0.028:0.028) (0.028:0.028:0.028))
+    (INTERCONNECT input8.X _6167_.A0 (0.033:0.033:0.033) (0.032:0.032:0.032))
+    (INTERCONNECT input8.X _6184_.A1 (0.033:0.033:0.033) (0.032:0.032:0.032))
+    (INTERCONNECT input8.X _6201_.A1 (0.027:0.027:0.027) (0.026:0.026:0.026))
+    (INTERCONNECT input8.X _6219_.A1 (0.025:0.025:0.025) (0.025:0.025:0.025))
+    (INTERCONNECT input8.X ANTENNA__6219__A1.DIODE (0.026:0.026:0.026) (0.025:0.025:0.025))
+    (INTERCONNECT input8.X ANTENNA__6201__A1.DIODE (0.026:0.026:0.026) (0.025:0.025:0.025))
+    (INTERCONNECT input8.X ANTENNA__6184__A1.DIODE (0.034:0.034:0.034) (0.033:0.033:0.033))
+    (INTERCONNECT input8.X ANTENNA__6167__A0.DIODE (0.034:0.034:0.034) (0.033:0.033:0.033))
+    (INTERCONNECT input8.X ANTENNA__6149__A1.DIODE (0.032:0.032:0.032) (0.031:0.031:0.031))
+    (INTERCONNECT input8.X ANTENNA__6132__A0.DIODE (0.034:0.034:0.034) (0.032:0.032:0.032))
+    (INTERCONNECT input8.X ANTENNA__6114__A0.DIODE (0.032:0.032:0.032) (0.031:0.031:0.031))
+    (INTERCONNECT input8.X ANTENNA__6096__A0.DIODE (0.034:0.034:0.034) (0.033:0.033:0.033))
+    (INTERCONNECT input9.X _6098_.A0 (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT input9.X _6116_.A0 (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT input9.X _6134_.A0 (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT input9.X _6151_.A1 (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT input9.X _6169_.A0 (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT input9.X _6186_.A1 (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT input9.X _6203_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT input9.X _6221_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT input9.X ANTENNA__6221__A1.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT input9.X ANTENNA__6203__A1.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT input9.X ANTENNA__6186__A1.DIODE (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT input9.X ANTENNA__6169__A0.DIODE (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT input9.X ANTENNA__6151__A1.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT input9.X ANTENNA__6134__A0.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT input9.X ANTENNA__6116__A0.DIODE (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT input9.X ANTENNA__6098__A0.DIODE (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT input10.X fanout36.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT input10.X fanout40.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT input10.X ANTENNA_fanout40_A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT input10.X ANTENNA_fanout36_A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT input11.X _6082_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT input11.X _6100_.D_N (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT input11.X _6118_.B (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT input11.X _6136_.D (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT input11.X _6153_.B (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT input11.X _6171_.D (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT input11.X _6188_.B_N (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT input11.X _6205_.B (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT input11.X ANTENNA__6205__B.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT input11.X ANTENNA__6188__B_N.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT input11.X ANTENNA__6171__D.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT input11.X ANTENNA__6153__B.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT input11.X ANTENNA__6136__D.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT input11.X ANTENNA__6118__B.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT input11.X ANTENNA__6100__D_N.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT input11.X ANTENNA__6082__B.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT input12.X _6082_.D (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT input12.X _6100_.C (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT input12.X _6118_.D_N (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT input12.X _6136_.C (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT input12.X _6153_.C (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT input12.X _6171_.B_N (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT input12.X _6188_.D (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT input12.X _6205_.D (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT input12.X ANTENNA__6205__D.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT input12.X ANTENNA__6188__D.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT input12.X ANTENNA__6171__B_N.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT input12.X ANTENNA__6153__C.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT input12.X ANTENNA__6136__C.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT input12.X ANTENNA__6118__D_N.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT input12.X ANTENNA__6100__C.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT input12.X ANTENNA__6082__D.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT input13.X _6082_.C (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT input13.X _6100_.B (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT input13.X _6118_.C (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT input13.X _6136_.B_N (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT input13.X _6153_.D_N (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT input13.X _6171_.C (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT input13.X _6188_.C (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT input13.X _6205_.C (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT input13.X ANTENNA__6205__C.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT input13.X ANTENNA__6188__C.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT input13.X ANTENNA__6171__C.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT input13.X ANTENNA__6153__D_N.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT input13.X ANTENNA__6136__B_N.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT input13.X ANTENNA__6118__C.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT input13.X ANTENNA__6100__B.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT input13.X ANTENNA__6082__C.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT input14.X _3718_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT input14.X _3723_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT input15.X _3718_.A (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT input15.X _3722_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT input15.X _4871_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT input15.X _5418_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT input15.X _5945_.B1 (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT input15.X _6018_.C (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT input15.X ANTENNA__6018__C.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT input15.X ANTENNA__5945__B1.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT input15.X ANTENNA__5418__A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT input15.X ANTENNA__4871__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT input15.X ANTENNA__3722__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT input15.X ANTENNA__3718__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT output16.X result[0] (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT output17.X result[10] (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT output18.X result[11] (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT output19.X result[12] (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT output20.X result[13] (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT output21.X result[14] (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT output22.X result[15] (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT output23.X result[16] (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT output24.X result[1] (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT output25.X result[2] (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT output26.X result[3] (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT output27.X result[4] (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT output28.X result[5] (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT output29.X result[6] (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT output30.X result[7] (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT output31.X result[8] (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT output32.X result[9] (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout33.X _6226_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout33.X _6266_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout33.X _6268_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout33.X _6269_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout33.X _6270_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout33.X _6242_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout33.X _6244_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout33.X _6245_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout33.X _6246_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout33.X _6258_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout34.X fanout33.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout34.X _6262_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout34.X _6261_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout34.X _6260_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout34.X _6259_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT fanout34.X _6224_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout34.X _6243_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT fanout34.X _6255_.RESET_B (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT fanout34.X _6256_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT fanout34.X _6257_.RESET_B (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT fanout35.X _6227_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout35.X _6228_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT fanout35.X _6229_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout35.X _6230_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout35.X fanout34.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT fanout35.X _6265_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT fanout35.X _6264_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT fanout35.X _6263_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT fanout35.X ANTENNA__6263__RESET_B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT fanout35.X ANTENNA__6264__RESET_B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT fanout35.X ANTENNA__6265__RESET_B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT fanout35.X ANTENNA_fanout34_A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT fanout35.X ANTENNA__6230__RESET_B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT fanout35.X ANTENNA__6229__RESET_B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT fanout35.X ANTENNA__6228__RESET_B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT fanout35.X ANTENNA__6227__RESET_B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT fanout36.X fanout35.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout36.X _6223_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT fanout36.X _6225_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT fanout36.X _6240_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT fanout36.X _6241_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT fanout36.X _6267_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT fanout36.X ANTENNA__6267__RESET_B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout36.X ANTENNA__6241__RESET_B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT fanout36.X ANTENNA__6240__RESET_B.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT fanout36.X ANTENNA__6225__RESET_B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout36.X ANTENNA__6223__RESET_B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout36.X ANTENNA_fanout35_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout37.X _6235_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout37.X _6237_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout37.X _6282_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout37.X _6283_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout37.X _6284_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout37.X _6251_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout37.X _6252_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout37.X _6253_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT fanout37.X _6274_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout37.X _6275_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT fanout38.X _6232_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout38.X _6233_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout38.X _6234_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout38.X _6280_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout38.X _6281_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout38.X _6247_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout38.X _6248_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout38.X _6249_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout38.X _6250_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout38.X _6272_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout39.X fanout37.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout39.X _6285_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout39.X _6277_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout39.X fanout38.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout39.X _6278_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout39.X _6276_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout39.X _6273_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout40.X _6236_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT fanout40.X _6238_.RESET_B (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT fanout40.X _6239_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout40.X _6254_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT fanout40.X _6271_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT fanout40.X _6279_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT fanout40.X _6286_.RESET_B (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT fanout40.X fanout39.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT fanout40.X _6231_.RESET_B (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT fanout40.X ANTENNA__6231__RESET_B.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT fanout40.X ANTENNA_fanout39_A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT fanout40.X ANTENNA__6286__RESET_B.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT fanout40.X ANTENNA__6279__RESET_B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT fanout40.X ANTENNA__6271__RESET_B.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT fanout40.X ANTENNA__6254__RESET_B.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT fanout40.X ANTENNA__6239__RESET_B.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT fanout40.X ANTENNA__6238__RESET_B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT fanout40.X ANTENNA__6236__RESET_B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT clkbuf_0_clk.X clkbuf_3_0__f_clk.A (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT clkbuf_0_clk.X clkbuf_3_1__f_clk.A (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT clkbuf_0_clk.X clkbuf_3_2__f_clk.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT clkbuf_0_clk.X clkbuf_3_3__f_clk.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT clkbuf_0_clk.X clkbuf_3_4__f_clk.A (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT clkbuf_0_clk.X clkbuf_3_5__f_clk.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT clkbuf_0_clk.X clkbuf_3_6__f_clk.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT clkbuf_0_clk.X clkbuf_3_7__f_clk.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT clkbuf_0_clk.X ANTENNA_clkbuf_3_7__f_clk_A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT clkbuf_0_clk.X ANTENNA_clkbuf_3_6__f_clk_A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT clkbuf_0_clk.X ANTENNA_clkbuf_3_5__f_clk_A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT clkbuf_0_clk.X ANTENNA_clkbuf_3_4__f_clk_A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT clkbuf_0_clk.X ANTENNA_clkbuf_3_3__f_clk_A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT clkbuf_0_clk.X ANTENNA_clkbuf_3_2__f_clk_A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT clkbuf_0_clk.X ANTENNA_clkbuf_3_1__f_clk_A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT clkbuf_0_clk.X ANTENNA_clkbuf_3_0__f_clk_A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT clkbuf_3_0__f_clk.X _6270_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_3_0__f_clk.X _6230_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_3_0__f_clk.X _6229_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_3_0__f_clk.X _6228_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_3_0__f_clk.X _6227_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_3_0__f_clk.X _6223_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_3_0__f_clk.X ANTENNA__6223__CLK.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_3_0__f_clk.X ANTENNA__6227__CLK.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT clkbuf_3_0__f_clk.X ANTENNA__6228__CLK.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT clkbuf_3_0__f_clk.X ANTENNA__6229__CLK.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT clkbuf_3_0__f_clk.X ANTENNA__6230__CLK.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT clkbuf_3_0__f_clk.X ANTENNA__6270__CLK.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT clkbuf_3_1__f_clk.X _6268_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_3_1__f_clk.X _6267_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT clkbuf_3_1__f_clk.X _6265_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT clkbuf_3_1__f_clk.X _6226_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_3_1__f_clk.X _6225_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT clkbuf_3_1__f_clk.X _6224_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_3_2__f_clk.X _6269_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_3_2__f_clk.X _6266_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_3_2__f_clk.X _6263_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_3_2__f_clk.X _6262_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_3_2__f_clk.X _6261_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_3_2__f_clk.X _6245_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_3_2__f_clk.X _6244_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_3_2__f_clk.X _6242_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_3_3__f_clk.X _6264_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_3_3__f_clk.X _6260_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_3_3__f_clk.X _6259_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_3_3__f_clk.X _6258_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_3_3__f_clk.X _6257_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_3_3__f_clk.X _6256_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_3_3__f_clk.X _6255_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_3_3__f_clk.X _6246_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_3_3__f_clk.X _6243_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_3_3__f_clk.X _6241_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_3_4__f_clk.X _6286_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT clkbuf_3_4__f_clk.X _6238_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_3_4__f_clk.X _6237_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_3_4__f_clk.X _6236_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_3_4__f_clk.X _6232_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_3_4__f_clk.X _6231_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_3_5__f_clk.X _6284_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_3_5__f_clk.X _6283_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT clkbuf_3_5__f_clk.X _6282_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT clkbuf_3_5__f_clk.X _6281_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT clkbuf_3_5__f_clk.X _6277_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_3_5__f_clk.X _6235_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT clkbuf_3_5__f_clk.X _6234_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_3_5__f_clk.X _6233_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_3_6__f_clk.X _6279_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_3_6__f_clk.X _6274_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_3_6__f_clk.X _6271_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_3_6__f_clk.X _6254_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_3_6__f_clk.X _6253_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_3_6__f_clk.X _6251_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_3_6__f_clk.X _6240_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_3_6__f_clk.X _6239_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT clkbuf_3_7__f_clk.X _6285_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT clkbuf_3_7__f_clk.X _6280_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT clkbuf_3_7__f_clk.X _6278_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT clkbuf_3_7__f_clk.X _6276_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT clkbuf_3_7__f_clk.X _6275_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT clkbuf_3_7__f_clk.X _6273_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT clkbuf_3_7__f_clk.X _6272_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT clkbuf_3_7__f_clk.X _6252_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT clkbuf_3_7__f_clk.X _6250_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT clkbuf_3_7__f_clk.X _6249_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT clkbuf_3_7__f_clk.X _6248_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT clkbuf_3_7__f_clk.X _6247_.CLK (0.004:0.004:0.004) (0.003:0.003:0.003))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _3103_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.458:0.458:0.458) (0.332:0.332:0.332))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _3104_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.489:0.489:0.489) (0.346:0.346:0.346))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _3105_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.482:0.482:0.482) (0.342:0.342:0.342))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3106_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.250:0.250:0.250) (0.201:0.201:0.201))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3107_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.409:0.409:0.409) (0.319:0.319:0.319))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3108_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.420:0.420:0.420) (0.339:0.339:0.339))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3109_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.436:0.436:0.436) (0.363:0.363:0.363))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3110_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.405:0.405:0.405) (0.283:0.283:0.283))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3111_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.232:0.232:0.232) (0.193:0.193:0.193))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3112_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.276:0.276:0.276) (0.216:0.216:0.216))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3113_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.524:0.524:0.524) (0.349:0.349:0.349))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3114_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.313:0.313:0.313) (0.263:0.263:0.263))
+    (IOPATH B X (0.330:0.330:0.330) (0.280:0.280:0.280))
+    (IOPATH C X (0.288:0.288:0.288) (0.250:0.250:0.250))
+    (IOPATH D X (0.338:0.338:0.338) (0.338:0.338:0.338))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3115_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.395:0.395:0.395) (0.324:0.324:0.324))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3116_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.385:0.385:0.385) (0.266:0.266:0.266))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _3117_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.234:0.234:0.234) (0.279:0.279:0.279))
+    (IOPATH A2 X (0.205:0.205:0.205) (0.270:0.270:0.270))
+    (IOPATH B1 X (0.229:0.229:0.229) (0.259:0.259:0.259))
+    (IOPATH B2 X (0.209:0.209:0.209) (0.265:0.265:0.265))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_1")
+  (INSTANCE _3118_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.172:0.172:0.172) (0.200:0.200:0.200))
+    (IOPATH B Y (0.202:0.202:0.202) (0.212:0.212:0.212))
+    (IOPATH C Y (0.168:0.168:0.168) (0.183:0.183:0.183))
+    (IOPATH D Y (0.206:0.206:0.206) (0.219:0.219:0.219))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_1")
+  (INSTANCE _3119_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.189:0.189:0.189) (0.211:0.211:0.211))
+    (IOPATH B Y (0.240:0.240:0.240) (0.263:0.263:0.263))
+    (IOPATH C Y (0.153:0.154:0.154) (0.162:0.162:0.163))
+    (IOPATH D Y (0.185:0.189:0.193) (0.175:0.176:0.178))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _3120_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.223:0.223:0.223) (0.276:0.276:0.276))
+    (IOPATH A2 X (0.198:0.198:0.198) (0.275:0.275:0.275))
+    (IOPATH B1 X (0.153:0.154:0.154) (0.198:0.198:0.199))
+    (IOPATH B2 X (0.166:0.168:0.170) (0.252:0.255:0.258))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3121_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.220:0.220:0.220) (0.187:0.187:0.187))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3122_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.461:0.461:0.461) (0.305:0.305:0.305))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3123_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.142:0.142:0.142) (0.133:0.133:0.133))
+    (IOPATH B Y (0.175:0.175:0.175) (0.159:0.159:0.159))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3124_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.233:0.233:0.233) (0.200:0.200:0.200))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3125_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.315:0.315:0.315) (0.257:0.257:0.257))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3126_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.409:0.409:0.409) (0.330:0.330:0.330))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3127_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.414:0.414:0.414) (0.283:0.283:0.283))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3128_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.457:0.457:0.457) (0.360:0.360:0.360))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3129_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.224:0.224:0.224) (0.190:0.190:0.190))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3130_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.277:0.277:0.277) (0.214:0.214:0.214))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _3131_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.266:0.266:0.266) (0.155:0.155:0.155))
+    (IOPATH A2 Y (0.298:0.298:0.298) (0.171:0.171:0.171))
+    (IOPATH B1 Y (0.262:0.262:0.262) (0.151:0.151:0.151))
+    (IOPATH B2 Y (0.235:0.235:0.235) (0.128:0.128:0.128))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3132_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.224:0.224:0.224) (0.191:0.191:0.191))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3133_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.321:0.321:0.321) (0.270:0.270:0.270))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3134_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.412:0.412:0.412) (0.296:0.296:0.296))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3135_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.335:0.335:0.335) (0.278:0.278:0.278))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3136_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.247:0.247:0.247) (0.190:0.190:0.190))
+    (IOPATH B X (0.270:0.270:0.270) (0.246:0.246:0.246))
+    (IOPATH C X (0.293:0.293:0.293) (0.274:0.274:0.274))
+    (IOPATH D X (0.276:0.276:0.276) (0.286:0.286:0.286))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _3137_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.276:0.279:0.282) (0.130:0.132:0.134))
+    (IOPATH A2 Y (0.258:0.264:0.271) (0.147:0.148:0.150))
+    (IOPATH B1_N Y (0.180:0.180:0.181) (0.202:0.203:0.205))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3138_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.165:0.167:0.169) (0.218:0.229:0.240))
+    (IOPATH A2 X (0.151:0.152:0.152) (0.202:0.202:0.202))
+    (IOPATH B1 X (0.138:0.147:0.156) (0.198:0.199:0.199))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3139_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.134:0.135:0.135) (0.135:0.153:0.171))
+    (IOPATH B Y (0.171:0.185:0.198) (0.139:0.141:0.144))
+    (IOPATH C Y (0.124:0.124:0.124) (0.124:0.124:0.125))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _3140_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.259:0.259:0.260) (0.262:0.263:0.264))
+    (IOPATH A2 X (0.246:0.246:0.246) (0.281:0.281:0.281))
+    (IOPATH B1_N X (0.331:0.337:0.343) (0.301:0.304:0.307))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3141_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.253:0.253:0.253) (0.206:0.206:0.206))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3142_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.303:0.303:0.303) (0.257:0.257:0.257))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3143_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.253:0.253:0.253) (0.223:0.223:0.223))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _3144_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.247:0.247:0.247) (0.285:0.285:0.285))
+    (IOPATH A2 X (0.233:0.233:0.233) (0.289:0.289:0.289))
+    (IOPATH B1 X (0.216:0.216:0.216) (0.246:0.246:0.246))
+    (IOPATH B2 X (0.204:0.204:0.204) (0.254:0.254:0.254))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3145_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.267:0.267:0.267) (0.232:0.232:0.232))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_1")
+  (INSTANCE _3146_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.160:0.160:0.160) (0.186:0.186:0.186))
+    (IOPATH B Y (0.152:0.152:0.152) (0.160:0.160:0.160))
+    (IOPATH C Y (0.170:0.170:0.170) (0.172:0.172:0.172))
+    (IOPATH D Y (0.164:0.164:0.164) (0.174:0.174:0.174))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3147_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.237:0.237:0.237) (0.213:0.213:0.213))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _3148_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.209:0.209:0.209) (0.194:0.194:0.194))
+    (IOPATH B X (0.209:0.209:0.209) (0.213:0.213:0.213))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3149_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.095:0.096:0.096) (0.102:0.102:0.103))
+    (IOPATH B Y (0.137:0.141:0.145) (0.107:0.109:0.111))
+    (IOPATH C Y (0.106:0.106:0.106) (0.111:0.111:0.111))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3150_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.143:0.143:0.143) (0.176:0.176:0.177))
+    (IOPATH A2 X (0.145:0.147:0.149) (0.221:0.224:0.227))
+    (IOPATH B1 X (0.118:0.118:0.118) (0.171:0.172:0.172))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3151_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.410:0.410:0.410) (0.331:0.331:0.331))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3152_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.266:0.266:0.266) (0.218:0.218:0.218))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3153_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.182:0.182:0.182) (0.156:0.156:0.156))
+    (IOPATH B Y (0.126:0.126:0.126) (0.123:0.123:0.123))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3154_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.374:0.374:0.374) (0.306:0.306:0.306))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _3155_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.206:0.206:0.206) (0.107:0.107:0.107))
+    (IOPATH A2 Y (0.279:0.279:0.279) (0.150:0.150:0.150))
+    (IOPATH B1 Y (0.182:0.182:0.182) (0.091:0.091:0.091))
+    (IOPATH B2 Y (0.198:0.198:0.198) (0.086:0.086:0.086))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3156_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.280:0.280:0.280) (0.228:0.228:0.228))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3157_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.233:0.233:0.233) (0.190:0.190:0.190))
+    (IOPATH B X (0.242:0.242:0.242) (0.216:0.216:0.216))
+    (IOPATH C X (0.259:0.259:0.259) (0.235:0.235:0.235))
+    (IOPATH D X (0.259:0.259:0.259) (0.245:0.245:0.245))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _3158_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.215:0.223:0.230) (0.108:0.111:0.113))
+    (IOPATH A2 Y (0.192:0.197:0.202) (0.109:0.111:0.114))
+    (IOPATH B1_N Y (0.151:0.151:0.151) (0.179:0.180:0.181))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3159_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.237:0.240:0.243) (0.205:0.206:0.207))
+    (IOPATH B X (0.238:0.239:0.239) (0.211:0.212:0.212))
+    (IOPATH C X (0.253:0.263:0.272) (0.239:0.241:0.243))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3160_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.237:0.238:0.239) (0.100:0.103:0.107))
+    (IOPATH A2 Y (0.242:0.243:0.243) (0.099:0.100:0.100))
+    (IOPATH B1 Y (0.228:0.229:0.230) (0.081:0.091:0.102))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _3161_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.443:0.443:0.443) (0.334:0.334:0.334))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3162_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.252:0.252:0.252) (0.215:0.215:0.215))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3163_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.423:0.423:0.423) (0.341:0.341:0.341))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _3164_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.254:0.254:0.254) (0.178:0.178:0.178))
+    (IOPATH A2 Y (0.237:0.237:0.237) (0.134:0.134:0.134))
+    (IOPATH B1 Y (0.196:0.196:0.196) (0.116:0.116:0.116))
+    (IOPATH B2 Y (0.263:0.263:0.263) (0.140:0.140:0.140))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3165_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.269:0.269:0.269) (0.229:0.229:0.229))
+    (IOPATH B X (0.242:0.242:0.242) (0.208:0.208:0.208))
+    (IOPATH C X (0.244:0.244:0.244) (0.225:0.225:0.225))
+    (IOPATH D X (0.253:0.253:0.253) (0.261:0.261:0.261))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3166_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.141:0.148:0.156) (0.085:0.086:0.088))
+    (IOPATH B Y (0.112:0.113:0.114) (0.055:0.055:0.056))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3167_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.138:0.138:0.138) (0.134:0.134:0.134))
+    (IOPATH B Y (0.178:0.178:0.178) (0.149:0.149:0.149))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3168_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.164:0.164:0.164) (0.142:0.148:0.153))
+    (IOPATH A Y (0.217:0.222:0.227) (0.111:0.111:0.111))
+    (IOPATH B Y (0.159:0.162:0.164) (0.169:0.169:0.170))
+    (IOPATH B Y (0.225:0.226:0.226) (0.097:0.100:0.102))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3b_1")
+  (INSTANCE _3169_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.157:0.157:0.157) (0.406:0.406:0.407))
+    (IOPATH B X (0.168:0.170:0.172) (0.375:0.379:0.383))
+    (IOPATH C_N X (0.187:0.189:0.191) (0.377:0.385:0.394))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _3170_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.199:0.199:0.200) (0.119:0.119:0.119))
+    (IOPATH A2 Y (0.175:0.180:0.185) (0.117:0.119:0.121))
+    (IOPATH B1_N Y (0.142:0.156:0.170) (0.179:0.181:0.183))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3171_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.278:0.278:0.278) (0.225:0.226:0.226))
+    (IOPATH B X (0.238:0.239:0.239) (0.228:0.228:0.228))
+    (IOPATH C X (0.249:0.257:0.266) (0.238:0.239:0.241))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _3172_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.152:0.152:0.152) (0.408:0.409:0.410))
+    (IOPATH B X (0.149:0.153:0.156) (0.395:0.402:0.409))
+    (IOPATH C X (0.162:0.164:0.167) (0.350:0.355:0.359))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _3173_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.226:0.227:0.228) (0.120:0.120:0.120))
+    (IOPATH A2 Y (0.217:0.222:0.227) (0.119:0.122:0.125))
+    (IOPATH B1 Y (0.155:0.165:0.174) (0.115:0.118:0.121))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3174_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.116:0.116:0.116) (0.121:0.121:0.121))
+    (IOPATH B Y (0.125:0.125:0.125) (0.110:0.110:0.110))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3175_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.254:0.254:0.254) (0.223:0.223:0.223))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _3176_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.212:0.212:0.212) (0.109:0.109:0.109))
+    (IOPATH A2 Y (0.247:0.247:0.247) (0.123:0.123:0.123))
+    (IOPATH B1 Y (0.187:0.187:0.187) (0.094:0.094:0.094))
+    (IOPATH B2 Y (0.201:0.201:0.201) (0.088:0.088:0.088))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3177_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.240:0.240:0.240) (0.194:0.194:0.194))
+    (IOPATH B X (0.266:0.266:0.266) (0.223:0.223:0.223))
+    (IOPATH C X (0.262:0.262:0.262) (0.246:0.246:0.246))
+    (IOPATH D X (0.253:0.253:0.253) (0.245:0.245:0.245))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _3178_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.231:0.233:0.236) (0.112:0.113:0.114))
+    (IOPATH A2 Y (0.211:0.215:0.218) (0.116:0.118:0.121))
+    (IOPATH B1_N Y (0.162:0.162:0.162) (0.186:0.187:0.188))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_2")
+  (INSTANCE _3179_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.140:0.140:0.140) (0.137:0.137:0.138))
+    (IOPATH B Y (0.148:0.150:0.153) (0.171:0.179:0.187))
+    (IOPATH C Y (0.143:0.144:0.146) (0.156:0.166:0.176))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _3180_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.492:0.492:0.492) (0.353:0.353:0.353))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3181_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.386:0.386:0.386) (0.303:0.303:0.303))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3182_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.475:0.475:0.475) (0.377:0.377:0.377))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _3183_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.269:0.269:0.269) (0.193:0.193:0.193))
+    (IOPATH A2 Y (0.247:0.247:0.247) (0.144:0.144:0.144))
+    (IOPATH B1 Y (0.241:0.241:0.241) (0.139:0.139:0.139))
+    (IOPATH B2 Y (0.285:0.285:0.285) (0.152:0.152:0.152))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3184_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.271:0.271:0.271) (0.215:0.215:0.215))
+    (IOPATH B X (0.244:0.244:0.244) (0.210:0.210:0.210))
+    (IOPATH C X (0.236:0.236:0.236) (0.219:0.219:0.219))
+    (IOPATH D X (0.259:0.259:0.259) (0.290:0.290:0.290))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3185_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.158:0.167:0.176) (0.094:0.095:0.097))
+    (IOPATH B Y (0.126:0.127:0.128) (0.058:0.059:0.059))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _3186_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.443:0.443:0.443) (0.316:0.316:0.316))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3187_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.243:0.243:0.243) (0.202:0.202:0.202))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3188_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.352:0.352:0.352) (0.282:0.282:0.282))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3189_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.156:0.156:0.156) (0.158:0.158:0.158))
+    (IOPATH B Y (0.157:0.157:0.157) (0.135:0.135:0.135))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3190_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.169:0.169:0.169) (0.142:0.149:0.155))
+    (IOPATH A Y (0.220:0.226:0.232) (0.115:0.115:0.115))
+    (IOPATH B Y (0.162:0.162:0.162) (0.164:0.173:0.181))
+    (IOPATH B Y (0.224:0.232:0.240) (0.098:0.098:0.098))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3191_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.189:0.189:0.189) (0.221:0.221:0.221))
+    (IOPATH A2 X (0.213:0.220:0.226) (0.238:0.240:0.243))
+    (IOPATH B1 X (0.171:0.179:0.187) (0.212:0.214:0.215))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_2")
+  (INSTANCE _3192_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.162:0.165:0.167) (0.152:0.153:0.155))
+    (IOPATH B Y (0.142:0.145:0.147) (0.156:0.172:0.187))
+    (IOPATH C Y (0.146:0.146:0.146) (0.163:0.163:0.163))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3193_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.247:0.247:0.247) (0.101:0.101:0.101))
+    (IOPATH A2 Y (0.261:0.262:0.263) (0.113:0.125:0.136))
+    (IOPATH B1 Y (0.255:0.255:0.255) (0.112:0.112:0.112))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211oi_1")
+  (INSTANCE _3194_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.378:0.380:0.382) (0.120:0.121:0.123))
+    (IOPATH A2 Y (0.410:0.412:0.413) (0.124:0.125:0.126))
+    (IOPATH B1 Y (0.371:0.372:0.373) (0.119:0.122:0.124))
+    (IOPATH C1 Y (0.329:0.330:0.330) (0.098:0.098:0.098))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _3195_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.182:0.184:0.186) (0.210:0.217:0.225))
+    (IOPATH A2 X (0.147:0.149:0.151) (0.203:0.203:0.204))
+    (IOPATH B1_N X (0.231:0.232:0.233) (0.165:0.165:0.166))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _3196_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.172:0.172:0.172) (0.204:0.205:0.206))
+    (IOPATH A2 X (0.181:0.183:0.184) (0.187:0.191:0.195))
+    (IOPATH B1_N X (0.225:0.226:0.227) (0.157:0.157:0.157))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3b_1")
+  (INSTANCE _3197_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.131:0.131:0.132) (0.373:0.374:0.374))
+    (IOPATH B X (0.162:0.164:0.165) (0.346:0.350:0.353))
+    (IOPATH C_N X (0.152:0.153:0.154) (0.338:0.338:0.339))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _3198_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.245:0.246:0.246) (0.217:0.217:0.218))
+    (IOPATH B X (0.175:0.175:0.176) (0.200:0.200:0.200))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _3199_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.310:0.310:0.310) (0.296:0.296:0.296))
+    (IOPATH A2 X (0.304:0.304:0.304) (0.336:0.336:0.336))
+    (IOPATH A3 X (0.260:0.260:0.260) (0.288:0.288:0.288))
+    (IOPATH B1 X (0.201:0.201:0.202) (0.245:0.246:0.247))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3200_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.258:0.258:0.258) (0.209:0.209:0.209))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _3201_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.268:0.268:0.268) (0.187:0.187:0.187))
+    (IOPATH A2 Y (0.253:0.253:0.253) (0.142:0.142:0.142))
+    (IOPATH B1 Y (0.278:0.278:0.278) (0.160:0.160:0.160))
+    (IOPATH B2 Y (0.229:0.229:0.229) (0.125:0.125:0.125))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3202_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.260:0.260:0.260) (0.223:0.223:0.223))
+    (IOPATH B X (0.238:0.238:0.238) (0.206:0.206:0.206))
+    (IOPATH C X (0.235:0.235:0.235) (0.219:0.219:0.219))
+    (IOPATH D X (0.257:0.257:0.257) (0.289:0.289:0.289))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3203_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.148:0.157:0.166) (0.092:0.092:0.093))
+    (IOPATH B Y (0.116:0.116:0.117) (0.054:0.054:0.055))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3204_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.154:0.154:0.154) (0.155:0.155:0.155))
+    (IOPATH B Y (0.168:0.168:0.168) (0.140:0.140:0.140))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3205_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.174:0.174:0.175) (0.147:0.154:0.160))
+    (IOPATH A Y (0.234:0.240:0.246) (0.118:0.118:0.119))
+    (IOPATH B Y (0.169:0.170:0.171) (0.173:0.180:0.187))
+    (IOPATH B Y (0.241:0.248:0.255) (0.104:0.105:0.105))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3206_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.431:0.431:0.431) (0.332:0.332:0.332))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3207_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.173:0.173:0.173) (0.176:0.176:0.176))
+    (IOPATH B Y (0.202:0.202:0.202) (0.168:0.168:0.168))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3208_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.147:0.147:0.147) (0.129:0.129:0.129))
+    (IOPATH B Y (0.177:0.177:0.177) (0.138:0.138:0.138))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _3209_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.232:0.232:0.232) (0.276:0.276:0.276))
+    (IOPATH A2 X (0.213:0.213:0.213) (0.288:0.288:0.288))
+    (IOPATH B1 X (0.233:0.233:0.233) (0.284:0.284:0.284))
+    (IOPATH B2 X (0.189:0.189:0.189) (0.245:0.245:0.245))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _3210_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.152:0.153:0.154) (0.217:0.222:0.226))
+    (IOPATH A2 X (0.130:0.131:0.133) (0.195:0.196:0.196))
+    (IOPATH B1 X (0.141:0.141:0.142) (0.117:0.117:0.118))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21boi_2")
+  (INSTANCE _3211_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.241:0.241:0.241) (0.101:0.101:0.102))
+    (IOPATH A2 Y (0.246:0.246:0.247) (0.106:0.106:0.106))
+    (IOPATH B1_N Y (0.276:0.278:0.280) (0.221:0.225:0.230))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3212_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.158:0.158:0.158) (0.149:0.150:0.151))
+    (IOPATH A Y (0.236:0.237:0.238) (0.101:0.101:0.101))
+    (IOPATH B Y (0.194:0.194:0.195) (0.158:0.161:0.163))
+    (IOPATH B Y (0.228:0.230:0.232) (0.136:0.136:0.136))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3213_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.163:0.174:0.186) (0.159:0.162:0.164))
+    (IOPATH A Y (0.232:0.234:0.236) (0.110:0.125:0.140))
+    (IOPATH B Y (0.163:0.175:0.187) (0.157:0.160:0.163))
+    (IOPATH B Y (0.214:0.217:0.220) (0.101:0.116:0.131))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3214_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.169:0.173:0.176) (0.125:0.127:0.130))
+    (IOPATH B Y (0.177:0.190:0.203) (0.128:0.131:0.134))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3215_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.406:0.406:0.406) (0.331:0.331:0.331))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3216_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.336:0.336:0.336) (0.281:0.281:0.281))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _3217_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.236:0.236:0.236) (0.287:0.287:0.287))
+    (IOPATH A2 X (0.220:0.220:0.220) (0.296:0.296:0.296))
+    (IOPATH B1 X (0.212:0.212:0.212) (0.252:0.252:0.252))
+    (IOPATH B2 X (0.201:0.201:0.201) (0.260:0.260:0.260))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3218_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.259:0.259:0.259) (0.214:0.214:0.214))
+    (IOPATH B X (0.292:0.292:0.292) (0.252:0.252:0.252))
+    (IOPATH C X (0.267:0.267:0.267) (0.262:0.262:0.262))
+    (IOPATH D X (0.289:0.289:0.289) (0.292:0.292:0.292))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31oi_2")
+  (INSTANCE _3219_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.274:0.274:0.274) (0.190:0.190:0.190))
+    (IOPATH A2 Y (0.274:0.274:0.274) (0.164:0.164:0.164))
+    (IOPATH A3 Y (0.270:0.271:0.271) (0.135:0.135:0.136))
+    (IOPATH B1 Y (0.231:0.232:0.233) (0.069:0.069:0.069))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3220_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.413:0.413:0.413) (0.337:0.337:0.337))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _3221_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.295:0.295:0.295) (0.181:0.181:0.181))
+    (IOPATH A2 Y (0.315:0.315:0.315) (0.206:0.206:0.206))
+    (IOPATH B1 Y (0.231:0.231:0.231) (0.135:0.135:0.135))
+    (IOPATH B2 Y (0.291:0.291:0.291) (0.157:0.157:0.157))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3222_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.297:0.297:0.297) (0.252:0.252:0.252))
+    (IOPATH B X (0.300:0.300:0.300) (0.267:0.267:0.267))
+    (IOPATH C X (0.312:0.312:0.312) (0.290:0.290:0.290))
+    (IOPATH D X (0.277:0.277:0.277) (0.255:0.255:0.255))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3223_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.197:0.202:0.207) (0.114:0.116:0.117))
+    (IOPATH B Y (0.165:0.166:0.167) (0.081:0.081:0.082))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _3224_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.177:0.182:0.186) (0.168:0.187:0.207))
+    (IOPATH A Y (0.242:0.259:0.276) (0.133:0.138:0.144))
+    (IOPATH B Y (0.170:0.170:0.170) (0.163:0.169:0.175))
+    (IOPATH B Y (0.217:0.223:0.228) (0.119:0.119:0.119))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _3225_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.156:0.162:0.168) (0.384:0.399:0.413))
+    (IOPATH B X (0.161:0.163:0.165) (0.380:0.384:0.389))
+    (IOPATH C X (0.132:0.133:0.133) (0.326:0.327:0.327))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _3226_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.250:0.251:0.252) (0.269:0.270:0.271))
+    (IOPATH A2 X (0.239:0.255:0.271) (0.284:0.287:0.291))
+    (IOPATH B1_N X (0.309:0.309:0.309) (0.281:0.281:0.281))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _3227_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.211:0.217:0.222) (0.197:0.209:0.220))
+    (IOPATH B X (0.171:0.171:0.172) (0.198:0.198:0.199))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3b_1")
+  (INSTANCE _3228_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.275:0.276:0.276) (0.089:0.089:0.089))
+    (IOPATH B Y (0.252:0.256:0.260) (0.098:0.100:0.101))
+    (IOPATH C_N Y (0.261:0.272:0.282) (0.156:0.158:0.160))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3229_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.177:0.177:0.177) (0.177:0.178:0.178))
+    (IOPATH A Y (0.244:0.244:0.244) (0.129:0.129:0.130))
+    (IOPATH B Y (0.156:0.167:0.179) (0.150:0.155:0.160))
+    (IOPATH B Y (0.203:0.208:0.212) (0.094:0.107:0.121))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _3230_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.184:0.184:0.185) (0.220:0.220:0.221))
+    (IOPATH A2 X (0.183:0.183:0.183) (0.189:0.195:0.200))
+    (IOPATH B1 X (0.162:0.176:0.189) (0.130:0.135:0.139))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _3231_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.171:0.171:0.172) (0.201:0.210:0.219))
+    (IOPATH A2 X (0.132:0.132:0.133) (0.190:0.197:0.204))
+    (IOPATH B1_N X (0.212:0.213:0.214) (0.151:0.151:0.152))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _3232_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.145:0.145:0.146) (0.187:0.187:0.187))
+    (IOPATH A2 X (0.138:0.138:0.138) (0.177:0.178:0.179))
+    (IOPATH B1_N X (0.218:0.219:0.220) (0.148:0.148:0.149))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3b_1")
+  (INSTANCE _3233_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.108:0.108:0.108) (0.372:0.372:0.372))
+    (IOPATH B X (0.115:0.115:0.115) (0.351:0.352:0.353))
+    (IOPATH C_N X (0.155:0.156:0.157) (0.345:0.345:0.345))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _3234_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.245:0.246:0.247) (0.215:0.215:0.215))
+    (IOPATH B X (0.178:0.178:0.178) (0.202:0.202:0.202))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3235_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.151:0.151:0.151) (0.145:0.145:0.145))
+    (IOPATH B Y (0.171:0.171:0.171) (0.145:0.145:0.145))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _3236_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.164:0.164:0.164) (0.174:0.174:0.174))
+    (IOPATH A Y (0.247:0.247:0.247) (0.116:0.116:0.116))
+    (IOPATH B Y (0.154:0.155:0.156) (0.181:0.183:0.186))
+    (IOPATH B Y (0.232:0.234:0.237) (0.101:0.102:0.103))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _3237_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.073:0.074:0.074) (0.053:0.054:0.054))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3238_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.267:0.271:0.275) (0.103:0.104:0.106))
+    (IOPATH B Y (0.246:0.247:0.247) (0.089:0.091:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3239_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.111:0.114:0.116) (0.102:0.119:0.136))
+    (IOPATH B Y (0.119:0.122:0.126) (0.104:0.119:0.135))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o31ai_4")
+  (INSTANCE _3240_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.318:0.318:0.318) (0.091:0.091:0.091))
+    (IOPATH A2 Y (0.307:0.308:0.309) (0.128:0.128:0.128))
+    (IOPATH A3 Y (0.260:0.262:0.264) (0.103:0.103:0.103))
+    (IOPATH B1 Y (0.091:0.097:0.103) (0.098:0.099:0.100))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _3241_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.208:0.208:0.208) (0.141:0.141:0.141))
+    (IOPATH A2 Y (0.193:0.193:0.193) (0.120:0.120:0.120))
+    (IOPATH B1 Y (0.170:0.170:0.170) (0.097:0.097:0.097))
+    (IOPATH B2 Y (0.213:0.213:0.213) (0.111:0.111:0.111))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3242_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.316:0.316:0.316) (0.246:0.246:0.246))
+    (IOPATH B X (0.329:0.329:0.329) (0.284:0.284:0.284))
+    (IOPATH C X (0.296:0.296:0.296) (0.255:0.255:0.255))
+    (IOPATH D X (0.309:0.309:0.309) (0.296:0.296:0.296))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _3243_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.156:0.158:0.160) (0.262:0.267:0.271))
+    (IOPATH B X (0.157:0.158:0.158) (0.247:0.248:0.249))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3244_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.245:0.245:0.245) (0.201:0.201:0.201))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _3245_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.194:0.194:0.194) (0.237:0.237:0.237))
+    (IOPATH A2 X (0.227:0.227:0.227) (0.292:0.292:0.292))
+    (IOPATH B1 X (0.192:0.192:0.192) (0.236:0.236:0.236))
+    (IOPATH B2 X (0.217:0.217:0.217) (0.272:0.272:0.272))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3246_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.262:0.262:0.262) (0.230:0.230:0.230))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3247_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.234:0.234:0.234) (0.182:0.182:0.182))
+    (IOPATH B X (0.278:0.278:0.278) (0.245:0.245:0.245))
+    (IOPATH C X (0.231:0.231:0.231) (0.225:0.225:0.225))
+    (IOPATH D X (0.276:0.276:0.276) (0.285:0.285:0.285))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _3248_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.289:0.289:0.289) (0.296:0.296:0.296))
+    (IOPATH A2 X (0.280:0.280:0.280) (0.308:0.308:0.308))
+    (IOPATH A3 X (0.241:0.241:0.242) (0.276:0.276:0.277))
+    (IOPATH B1 X (0.199:0.199:0.200) (0.244:0.244:0.245))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _3249_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.294:0.294:0.294) (0.359:0.359:0.359))
+    (IOPATH B_N X (0.361:0.361:0.362) (0.378:0.378:0.378))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3250_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.177:0.177:0.177) (0.170:0.171:0.172))
+    (IOPATH A Y (0.245:0.245:0.246) (0.126:0.127:0.127))
+    (IOPATH B Y (0.158:0.158:0.158) (0.157:0.157:0.157))
+    (IOPATH B Y (0.217:0.217:0.217) (0.095:0.095:0.095))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3251_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.199:0.201:0.202) (0.181:0.181:0.182))
+    (IOPATH B Y (0.209:0.213:0.216) (0.178:0.196:0.214))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3252_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.240:0.240:0.240) (0.224:0.224:0.224))
+    (IOPATH B X (0.251:0.251:0.251) (0.246:0.246:0.246))
+    (IOPATH C X (0.212:0.212:0.212) (0.218:0.218:0.218))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _3253_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.221:0.221:0.221) (0.271:0.271:0.271))
+    (IOPATH A2 X (0.192:0.192:0.192) (0.260:0.260:0.260))
+    (IOPATH B1 X (0.202:0.202:0.202) (0.256:0.256:0.256))
+    (IOPATH B2 X (0.210:0.210:0.210) (0.265:0.265:0.265))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _3254_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.185:0.185:0.185) (0.261:0.261:0.261))
+    (IOPATH A2 X (0.130:0.130:0.130) (0.199:0.200:0.200))
+    (IOPATH B1_N X (0.189:0.190:0.190) (0.210:0.211:0.212))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3255_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.129:0.129:0.129) (0.121:0.121:0.121))
+    (IOPATH B Y (0.102:0.102:0.102) (0.097:0.097:0.097))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _3256_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.226:0.226:0.227) (0.091:0.092:0.093))
+    (IOPATH A X (0.216:0.217:0.217) (0.179:0.179:0.179))
+    (IOPATH B X (0.215:0.224:0.232) (0.091:0.093:0.095))
+    (IOPATH B X (0.225:0.226:0.228) (0.168:0.176:0.185))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3257_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.699:0.699:0.699) (0.466:0.466:0.466))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3258_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.263:0.263:0.263) (0.240:0.240:0.240))
+    (IOPATH B X (0.323:0.323:0.323) (0.337:0.337:0.337))
+    (IOPATH C X (0.221:0.222:0.223) (0.243:0.248:0.254))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3259_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.196:0.197:0.198) (0.161:0.166:0.170))
+    (IOPATH A Y (0.255:0.259:0.263) (0.144:0.146:0.147))
+    (IOPATH B Y (0.179:0.180:0.181) (0.162:0.163:0.163))
+    (IOPATH B Y (0.240:0.240:0.241) (0.112:0.113:0.114))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3260_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.231:0.231:0.231) (0.144:0.144:0.144))
+    (IOPATH A2 Y (0.248:0.249:0.250) (0.130:0.131:0.131))
+    (IOPATH B1 Y (0.184:0.188:0.192) (0.075:0.086:0.098))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3261_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.144:0.144:0.144) (0.152:0.152:0.152))
+    (IOPATH B Y (0.162:0.163:0.165) (0.139:0.139:0.140))
+    (IOPATH C Y (0.116:0.121:0.125) (0.114:0.128:0.141))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _3262_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.229:0.241:0.253) (0.227:0.229:0.230))
+    (IOPATH B X (0.169:0.170:0.172) (0.196:0.204:0.213))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+  (INSTANCE _3263_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.226:0.229:0.232) (0.096:0.120:0.145))
+    (IOPATH A X (0.198:0.216:0.234) (0.197:0.200:0.203))
+    (IOPATH B X (0.197:0.197:0.197) (0.095:0.095:0.095))
+    (IOPATH B X (0.211:0.211:0.211) (0.166:0.166:0.166))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3264_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.267:0.267:0.267) (0.223:0.223:0.223))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _3265_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.211:0.211:0.211) (0.252:0.252:0.252))
+    (IOPATH A2 X (0.249:0.249:0.249) (0.309:0.309:0.309))
+    (IOPATH B1 X (0.241:0.241:0.241) (0.269:0.269:0.269))
+    (IOPATH B2 X (0.201:0.201:0.201) (0.245:0.245:0.245))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3266_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.275:0.275:0.275) (0.227:0.227:0.227))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_1")
+  (INSTANCE _3267_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.130:0.130:0.130) (0.163:0.163:0.163))
+    (IOPATH B Y (0.149:0.149:0.149) (0.171:0.171:0.171))
+    (IOPATH C Y (0.208:0.208:0.208) (0.194:0.194:0.194))
+    (IOPATH D Y (0.188:0.188:0.188) (0.187:0.187:0.187))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _3268_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.226:0.226:0.226) (0.217:0.217:0.217))
+    (IOPATH B X (0.197:0.197:0.197) (0.208:0.208:0.208))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3269_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.143:0.143:0.144) (0.177:0.177:0.177))
+    (IOPATH A2 X (0.148:0.152:0.156) (0.226:0.228:0.229))
+    (IOPATH B1 X (0.121:0.121:0.121) (0.173:0.173:0.174))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3270_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.114:0.114:0.114) (0.117:0.117:0.118))
+    (IOPATH B Y (0.162:0.164:0.166) (0.126:0.131:0.135))
+    (IOPATH C Y (0.124:0.125:0.125) (0.129:0.129:0.129))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3271_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.260:0.260:0.260) (0.218:0.218:0.218))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3272_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.322:0.322:0.322) (0.270:0.270:0.270))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3273_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.405:0.405:0.405) (0.328:0.328:0.328))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3274_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.074:0.074:0.074) (0.072:0.072:0.072))
+    (IOPATH B Y (0.132:0.132:0.132) (0.093:0.093:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3275_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.237:0.237:0.237) (0.196:0.196:0.196))
+    (IOPATH B X (0.250:0.250:0.250) (0.247:0.247:0.247))
+    (IOPATH C X (0.199:0.202:0.206) (0.210:0.213:0.215))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_2")
+  (INSTANCE _3276_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.269:0.269:0.270) (0.236:0.236:0.237))
+    (IOPATH B X (0.285:0.288:0.290) (0.283:0.283:0.284))
+    (IOPATH C X (0.289:0.290:0.290) (0.278:0.279:0.280))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3277_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.262:0.262:0.262) (0.231:0.231:0.231))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3278_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.395:0.395:0.395) (0.275:0.275:0.275))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3279_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.255:0.255:0.255) (0.206:0.206:0.206))
+    (IOPATH B X (0.280:0.280:0.280) (0.229:0.229:0.229))
+    (IOPATH C X (0.275:0.275:0.275) (0.252:0.252:0.252))
+    (IOPATH D X (0.316:0.316:0.316) (0.304:0.304:0.304))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3280_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.489:0.489:0.489) (0.378:0.378:0.378))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3281_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.095:0.095:0.095) (0.096:0.096:0.096))
+    (IOPATH B Y (0.160:0.160:0.160) (0.121:0.121:0.121))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3282_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.123:0.123:0.123) (0.127:0.127:0.127))
+    (IOPATH B Y (0.169:0.169:0.169) (0.147:0.147:0.147))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3283_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.244:0.244:0.244) (0.187:0.187:0.187))
+    (IOPATH B X (0.246:0.246:0.246) (0.209:0.209:0.209))
+    (IOPATH C X (0.270:0.270:0.270) (0.277:0.277:0.277))
+    (IOPATH D X (0.262:0.262:0.262) (0.276:0.276:0.276))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3284_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.208:0.211:0.214) (0.087:0.092:0.098))
+    (IOPATH A2 Y (0.235:0.236:0.237) (0.103:0.105:0.107))
+    (IOPATH B1 Y (0.191:0.192:0.193) (0.069:0.069:0.070))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3285_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.282:0.282:0.282) (0.218:0.218:0.218))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3286_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.134:0.134:0.134) (0.114:0.114:0.114))
+    (IOPATH B Y (0.105:0.105:0.105) (0.101:0.101:0.101))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3287_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.168:0.169:0.171) (0.142:0.147:0.152))
+    (IOPATH A Y (0.204:0.209:0.213) (0.121:0.123:0.125))
+    (IOPATH B Y (0.141:0.143:0.145) (0.146:0.152:0.157))
+    (IOPATH B Y (0.191:0.197:0.202) (0.081:0.084:0.086))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _3288_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.183:0.183:0.183) (0.221:0.222:0.223))
+    (IOPATH A2 X (0.161:0.161:0.161) (0.197:0.198:0.199))
+    (IOPATH B1 X (0.159:0.172:0.185) (0.127:0.132:0.137))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _3289_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.144:0.158:0.172) (0.404:0.407:0.411))
+    (IOPATH B X (0.163:0.163:0.163) (0.392:0.393:0.393))
+    (IOPATH C X (0.154:0.154:0.154) (0.347:0.348:0.348))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _3290_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.285:0.286:0.287) (0.241:0.241:0.241))
+    (IOPATH B X (0.223:0.223:0.223) (0.231:0.232:0.232))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _3291_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.146:0.147:0.147) (0.194:0.194:0.194))
+    (IOPATH A2 X (0.152:0.152:0.152) (0.215:0.215:0.216))
+    (IOPATH B1_N X (0.241:0.243:0.245) (0.233:0.237:0.240))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3292_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.117:0.117:0.117) (0.094:0.094:0.094))
+    (IOPATH B Y (0.112:0.112:0.112) (0.090:0.090:0.090))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3293_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.145:0.145:0.145) (0.123:0.123:0.123))
+    (IOPATH B Y (0.137:0.137:0.137) (0.115:0.115:0.115))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3294_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.327:0.327:0.327) (0.267:0.267:0.267))
+    (IOPATH B X (0.329:0.329:0.329) (0.281:0.281:0.281))
+    (IOPATH C X (0.310:0.310:0.310) (0.283:0.283:0.283))
+    (IOPATH D X (0.313:0.313:0.313) (0.300:0.300:0.300))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3295_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.153:0.155:0.156) (0.190:0.194:0.199))
+    (IOPATH A2 X (0.164:0.165:0.166) (0.219:0.224:0.229))
+    (IOPATH B1 X (0.150:0.150:0.150) (0.196:0.197:0.197))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3296_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.142:0.142:0.142) (0.141:0.142:0.142))
+    (IOPATH A Y (0.201:0.202:0.202) (0.090:0.091:0.091))
+    (IOPATH B Y (0.148:0.148:0.148) (0.142:0.143:0.143))
+    (IOPATH B Y (0.186:0.187:0.187) (0.088:0.089:0.089))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3297_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.161:0.162:0.163) (0.087:0.088:0.088))
+    (IOPATH B Y (0.138:0.139:0.141) (0.062:0.073:0.085))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _3298_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.465:0.465:0.465) (0.316:0.317:0.318))
+    (IOPATH B X (0.445:0.457:0.469) (0.325:0.327:0.329))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+  (INSTANCE _3299_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.247:0.251:0.255) (0.101:0.101:0.101))
+    (IOPATH B Y (0.302:0.302:0.302) (0.152:0.152:0.152))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_4")
+  (INSTANCE _3300_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.332:0.332:0.332) (0.154:0.154:0.154))
+    (IOPATH A X (0.318:0.318:0.318) (0.238:0.238:0.238))
+    (IOPATH B X (0.300:0.312:0.324) (0.180:0.181:0.181))
+    (IOPATH B X (0.326:0.326:0.326) (0.200:0.213:0.225))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+  (INSTANCE _3301_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.259:0.260:0.260) (0.093:0.094:0.094))
+    (IOPATH A2 Y (0.286:0.286:0.287) (0.108:0.111:0.113))
+    (IOPATH B1 Y (0.239:0.240:0.240) (0.080:0.080:0.081))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _3302_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.289:0.290:0.291) (0.244:0.244:0.245))
+    (IOPATH B X (0.227:0.228:0.228) (0.217:0.218:0.218))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3303_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.225:0.225:0.225) (0.209:0.209:0.209))
+    (IOPATH B Y (0.210:0.210:0.210) (0.185:0.185:0.185))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_4")
+  (INSTANCE _3304_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.184:0.184:0.184) (0.195:0.195:0.195))
+    (IOPATH A Y (0.278:0.278:0.278) (0.135:0.135:0.135))
+    (IOPATH B Y (0.181:0.181:0.181) (0.200:0.204:0.207))
+    (IOPATH B Y (0.271:0.275:0.279) (0.122:0.122:0.122))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _3305_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.190:0.190:0.190) (0.125:0.125:0.125))
+    (IOPATH A2 Y (0.250:0.250:0.250) (0.151:0.151:0.151))
+    (IOPATH B1 Y (0.186:0.186:0.186) (0.107:0.107:0.107))
+    (IOPATH B2 Y (0.175:0.175:0.175) (0.098:0.098:0.098))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_2")
+  (INSTANCE _3306_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.207:0.208:0.208) (0.370:0.371:0.372))
+    (IOPATH B X (0.209:0.211:0.213) (0.350:0.355:0.359))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _3307_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.216:0.216:0.216) (0.191:0.191:0.191))
+    (IOPATH B X (0.247:0.247:0.247) (0.256:0.256:0.256))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3308_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.272:0.272:0.272) (0.236:0.236:0.236))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _3309_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.203:0.203:0.203) (0.259:0.259:0.259))
+    (IOPATH A2 X (0.213:0.213:0.213) (0.285:0.285:0.285))
+    (IOPATH B1 X (0.200:0.200:0.200) (0.234:0.234:0.234))
+    (IOPATH B2 X (0.209:0.209:0.209) (0.249:0.249:0.249))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3310_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.255:0.255:0.255) (0.223:0.223:0.223))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _3311_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.403:0.403:0.403) (0.290:0.290:0.290))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_1")
+  (INSTANCE _3312_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.130:0.130:0.130) (0.165:0.165:0.165))
+    (IOPATH B Y (0.144:0.144:0.144) (0.167:0.167:0.167))
+    (IOPATH C Y (0.191:0.191:0.191) (0.203:0.203:0.203))
+    (IOPATH D Y (0.208:0.208:0.208) (0.195:0.195:0.195))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_2")
+  (INSTANCE _3313_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.225:0.225:0.226) (0.253:0.253:0.253))
+    (IOPATH A2 X (0.223:0.223:0.223) (0.276:0.276:0.276))
+    (IOPATH B1_N X (0.304:0.309:0.313) (0.287:0.291:0.294))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_4")
+  (INSTANCE _3314_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.174:0.174:0.174) (0.198:0.198:0.198))
+    (IOPATH A Y (0.278:0.278:0.278) (0.124:0.124:0.124))
+    (IOPATH B Y (0.172:0.172:0.172) (0.171:0.172:0.172))
+    (IOPATH B Y (0.243:0.243:0.243) (0.114:0.114:0.114))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _3315_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.122:0.122:0.122) (0.243:0.243:0.243))
+    (IOPATH B_N X (0.168:0.168:0.169) (0.245:0.245:0.245))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21boi_4")
+  (INSTANCE _3316_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.331:0.335:0.339) (0.114:0.136:0.159))
+    (IOPATH A2 Y (0.342:0.345:0.348) (0.116:0.136:0.155))
+    (IOPATH B1_N Y (0.346:0.346:0.346) (0.183:0.183:0.183))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _3317_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.130:0.131:0.131) (0.238:0.239:0.240))
+    (IOPATH B X (0.122:0.135:0.147) (0.222:0.225:0.228))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+  (INSTANCE _3318_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.162:0.163:0.165) (0.130:0.131:0.131))
+    (IOPATH B Y (0.118:0.118:0.118) (0.089:0.089:0.089))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _3319_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.167:0.167:0.167) (0.272:0.273:0.273))
+    (IOPATH B X (0.184:0.185:0.186) (0.242:0.247:0.251))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _3320_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.173:0.173:0.173) (0.189:0.189:0.189))
+    (IOPATH A Y (0.287:0.287:0.287) (0.122:0.122:0.123))
+    (IOPATH B Y (0.208:0.208:0.208) (0.184:0.194:0.205))
+    (IOPATH B Y (0.262:0.272:0.281) (0.163:0.163:0.163))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o32ai_4")
+  (INSTANCE _3321_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.414:0.414:0.415) (0.153:0.153:0.153))
+    (IOPATH A2 Y (0.387:0.392:0.396) (0.171:0.171:0.172))
+    (IOPATH A3 Y (0.348:0.356:0.365) (0.167:0.167:0.167))
+    (IOPATH B1 Y (0.225:0.231:0.236) (0.134:0.135:0.136))
+    (IOPATH B2 Y (0.204:0.209:0.214) (0.128:0.156:0.185))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+  (INSTANCE _3322_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.222:0.235:0.248) (0.146:0.147:0.148))
+    (IOPATH A X (0.228:0.229:0.229) (0.199:0.211:0.224))
+    (IOPATH B X (0.208:0.213:0.219) (0.133:0.143:0.152))
+    (IOPATH B X (0.222:0.226:0.229) (0.184:0.190:0.195))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _3323_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.179:0.181:0.183) (0.170:0.179:0.188))
+    (IOPATH A Y (0.252:0.260:0.268) (0.133:0.135:0.137))
+    (IOPATH B Y (0.172:0.174:0.176) (0.164:0.178:0.192))
+    (IOPATH B Y (0.226:0.238:0.251) (0.120:0.122:0.124))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_1")
+  (INSTANCE _3324_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.203:0.203:0.204) (0.081:0.081:0.081))
+    (IOPATH B Y (0.175:0.180:0.186) (0.087:0.087:0.087))
+    (IOPATH C Y (0.145:0.149:0.152) (0.054:0.063:0.071))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3325_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.251:0.252:0.253) (0.095:0.095:0.095))
+    (IOPATH B Y (0.229:0.234:0.240) (0.113:0.113:0.113))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+  (INSTANCE _3326_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.331:0.337:0.342) (0.142:0.143:0.144))
+    (IOPATH A X (0.307:0.307:0.308) (0.230:0.235:0.241))
+    (IOPATH B X (0.310:0.315:0.320) (0.137:0.165:0.193))
+    (IOPATH B X (0.313:0.323:0.334) (0.208:0.213:0.219))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _3327_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.169:0.170:0.171) (0.191:0.192:0.192))
+    (IOPATH A Y (0.266:0.267:0.268) (0.122:0.123:0.124))
+    (IOPATH B Y (0.153:0.168:0.182) (0.174:0.178:0.183))
+    (IOPATH B Y (0.231:0.235:0.239) (0.101:0.116:0.132))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_4")
+  (INSTANCE _3328_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.196:0.211:0.226) (0.215:0.219:0.223))
+    (IOPATH A Y (0.346:0.350:0.354) (0.146:0.164:0.181))
+    (IOPATH B Y (0.192:0.207:0.222) (0.200:0.203:0.206))
+    (IOPATH B Y (0.321:0.323:0.326) (0.132:0.149:0.166))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3329_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.130:0.130:0.130) (0.108:0.108:0.108))
+    (IOPATH B Y (0.102:0.102:0.102) (0.097:0.097:0.097))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _3330_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.213:0.214:0.215) (0.204:0.204:0.205))
+    (IOPATH B X (0.153:0.154:0.154) (0.177:0.177:0.178))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3331_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.239:0.241:0.243) (0.214:0.220:0.226))
+    (IOPATH A Y (0.403:0.408:0.413) (0.159:0.161:0.163))
+    (IOPATH B Y (0.240:0.240:0.240) (0.207:0.207:0.207))
+    (IOPATH B Y (0.380:0.380:0.380) (0.150:0.150:0.150))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3332_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.135:0.139:0.143) (0.111:0.115:0.119))
+    (IOPATH B Y (0.121:0.121:0.122) (0.124:0.124:0.124))
+    (IOPATH C Y (0.120:0.120:0.120) (0.121:0.121:0.121))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3333_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.154:0.159:0.163) (0.212:0.215:0.219))
+    (IOPATH A2 X (0.160:0.160:0.160) (0.208:0.208:0.208))
+    (IOPATH B1 X (0.134:0.134:0.134) (0.183:0.183:0.183))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3334_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.157:0.157:0.157) (0.157:0.157:0.157))
+    (IOPATH B Y (0.154:0.154:0.154) (0.134:0.134:0.134))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _3335_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.223:0.223:0.223) (0.129:0.129:0.129))
+    (IOPATH A2 Y (0.253:0.253:0.253) (0.122:0.122:0.122))
+    (IOPATH B1 Y (0.192:0.192:0.192) (0.093:0.093:0.093))
+    (IOPATH B2 Y (0.215:0.215:0.215) (0.104:0.104:0.104))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3336_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.253:0.253:0.253) (0.225:0.225:0.225))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3337_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.238:0.238:0.238) (0.184:0.184:0.184))
+    (IOPATH B X (0.250:0.250:0.250) (0.212:0.212:0.212))
+    (IOPATH C X (0.237:0.237:0.237) (0.226:0.226:0.226))
+    (IOPATH D X (0.241:0.241:0.241) (0.239:0.239:0.239))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _3338_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.231:0.238:0.245) (0.113:0.113:0.114))
+    (IOPATH A2 Y (0.210:0.212:0.214) (0.117:0.119:0.121))
+    (IOPATH B1_N Y (0.155:0.155:0.155) (0.181:0.182:0.183))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3339_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.351:0.352:0.353) (0.309:0.310:0.311))
+    (IOPATH A2 X (0.351:0.351:0.351) (0.317:0.317:0.318))
+    (IOPATH B1 X (0.328:0.336:0.343) (0.302:0.303:0.304))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_2")
+  (INSTANCE _3340_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.205:0.206:0.207) (0.220:0.221:0.222))
+    (IOPATH B Y (0.202:0.203:0.203) (0.227:0.227:0.227))
+    (IOPATH C Y (0.205:0.206:0.207) (0.234:0.244:0.255))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _3341_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.194:0.207:0.221) (0.238:0.240:0.243))
+    (IOPATH A2 X (0.215:0.215:0.215) (0.276:0.276:0.276))
+    (IOPATH B1_N X (0.308:0.308:0.309) (0.269:0.270:0.271))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+  (INSTANCE _3342_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.242:0.247:0.252) (0.111:0.132:0.154))
+    (IOPATH A X (0.207:0.221:0.235) (0.216:0.221:0.225))
+    (IOPATH B X (0.195:0.195:0.196) (0.097:0.097:0.098))
+    (IOPATH B X (0.208:0.208:0.208) (0.168:0.168:0.169))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _3343_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.122:0.140:0.158) (0.247:0.252:0.257))
+    (IOPATH B_N X (0.160:0.160:0.161) (0.238:0.238:0.239))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _3344_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.210:0.227:0.245) (0.245:0.248:0.251))
+    (IOPATH A2 X (0.212:0.214:0.215) (0.219:0.229:0.239))
+    (IOPATH B1 X (0.189:0.190:0.190) (0.151:0.151:0.151))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _3345_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.216:0.217:0.218) (0.198:0.213:0.227))
+    (IOPATH A Y (0.300:0.313:0.326) (0.179:0.181:0.182))
+    (IOPATH B Y (0.185:0.185:0.185) (0.193:0.194:0.195))
+    (IOPATH B Y (0.275:0.276:0.277) (0.133:0.133:0.133))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _3346_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.155:0.155:0.155) (0.263:0.264:0.265))
+    (IOPATH B_N X (0.198:0.211:0.225) (0.295:0.295:0.296))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21boi_1")
+  (INSTANCE _3347_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.255:0.257:0.259) (0.167:0.167:0.168))
+    (IOPATH A2 Y (0.286:0.292:0.297) (0.122:0.147:0.171))
+    (IOPATH B1_N Y (0.287:0.287:0.287) (0.168:0.168:0.168))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+  (INSTANCE _3348_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.242:0.245:0.248) (0.105:0.124:0.143))
+    (IOPATH A X (0.212:0.225:0.239) (0.207:0.210:0.213))
+    (IOPATH B X (0.210:0.220:0.231) (0.143:0.146:0.149))
+    (IOPATH B X (0.240:0.241:0.242) (0.173:0.184:0.195))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _3349_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.183:0.197:0.212) (0.204:0.206:0.208))
+    (IOPATH A Y (0.318:0.320:0.322) (0.135:0.152:0.170))
+    (IOPATH B Y (0.200:0.201:0.203) (0.189:0.200:0.212))
+    (IOPATH B Y (0.285:0.295:0.305) (0.150:0.152:0.154))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3350_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.121:0.121:0.121) (0.109:0.109:0.109))
+    (IOPATH B Y (0.145:0.145:0.145) (0.114:0.114:0.114))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3351_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.182:0.182:0.182) (0.169:0.169:0.169))
+    (IOPATH A Y (0.264:0.264:0.264) (0.124:0.124:0.124))
+    (IOPATH B Y (0.168:0.170:0.172) (0.170:0.172:0.174))
+    (IOPATH B Y (0.248:0.250:0.252) (0.101:0.103:0.104))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _3352_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.201:0.201:0.201) (0.185:0.187:0.189))
+    (IOPATH A Y (0.269:0.271:0.273) (0.161:0.161:0.161))
+    (IOPATH B Y (0.166:0.182:0.197) (0.190:0.196:0.202))
+    (IOPATH B Y (0.253:0.259:0.264) (0.114:0.133:0.152))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_1")
+  (INSTANCE _3353_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.184:0.184:0.185) (0.238:0.239:0.239))
+    (IOPATH A2 X (0.177:0.179:0.180) (0.224:0.225:0.227))
+    (IOPATH B1 X (0.170:0.171:0.172) (0.171:0.173:0.175))
+    (IOPATH C1 X (0.163:0.164:0.166) (0.152:0.154:0.156))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3354_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.253:0.257:0.260) (0.163:0.166:0.168))
+    (IOPATH B Y (0.224:0.226:0.227) (0.075:0.075:0.075))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _3355_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.152:0.167:0.182) (0.174:0.177:0.181))
+    (IOPATH A Y (0.242:0.245:0.248) (0.105:0.122:0.138))
+    (IOPATH B Y (0.165:0.167:0.168) (0.157:0.167:0.178))
+    (IOPATH B Y (0.206:0.215:0.225) (0.112:0.114:0.116))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3356_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.148:0.151:0.155) (0.160:0.166:0.172))
+    (IOPATH B X (0.159:0.160:0.160) (0.163:0.164:0.165))
+    (IOPATH C X (0.148:0.149:0.149) (0.172:0.172:0.172))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3357_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.147:0.152:0.158) (0.075:0.078:0.081))
+    (IOPATH A2 Y (0.144:0.144:0.144) (0.071:0.071:0.071))
+    (IOPATH B1 Y (0.123:0.124:0.124) (0.057:0.057:0.057))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _3358_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.169:0.169:0.169) (0.276:0.276:0.277))
+    (IOPATH B X (0.184:0.187:0.190) (0.263:0.264:0.266))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3359_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.162:0.162:0.163) (0.122:0.123:0.124))
+    (IOPATH B Y (0.138:0.141:0.144) (0.127:0.143:0.158))
+    (IOPATH C Y (0.156:0.157:0.157) (0.146:0.146:0.146))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3360_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.157:0.159:0.160) (0.239:0.240:0.240))
+    (IOPATH A2 X (0.187:0.187:0.187) (0.237:0.237:0.237))
+    (IOPATH B1 X (0.127:0.136:0.146) (0.198:0.201:0.203))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3361_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.131:0.132:0.133) (0.067:0.068:0.068))
+    (IOPATH B Y (0.130:0.136:0.142) (0.081:0.082:0.082))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3362_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.233:0.235:0.236) (0.223:0.227:0.230))
+    (IOPATH A Y (0.392:0.395:0.398) (0.157:0.159:0.161))
+    (IOPATH B Y (0.238:0.238:0.239) (0.194:0.198:0.203))
+    (IOPATH B Y (0.349:0.353:0.357) (0.152:0.153:0.153))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _3363_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.148:0.148:0.148) (0.405:0.406:0.407))
+    (IOPATH B X (0.150:0.150:0.150) (0.396:0.403:0.409))
+    (IOPATH C X (0.165:0.167:0.170) (0.352:0.354:0.356))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _3364_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.201:0.202:0.203) (0.107:0.107:0.107))
+    (IOPATH A2 Y (0.197:0.199:0.202) (0.114:0.116:0.118))
+    (IOPATH B1 Y (0.141:0.150:0.159) (0.106:0.107:0.107))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3365_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.156:0.156:0.156) (0.156:0.156:0.156))
+    (IOPATH B Y (0.115:0.115:0.115) (0.109:0.109:0.109))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3366_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.233:0.233:0.233) (0.195:0.195:0.195))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _3367_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.207:0.207:0.207) (0.119:0.119:0.119))
+    (IOPATH A2 Y (0.234:0.234:0.234) (0.111:0.111:0.111))
+    (IOPATH B1 Y (0.175:0.175:0.175) (0.084:0.084:0.084))
+    (IOPATH B2 Y (0.202:0.202:0.202) (0.089:0.089:0.089))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3368_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.220:0.220:0.220) (0.178:0.178:0.178))
+    (IOPATH B X (0.247:0.247:0.247) (0.219:0.219:0.219))
+    (IOPATH C X (0.245:0.245:0.245) (0.233:0.233:0.233))
+    (IOPATH D X (0.250:0.250:0.250) (0.245:0.245:0.245))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _3369_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.229:0.239:0.249) (0.112:0.114:0.115))
+    (IOPATH A2 Y (0.210:0.212:0.215) (0.115:0.117:0.119))
+    (IOPATH B1_N Y (0.159:0.159:0.159) (0.184:0.185:0.186))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3370_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.354:0.354:0.354) (0.314:0.314:0.314))
+    (IOPATH A2 X (0.373:0.379:0.385) (0.329:0.330:0.332))
+    (IOPATH B1 X (0.335:0.343:0.351) (0.306:0.308:0.309))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_2")
+  (INSTANCE _3371_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.215:0.215:0.215) (0.226:0.226:0.226))
+    (IOPATH B Y (0.220:0.222:0.223) (0.256:0.263:0.271))
+    (IOPATH C Y (0.213:0.215:0.216) (0.245:0.255:0.266))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _3372_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.155:0.169:0.183) (0.208:0.211:0.213))
+    (IOPATH A2 X (0.181:0.182:0.182) (0.252:0.252:0.252))
+    (IOPATH B1_N X (0.279:0.280:0.280) (0.245:0.246:0.247))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3373_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.252:0.258:0.264) (0.107:0.109:0.112))
+    (IOPATH A2 Y (0.251:0.251:0.252) (0.100:0.100:0.101))
+    (IOPATH B1 Y (0.228:0.228:0.228) (0.065:0.066:0.067))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3374_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.210:0.212:0.215) (0.194:0.201:0.208))
+    (IOPATH B X (0.206:0.206:0.207) (0.194:0.194:0.194))
+    (IOPATH C X (0.211:0.212:0.212) (0.210:0.210:0.211))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _3375_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.216:0.216:0.216) (0.239:0.239:0.239))
+    (IOPATH A2 X (0.216:0.218:0.219) (0.208:0.215:0.222))
+    (IOPATH B1_N X (0.282:0.282:0.283) (0.200:0.200:0.200))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+  (INSTANCE _3376_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.375:0.379:0.383) (0.151:0.171:0.191))
+    (IOPATH A X (0.345:0.358:0.371) (0.239:0.243:0.247))
+    (IOPATH B X (0.347:0.347:0.348) (0.161:0.161:0.161))
+    (IOPATH B X (0.362:0.362:0.362) (0.210:0.210:0.211))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3377_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.360:0.364:0.367) (0.121:0.145:0.170))
+    (IOPATH B Y (0.344:0.345:0.346) (0.134:0.134:0.134))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3378_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.226:0.226:0.226) (0.207:0.220:0.233))
+    (IOPATH A2 X (0.246:0.248:0.249) (0.241:0.252:0.262))
+    (IOPATH B1 X (0.202:0.202:0.202) (0.223:0.227:0.231))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3379_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.165:0.180:0.195) (0.168:0.173:0.179))
+    (IOPATH A Y (0.247:0.252:0.257) (0.109:0.129:0.149))
+    (IOPATH B Y (0.174:0.174:0.174) (0.161:0.161:0.162))
+    (IOPATH B Y (0.226:0.226:0.226) (0.110:0.110:0.110))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _3380_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.105:0.123:0.140) (0.229:0.234:0.238))
+    (IOPATH B_N X (0.160:0.160:0.160) (0.237:0.237:0.237))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21boi_1")
+  (INSTANCE _3381_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.214:0.216:0.219) (0.108:0.125:0.142))
+    (IOPATH A2 Y (0.231:0.235:0.240) (0.105:0.120:0.136))
+    (IOPATH B1_N Y (0.227:0.227:0.228) (0.146:0.146:0.146))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _3382_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.278:0.282:0.285) (0.120:0.143:0.166))
+    (IOPATH A X (0.259:0.272:0.286) (0.212:0.215:0.219))
+    (IOPATH B X (0.238:0.247:0.256) (0.138:0.141:0.144))
+    (IOPATH B X (0.270:0.272:0.273) (0.172:0.181:0.191))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3383_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.195:0.195:0.196) (0.183:0.184:0.184))
+    (IOPATH A Y (0.279:0.279:0.280) (0.141:0.141:0.142))
+    (IOPATH B Y (0.206:0.207:0.208) (0.165:0.176:0.187))
+    (IOPATH B Y (0.247:0.256:0.266) (0.147:0.148:0.150))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3384_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.403:0.403:0.403) (0.334:0.334:0.334))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3385_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.325:0.325:0.325) (0.231:0.231:0.231))
+    (IOPATH B X (0.308:0.308:0.308) (0.246:0.246:0.246))
+    (IOPATH C X (0.348:0.348:0.348) (0.300:0.300:0.300))
+    (IOPATH D X (0.323:0.323:0.323) (0.280:0.280:0.280))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3386_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.144:0.144:0.144) (0.136:0.136:0.136))
+    (IOPATH B Y (0.122:0.122:0.122) (0.118:0.118:0.118))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _3387_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.213:0.213:0.213) (0.127:0.127:0.127))
+    (IOPATH A2 Y (0.258:0.258:0.258) (0.169:0.169:0.169))
+    (IOPATH B1 Y (0.229:0.229:0.229) (0.146:0.146:0.146))
+    (IOPATH B2 Y (0.200:0.200:0.200) (0.109:0.109:0.109))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _3388_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.166:0.167:0.169) (0.264:0.274:0.285))
+    (IOPATH B X (0.167:0.167:0.167) (0.254:0.255:0.256))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3389_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.193:0.198:0.202) (0.078:0.079:0.080))
+    (IOPATH B Y (0.174:0.174:0.174) (0.072:0.072:0.072))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3390_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.447:0.447:0.447) (0.344:0.344:0.344))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3391_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.422:0.422:0.422) (0.333:0.333:0.333))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _3392_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.225:0.225:0.225) (0.146:0.146:0.146))
+    (IOPATH A2 Y (0.254:0.254:0.254) (0.152:0.152:0.152))
+    (IOPATH B1 Y (0.219:0.219:0.219) (0.128:0.128:0.128))
+    (IOPATH B2 Y (0.210:0.210:0.210) (0.111:0.111:0.111))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3393_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.157:0.158:0.159) (0.083:0.083:0.084))
+    (IOPATH B Y (0.147:0.159:0.170) (0.078:0.079:0.080))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_2")
+  (INSTANCE _3394_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.380:0.381:0.381) (0.354:0.355:0.356))
+    (IOPATH A2 X (0.358:0.358:0.358) (0.319:0.320:0.320))
+    (IOPATH B1 X (0.365:0.365:0.366) (0.233:0.234:0.234))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3395_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.164:0.165:0.166) (0.216:0.218:0.220))
+    (IOPATH A2 X (0.170:0.170:0.171) (0.214:0.214:0.214))
+    (IOPATH B1 X (0.131:0.140:0.150) (0.184:0.187:0.189))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3396_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.183:0.184:0.185) (0.195:0.197:0.199))
+    (IOPATH B X (0.230:0.230:0.230) (0.221:0.223:0.225))
+    (IOPATH C X (0.178:0.178:0.178) (0.191:0.192:0.192))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _3397_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.147:0.147:0.147) (0.401:0.401:0.402))
+    (IOPATH B X (0.140:0.141:0.142) (0.384:0.387:0.389))
+    (IOPATH C X (0.156:0.158:0.160) (0.342:0.345:0.348))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _3398_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.192:0.193:0.193) (0.106:0.106:0.106))
+    (IOPATH A2 Y (0.182:0.185:0.188) (0.106:0.108:0.110))
+    (IOPATH B1 Y (0.127:0.130:0.133) (0.098:0.099:0.100))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+  (INSTANCE _3399_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.207:0.207:0.207) (0.182:0.182:0.182))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3400_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.124:0.124:0.124) (0.112:0.112:0.112))
+    (IOPATH B Y (0.122:0.122:0.122) (0.107:0.107:0.107))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _3401_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.213:0.213:0.213) (0.127:0.127:0.127))
+    (IOPATH A2 Y (0.238:0.238:0.238) (0.109:0.109:0.109))
+    (IOPATH B1 Y (0.175:0.175:0.175) (0.084:0.084:0.084))
+    (IOPATH B2 Y (0.211:0.211:0.211) (0.096:0.096:0.096))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3402_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.229:0.229:0.229) (0.183:0.183:0.183))
+    (IOPATH B X (0.264:0.264:0.264) (0.232:0.232:0.232))
+    (IOPATH C X (0.250:0.250:0.250) (0.239:0.239:0.239))
+    (IOPATH D X (0.255:0.255:0.255) (0.247:0.247:0.247))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _3403_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.207:0.209:0.211) (0.103:0.104:0.104))
+    (IOPATH A2 Y (0.188:0.192:0.195) (0.107:0.109:0.112))
+    (IOPATH B1_N Y (0.151:0.151:0.151) (0.178:0.179:0.180))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3404_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.158:0.158:0.158) (0.146:0.146:0.146))
+    (IOPATH B Y (0.165:0.167:0.169) (0.170:0.176:0.183))
+    (IOPATH C Y (0.159:0.161:0.162) (0.162:0.172:0.182))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _3405_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.272:0.272:0.272) (0.189:0.189:0.189))
+    (IOPATH A2 Y (0.254:0.254:0.254) (0.140:0.140:0.140))
+    (IOPATH B1 Y (0.218:0.218:0.218) (0.132:0.132:0.132))
+    (IOPATH B2 Y (0.280:0.280:0.280) (0.152:0.152:0.152))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3406_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.280:0.280:0.280) (0.235:0.235:0.235))
+    (IOPATH B X (0.251:0.251:0.251) (0.213:0.213:0.213))
+    (IOPATH C X (0.260:0.260:0.260) (0.236:0.236:0.236))
+    (IOPATH D X (0.263:0.263:0.263) (0.268:0.268:0.268))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3407_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.148:0.155:0.163) (0.091:0.093:0.094))
+    (IOPATH B Y (0.119:0.119:0.120) (0.060:0.060:0.060))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+  (INSTANCE _3408_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.218:0.218:0.218) (0.189:0.189:0.189))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3409_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.161:0.161:0.161) (0.165:0.165:0.165))
+    (IOPATH B Y (0.136:0.136:0.136) (0.117:0.117:0.117))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3410_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.157:0.157:0.157) (0.137:0.142:0.148))
+    (IOPATH A Y (0.201:0.207:0.212) (0.105:0.105:0.105))
+    (IOPATH B Y (0.151:0.152:0.154) (0.153:0.164:0.175))
+    (IOPATH B Y (0.200:0.211:0.222) (0.091:0.092:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3411_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.172:0.172:0.172) (0.211:0.211:0.211))
+    (IOPATH A2 X (0.191:0.196:0.202) (0.224:0.226:0.227))
+    (IOPATH B1 X (0.153:0.161:0.168) (0.201:0.202:0.203))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3412_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.162:0.164:0.165) (0.145:0.147:0.149))
+    (IOPATH B Y (0.137:0.140:0.142) (0.133:0.147:0.161))
+    (IOPATH C Y (0.139:0.140:0.140) (0.142:0.142:0.143))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3413_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.113:0.114:0.115) (0.084:0.085:0.087))
+    (IOPATH B Y (0.110:0.112:0.113) (0.081:0.082:0.083))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3414_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.122:0.124:0.126) (0.102:0.102:0.103))
+    (IOPATH B Y (0.142:0.144:0.146) (0.144:0.144:0.144))
+    (IOPATH C Y (0.098:0.098:0.098) (0.098:0.098:0.098))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3415_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.139:0.139:0.140) (0.199:0.201:0.203))
+    (IOPATH A2 X (0.130:0.130:0.130) (0.185:0.185:0.186))
+    (IOPATH B1 X (0.137:0.137:0.137) (0.200:0.202:0.204))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3416_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.184:0.185:0.185) (0.165:0.168:0.171))
+    (IOPATH B X (0.193:0.195:0.197) (0.195:0.202:0.210))
+    (IOPATH C X (0.191:0.191:0.191) (0.196:0.196:0.197))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _3417_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.190:0.191:0.193) (0.216:0.225:0.234))
+    (IOPATH A2 X (0.154:0.154:0.154) (0.204:0.212:0.220))
+    (IOPATH B1_N X (0.235:0.236:0.237) (0.167:0.167:0.168))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _3418_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.151:0.151:0.152) (0.190:0.190:0.191))
+    (IOPATH A2 X (0.143:0.143:0.143) (0.180:0.180:0.181))
+    (IOPATH B1_N X (0.228:0.229:0.230) (0.159:0.160:0.160))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3b_1")
+  (INSTANCE _3419_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.109:0.109:0.110) (0.369:0.370:0.370))
+    (IOPATH B X (0.116:0.116:0.116) (0.349:0.349:0.350))
+    (IOPATH C_N X (0.160:0.161:0.162) (0.349:0.349:0.349))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _3420_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.215:0.216:0.217) (0.198:0.198:0.198))
+    (IOPATH B X (0.147:0.147:0.147) (0.183:0.183:0.183))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _3421_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.257:0.257:0.257) (0.266:0.266:0.266))
+    (IOPATH A2 X (0.247:0.247:0.247) (0.298:0.298:0.298))
+    (IOPATH A3 X (0.197:0.197:0.197) (0.249:0.249:0.249))
+    (IOPATH B1 X (0.147:0.147:0.147) (0.214:0.215:0.215))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _3422_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.287:0.290:0.292) (0.128:0.145:0.163))
+    (IOPATH A X (0.280:0.291:0.301) (0.206:0.208:0.211))
+    (IOPATH B X (0.265:0.270:0.275) (0.121:0.139:0.157))
+    (IOPATH B X (0.280:0.288:0.296) (0.184:0.189:0.194))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3423_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.127:0.127:0.127) (0.118:0.118:0.118))
+    (IOPATH B Y (0.140:0.140:0.140) (0.111:0.111:0.111))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _3424_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.245:0.245:0.245) (0.104:0.104:0.104))
+    (IOPATH A X (0.238:0.238:0.238) (0.186:0.186:0.187))
+    (IOPATH B X (0.236:0.241:0.247) (0.100:0.101:0.101))
+    (IOPATH B X (0.242:0.243:0.243) (0.177:0.183:0.189))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _3425_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.200:0.200:0.200) (0.181:0.195:0.209))
+    (IOPATH A Y (0.267:0.279:0.291) (0.159:0.159:0.159))
+    (IOPATH B Y (0.203:0.204:0.205) (0.187:0.199:0.211))
+    (IOPATH B Y (0.252:0.263:0.274) (0.162:0.163:0.165))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3426_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.157:0.163:0.170) (0.075:0.077:0.079))
+    (IOPATH A2 Y (0.162:0.162:0.162) (0.071:0.071:0.071))
+    (IOPATH B1 Y (0.141:0.143:0.146) (0.050:0.051:0.051))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3427_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.210:0.210:0.211) (0.087:0.087:0.087))
+    (IOPATH B Y (0.188:0.191:0.194) (0.091:0.093:0.095))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _3428_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.189:0.189:0.189) (0.435:0.436:0.436))
+    (IOPATH B X (0.193:0.193:0.193) (0.423:0.423:0.423))
+    (IOPATH C X (0.210:0.212:0.214) (0.371:0.376:0.382))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_2")
+  (INSTANCE _3429_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.207:0.207:0.208) (0.101:0.101:0.101))
+    (IOPATH A2 Y (0.182:0.188:0.195) (0.112:0.114:0.115))
+    (IOPATH B1 Y (0.112:0.112:0.112) (0.102:0.102:0.102))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3430_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.199:0.200:0.200) (0.157:0.159:0.160))
+    (IOPATH B Y (0.159:0.162:0.164) (0.153:0.173:0.193))
+    (IOPATH C Y (0.184:0.184:0.184) (0.181:0.181:0.181))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3431_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.180:0.181:0.182) (0.258:0.259:0.259))
+    (IOPATH A2 X (0.208:0.208:0.208) (0.253:0.253:0.253))
+    (IOPATH B1 X (0.143:0.153:0.163) (0.207:0.209:0.212))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _3432_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.251:0.256:0.261) (0.104:0.105:0.106))
+    (IOPATH A X (0.235:0.236:0.236) (0.196:0.201:0.206))
+    (IOPATH B X (0.228:0.228:0.228) (0.101:0.101:0.101))
+    (IOPATH B X (0.239:0.239:0.239) (0.173:0.173:0.173))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _3433_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.161:0.161:0.161) (0.418:0.419:0.419))
+    (IOPATH B X (0.157:0.159:0.161) (0.402:0.412:0.421))
+    (IOPATH C X (0.171:0.174:0.176) (0.361:0.363:0.365))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _3434_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.215:0.216:0.217) (0.115:0.115:0.115))
+    (IOPATH A2 Y (0.206:0.209:0.211) (0.116:0.118:0.121))
+    (IOPATH B1 Y (0.144:0.156:0.168) (0.109:0.111:0.113))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3435_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.111:0.111:0.111) (0.113:0.113:0.113))
+    (IOPATH B Y (0.165:0.165:0.165) (0.156:0.156:0.156))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _3436_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.219:0.219:0.219) (0.113:0.113:0.113))
+    (IOPATH A2 Y (0.237:0.237:0.237) (0.118:0.118:0.118))
+    (IOPATH B1 Y (0.185:0.185:0.185) (0.090:0.090:0.090))
+    (IOPATH B2 Y (0.208:0.208:0.208) (0.091:0.091:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3437_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.247:0.247:0.247) (0.198:0.198:0.198))
+    (IOPATH B X (0.250:0.250:0.250) (0.221:0.221:0.221))
+    (IOPATH C X (0.254:0.254:0.254) (0.238:0.238:0.238))
+    (IOPATH D X (0.257:0.257:0.257) (0.250:0.250:0.250))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _3438_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.199:0.204:0.209) (0.098:0.101:0.103))
+    (IOPATH A2 Y (0.177:0.179:0.181) (0.104:0.106:0.108))
+    (IOPATH B1_N Y (0.144:0.144:0.144) (0.172:0.173:0.175))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3439_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.188:0.188:0.188) (0.220:0.220:0.221))
+    (IOPATH A2 X (0.205:0.211:0.218) (0.233:0.235:0.237))
+    (IOPATH B1 X (0.159:0.167:0.175) (0.204:0.204:0.205))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3440_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.160:0.160:0.160) (0.149:0.149:0.149))
+    (IOPATH B Y (0.167:0.170:0.172) (0.173:0.180:0.188))
+    (IOPATH C Y (0.155:0.156:0.157) (0.156:0.166:0.175))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _3441_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.228:0.229:0.231) (0.227:0.231:0.235))
+    (IOPATH A2 X (0.211:0.211:0.211) (0.257:0.257:0.258))
+    (IOPATH B1_N X (0.300:0.301:0.303) (0.278:0.280:0.281))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3442_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.237:0.239:0.240) (0.213:0.220:0.226))
+    (IOPATH B X (0.230:0.231:0.231) (0.207:0.208:0.208))
+    (IOPATH C X (0.250:0.250:0.250) (0.239:0.240:0.240))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3443_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.132:0.139:0.145) (0.121:0.122:0.124))
+    (IOPATH B Y (0.121:0.121:0.121) (0.117:0.117:0.118))
+    (IOPATH C Y (0.135:0.135:0.135) (0.136:0.136:0.136))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_1")
+  (INSTANCE _3444_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.275:0.276:0.277) (0.106:0.106:0.107))
+    (IOPATH B Y (0.245:0.245:0.246) (0.096:0.096:0.096))
+    (IOPATH C Y (0.210:0.210:0.210) (0.082:0.082:0.082))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3445_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.215:0.217:0.219) (0.131:0.131:0.131))
+    (IOPATH B Y (0.165:0.168:0.171) (0.108:0.108:0.108))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3446_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.160:0.161:0.163) (0.205:0.210:0.216))
+    (IOPATH A2 X (0.152:0.152:0.153) (0.202:0.202:0.202))
+    (IOPATH B1 X (0.139:0.139:0.139) (0.193:0.193:0.193))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3447_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.228:0.230:0.232) (0.203:0.204:0.205))
+    (IOPATH B X (0.253:0.253:0.254) (0.216:0.219:0.223))
+    (IOPATH C X (0.231:0.231:0.231) (0.220:0.220:0.220))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211o_2")
+  (INSTANCE _3448_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.291:0.291:0.291) (0.436:0.436:0.436))
+    (IOPATH A2 X (0.282:0.294:0.306) (0.457:0.459:0.462))
+    (IOPATH B1 X (0.270:0.270:0.270) (0.436:0.437:0.437))
+    (IOPATH C1 X (0.265:0.265:0.265) (0.395:0.396:0.396))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211ai_4")
+  (INSTANCE _3449_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.348:0.348:0.349) (0.169:0.169:0.169))
+    (IOPATH A2 Y (0.324:0.325:0.325) (0.160:0.160:0.160))
+    (IOPATH B1 Y (0.188:0.188:0.188) (0.163:0.163:0.163))
+    (IOPATH C1 Y (0.159:0.163:0.166) (0.145:0.159:0.172))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21boi_1")
+  (INSTANCE _3450_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.199:0.200:0.201) (0.130:0.131:0.131))
+    (IOPATH A2 Y (0.249:0.250:0.250) (0.133:0.134:0.134))
+    (IOPATH B1_N Y (0.257:0.269:0.282) (0.187:0.190:0.192))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3451_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.167:0.182:0.197) (0.169:0.177:0.185))
+    (IOPATH A Y (0.251:0.258:0.265) (0.111:0.131:0.151))
+    (IOPATH B Y (0.184:0.186:0.188) (0.152:0.161:0.170))
+    (IOPATH B Y (0.220:0.228:0.236) (0.123:0.125:0.128))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3452_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.116:0.123:0.129) (0.058:0.072:0.085))
+    (IOPATH B Y (0.089:0.097:0.105) (0.062:0.064:0.065))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _3453_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.212:0.213:0.214) (0.216:0.219:0.223))
+    (IOPATH A2 X (0.171:0.182:0.193) (0.205:0.210:0.215))
+    (IOPATH B1_N X (0.247:0.253:0.259) (0.177:0.177:0.178))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3454_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.194:0.195:0.196) (0.160:0.170:0.181))
+    (IOPATH A Y (0.236:0.245:0.254) (0.150:0.151:0.152))
+    (IOPATH B Y (0.162:0.162:0.162) (0.151:0.152:0.152))
+    (IOPATH B Y (0.212:0.213:0.213) (0.099:0.099:0.099))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _3455_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.190:0.191:0.192) (0.185:0.185:0.185))
+    (IOPATH B X (0.167:0.168:0.169) (0.163:0.174:0.184))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3456_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.191:0.191:0.192) (0.097:0.098:0.099))
+    (IOPATH A2 Y (0.210:0.216:0.221) (0.098:0.113:0.128))
+    (IOPATH B1 Y (0.172:0.172:0.172) (0.050:0.051:0.052))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _3457_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.288:0.292:0.296) (0.128:0.146:0.163))
+    (IOPATH A X (0.280:0.291:0.301) (0.207:0.210:0.214))
+    (IOPATH B X (0.253:0.261:0.269) (0.139:0.142:0.145))
+    (IOPATH B X (0.288:0.290:0.291) (0.172:0.180:0.188))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3458_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.344:0.344:0.344) (0.256:0.256:0.256))
+    (IOPATH B X (0.301:0.301:0.301) (0.256:0.256:0.256))
+    (IOPATH C X (0.306:0.306:0.306) (0.260:0.260:0.260))
+    (IOPATH D X (0.332:0.332:0.332) (0.333:0.333:0.333))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3459_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.254:0.254:0.254) (0.199:0.199:0.199))
+    (IOPATH B X (0.282:0.282:0.282) (0.244:0.244:0.244))
+    (IOPATH C X (0.279:0.279:0.279) (0.260:0.260:0.260))
+    (IOPATH D X (0.284:0.284:0.284) (0.273:0.273:0.273))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _3460_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.255:0.255:0.255) (0.163:0.163:0.163))
+    (IOPATH A2 Y (0.229:0.229:0.229) (0.137:0.137:0.137))
+    (IOPATH B1 Y (0.240:0.240:0.240) (0.135:0.135:0.135))
+    (IOPATH B2 Y (0.197:0.197:0.197) (0.107:0.107:0.107))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4bb_1")
+  (INSTANCE _3461_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.276:0.277:0.278) (0.212:0.212:0.212))
+    (IOPATH B_N X (0.296:0.306:0.316) (0.248:0.249:0.249))
+    (IOPATH C X (0.236:0.236:0.236) (0.232:0.232:0.232))
+    (IOPATH D X (0.308:0.308:0.308) (0.358:0.358:0.358))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3462_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.197:0.198:0.199) (0.095:0.095:0.095))
+    (IOPATH B Y (0.173:0.174:0.175) (0.073:0.075:0.076))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3463_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.127:0.127:0.127) (0.112:0.112:0.112))
+    (IOPATH B Y (0.200:0.200:0.200) (0.157:0.157:0.157))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3464_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.241:0.241:0.241) (0.187:0.187:0.187))
+    (IOPATH B X (0.238:0.238:0.238) (0.219:0.219:0.219))
+    (IOPATH C X (0.247:0.247:0.247) (0.227:0.227:0.227))
+    (IOPATH D X (0.233:0.233:0.233) (0.237:0.237:0.237))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _3465_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.196:0.196:0.196) (0.254:0.254:0.254))
+    (IOPATH A2 X (0.205:0.205:0.205) (0.270:0.270:0.270))
+    (IOPATH B1 X (0.188:0.188:0.188) (0.216:0.216:0.216))
+    (IOPATH B2 X (0.186:0.186:0.186) (0.245:0.245:0.245))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _3466_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.224:0.225:0.226) (0.209:0.210:0.210))
+    (IOPATH B X (0.164:0.164:0.165) (0.185:0.186:0.186))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3467_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.157:0.162:0.167) (0.166:0.177:0.187))
+    (IOPATH A Y (0.243:0.252:0.261) (0.102:0.107:0.113))
+    (IOPATH B Y (0.160:0.160:0.160) (0.151:0.151:0.151))
+    (IOPATH B Y (0.213:0.213:0.213) (0.096:0.096:0.096))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _3468_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.216:0.218:0.220) (0.224:0.225:0.225))
+    (IOPATH B X (0.159:0.171:0.184) (0.181:0.183:0.185))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3469_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.237:0.237:0.237) (0.208:0.208:0.208))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3470_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.517:0.517:0.517) (0.430:0.430:0.430))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3471_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.173:0.184:0.195) (0.165:0.168:0.170))
+    (IOPATH A Y (0.255:0.257:0.259) (0.117:0.131:0.146))
+    (IOPATH B Y (0.192:0.192:0.192) (0.156:0.159:0.161))
+    (IOPATH B Y (0.231:0.233:0.235) (0.132:0.132:0.132))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3472_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.199:0.199:0.199) (0.172:0.172:0.172))
+    (IOPATH B X (0.253:0.253:0.253) (0.283:0.283:0.283))
+    (IOPATH C X (0.192:0.205:0.218) (0.205:0.210:0.214))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _3473_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.213:0.213:0.213) (0.158:0.158:0.158))
+    (IOPATH A2 Y (0.197:0.197:0.197) (0.108:0.108:0.108))
+    (IOPATH B1 Y (0.176:0.176:0.176) (0.100:0.100:0.100))
+    (IOPATH B2 Y (0.233:0.233:0.233) (0.116:0.116:0.116))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _3474_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.169:0.169:0.169) (0.272:0.273:0.273))
+    (IOPATH B X (0.160:0.162:0.164) (0.246:0.256:0.266))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _3475_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.145:0.145:0.145) (0.398:0.399:0.400))
+    (IOPATH B X (0.137:0.137:0.138) (0.381:0.383:0.385))
+    (IOPATH C X (0.153:0.155:0.158) (0.340:0.343:0.346))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _3476_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.202:0.203:0.204) (0.112:0.112:0.112))
+    (IOPATH A2 Y (0.193:0.196:0.199) (0.111:0.113:0.115))
+    (IOPATH B1 Y (0.133:0.135:0.138) (0.102:0.103:0.103))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _3477_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.180:0.180:0.180) (0.199:0.199:0.199))
+    (IOPATH A2 X (0.219:0.219:0.219) (0.290:0.290:0.290))
+    (IOPATH A3 X (0.160:0.160:0.161) (0.225:0.226:0.226))
+    (IOPATH B1 X (0.116:0.117:0.117) (0.190:0.191:0.192))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3478_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.188:0.188:0.188) (0.185:0.185:0.185))
+    (IOPATH B X (0.213:0.219:0.225) (0.200:0.202:0.204))
+    (IOPATH C X (0.195:0.196:0.196) (0.201:0.202:0.202))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3479_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.242:0.242:0.242) (0.101:0.101:0.101))
+    (IOPATH A2 Y (0.255:0.257:0.259) (0.125:0.132:0.139))
+    (IOPATH B1 Y (0.218:0.219:0.219) (0.066:0.067:0.068))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3480_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.142:0.143:0.144) (0.070:0.070:0.070))
+    (IOPATH B Y (0.127:0.134:0.142) (0.089:0.090:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3481_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.175:0.175:0.175) (0.170:0.170:0.170))
+    (IOPATH A Y (0.264:0.264:0.265) (0.116:0.117:0.117))
+    (IOPATH B Y (0.184:0.184:0.184) (0.154:0.160:0.165))
+    (IOPATH B Y (0.234:0.239:0.244) (0.117:0.117:0.117))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _3482_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.177:0.177:0.178) (0.217:0.217:0.218))
+    (IOPATH A2 X (0.163:0.164:0.164) (0.200:0.200:0.201))
+    (IOPATH B1 X (0.186:0.201:0.216) (0.148:0.151:0.153))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _3483_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.261:0.261:0.262) (0.213:0.214:0.215))
+    (IOPATH B X (0.248:0.248:0.248) (0.223:0.224:0.225))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _3484_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.152:0.153:0.153) (0.407:0.408:0.409))
+    (IOPATH B X (0.145:0.148:0.151) (0.394:0.399:0.403))
+    (IOPATH C X (0.163:0.166:0.168) (0.350:0.352:0.353))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _3485_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.193:0.194:0.195) (0.107:0.107:0.107))
+    (IOPATH A2 Y (0.184:0.186:0.187) (0.108:0.111:0.113))
+    (IOPATH B1 Y (0.132:0.138:0.144) (0.097:0.101:0.104))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3486_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.159:0.159:0.159) (0.157:0.157:0.157))
+    (IOPATH B Y (0.164:0.164:0.164) (0.155:0.155:0.155))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _3487_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.221:0.221:0.221) (0.114:0.114:0.114))
+    (IOPATH A2 Y (0.242:0.242:0.242) (0.123:0.123:0.123))
+    (IOPATH B1 Y (0.207:0.207:0.207) (0.107:0.107:0.107))
+    (IOPATH B2 Y (0.209:0.209:0.209) (0.091:0.091:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3488_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.259:0.259:0.259) (0.205:0.205:0.205))
+    (IOPATH B X (0.262:0.262:0.262) (0.228:0.228:0.228))
+    (IOPATH C X (0.269:0.269:0.269) (0.239:0.239:0.239))
+    (IOPATH D X (0.268:0.268:0.268) (0.245:0.245:0.245))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _3489_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.209:0.213:0.216) (0.103:0.103:0.103))
+    (IOPATH A2 Y (0.177:0.183:0.188) (0.105:0.107:0.109))
+    (IOPATH B1_N Y (0.149:0.149:0.149) (0.175:0.176:0.178))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3490_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.173:0.173:0.173) (0.161:0.161:0.161))
+    (IOPATH B Y (0.180:0.181:0.183) (0.183:0.190:0.196))
+    (IOPATH C Y (0.170:0.171:0.172) (0.173:0.182:0.192))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3491_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.315:0.315:0.315) (0.227:0.227:0.227))
+    (IOPATH B X (0.367:0.367:0.367) (0.301:0.301:0.301))
+    (IOPATH C X (0.346:0.346:0.346) (0.322:0.322:0.322))
+    (IOPATH D X (0.343:0.343:0.343) (0.334:0.334:0.334))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _3492_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.250:0.250:0.250) (0.180:0.180:0.180))
+    (IOPATH A2 Y (0.274:0.274:0.274) (0.164:0.164:0.164))
+    (IOPATH B1 Y (0.222:0.222:0.222) (0.126:0.126:0.126))
+    (IOPATH B2 Y (0.194:0.194:0.194) (0.107:0.107:0.107))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3493_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.240:0.241:0.242) (0.117:0.117:0.118))
+    (IOPATH B Y (0.220:0.231:0.241) (0.108:0.110:0.111))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3494_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.191:0.191:0.191) (0.222:0.222:0.222))
+    (IOPATH A2 X (0.208:0.214:0.219) (0.234:0.235:0.236))
+    (IOPATH B1 X (0.167:0.175:0.183) (0.208:0.209:0.210))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_2")
+  (INSTANCE _3495_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.146:0.148:0.149) (0.133:0.135:0.137))
+    (IOPATH B Y (0.121:0.122:0.122) (0.161:0.161:0.161))
+    (IOPATH C Y (0.121:0.121:0.122) (0.132:0.132:0.132))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3496_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.197:0.199:0.201) (0.241:0.242:0.243))
+    (IOPATH A2 X (0.196:0.196:0.196) (0.229:0.229:0.230))
+    (IOPATH B1 X (0.179:0.179:0.179) (0.205:0.205:0.206))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3497_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.197:0.197:0.197) (0.171:0.171:0.171))
+    (IOPATH B Y (0.204:0.204:0.204) (0.168:0.168:0.168))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _3498_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.155:0.155:0.155) (0.405:0.406:0.407))
+    (IOPATH B X (0.147:0.148:0.148) (0.399:0.403:0.406))
+    (IOPATH C X (0.161:0.163:0.166) (0.346:0.351:0.355))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _3499_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.215:0.215:0.216) (0.119:0.119:0.119))
+    (IOPATH A2 Y (0.204:0.209:0.214) (0.117:0.119:0.121))
+    (IOPATH B1 Y (0.157:0.162:0.166) (0.111:0.111:0.111))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _3500_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.203:0.203:0.203) (0.246:0.246:0.246))
+    (IOPATH A2 X (0.195:0.195:0.195) (0.274:0.274:0.274))
+    (IOPATH B1 X (0.187:0.187:0.187) (0.216:0.216:0.216))
+    (IOPATH B2 X (0.184:0.184:0.184) (0.242:0.242:0.242))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3501_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.229:0.229:0.229) (0.189:0.189:0.189))
+    (IOPATH B X (0.243:0.243:0.243) (0.208:0.208:0.208))
+    (IOPATH C X (0.235:0.235:0.235) (0.227:0.227:0.227))
+    (IOPATH D X (0.243:0.243:0.243) (0.232:0.232:0.232))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _3502_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.197:0.197:0.197) (0.210:0.210:0.210))
+    (IOPATH A2 X (0.216:0.216:0.216) (0.269:0.269:0.269))
+    (IOPATH A3 X (0.176:0.176:0.176) (0.236:0.236:0.236))
+    (IOPATH B1 X (0.131:0.131:0.132) (0.200:0.201:0.201))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+  (INSTANCE _3503_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.270:0.271:0.271) (0.096:0.096:0.096))
+    (IOPATH A2 Y (0.271:0.272:0.274) (0.120:0.127:0.134))
+    (IOPATH B1 Y (0.230:0.231:0.231) (0.068:0.069:0.070))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3504_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.236:0.236:0.236) (0.212:0.212:0.212))
+    (IOPATH B X (0.261:0.267:0.274) (0.227:0.229:0.231))
+    (IOPATH C X (0.244:0.245:0.245) (0.230:0.230:0.231))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_2")
+  (INSTANCE _3505_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.228:0.232:0.235) (0.112:0.112:0.112))
+    (IOPATH A2 Y (0.180:0.187:0.195) (0.120:0.121:0.121))
+    (IOPATH B1_N Y (0.176:0.176:0.176) (0.229:0.229:0.230))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_4")
+  (INSTANCE _3506_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.131:0.135:0.138) (0.126:0.126:0.126))
+    (IOPATH B Y (0.137:0.137:0.137) (0.138:0.138:0.138))
+    (IOPATH C Y (0.143:0.145:0.146) (0.133:0.142:0.150))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _3507_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.466:0.466:0.466) (0.357:0.357:0.357))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3508_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.287:0.287:0.287) (0.236:0.236:0.236))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3509_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.219:0.220:0.220) (0.238:0.241:0.245))
+    (IOPATH A2 X (0.230:0.230:0.230) (0.247:0.248:0.248))
+    (IOPATH B1 X (0.190:0.199:0.209) (0.224:0.226:0.227))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_4")
+  (INSTANCE _3510_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.153:0.153:0.153) (0.195:0.195:0.195))
+    (IOPATH B Y (0.150:0.150:0.150) (0.165:0.165:0.165))
+    (IOPATH C Y (0.164:0.165:0.166) (0.154:0.157:0.159))
+    (IOPATH D Y (0.151:0.152:0.152) (0.168:0.168:0.168))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3511_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.138:0.139:0.141) (0.120:0.122:0.124))
+    (IOPATH B Y (0.115:0.120:0.124) (0.143:0.145:0.146))
+    (IOPATH C Y (0.120:0.121:0.121) (0.122:0.122:0.123))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3512_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.162:0.164:0.165) (0.214:0.216:0.217))
+    (IOPATH A2 X (0.162:0.162:0.163) (0.208:0.208:0.208))
+    (IOPATH B1 X (0.145:0.146:0.147) (0.178:0.182:0.186))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _3513_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.179:0.179:0.179) (0.198:0.199:0.200))
+    (IOPATH A2 X (0.159:0.159:0.159) (0.222:0.222:0.222))
+    (IOPATH B1_N X (0.255:0.256:0.257) (0.245:0.247:0.248))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_2")
+  (INSTANCE _3514_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.304:0.305:0.306) (0.267:0.271:0.274))
+    (IOPATH B X (0.304:0.304:0.304) (0.273:0.274:0.274))
+    (IOPATH C X (0.307:0.307:0.308) (0.288:0.288:0.289))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_2")
+  (INSTANCE _3515_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.391:0.391:0.391) (0.303:0.303:0.303))
+    (IOPATH B X (0.464:0.464:0.464) (0.399:0.399:0.399))
+    (IOPATH C X (0.378:0.378:0.378) (0.334:0.336:0.337))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3516_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.289:0.289:0.289) (0.223:0.223:0.223))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3517_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.165:0.165:0.165) (0.108:0.108:0.108))
+    (IOPATH A2 Y (0.240:0.240:0.240) (0.157:0.157:0.157))
+    (IOPATH B1 Y (0.150:0.151:0.152) (0.071:0.071:0.071))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _3518_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.221:0.221:0.221) (0.308:0.309:0.309))
+    (IOPATH B X (0.188:0.190:0.193) (0.262:0.272:0.282))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+  (INSTANCE _3519_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.324:0.327:0.330) (0.116:0.117:0.118))
+    (IOPATH A2 Y (0.309:0.309:0.310) (0.114:0.114:0.114))
+    (IOPATH B1 Y (0.280:0.281:0.281) (0.077:0.078:0.078))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_4")
+  (INSTANCE _3520_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.353:0.353:0.354) (0.099:0.099:0.099))
+    (IOPATH B Y (0.329:0.329:0.329) (0.087:0.087:0.087))
+    (IOPATH C Y (0.272:0.278:0.284) (0.106:0.106:0.107))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _3521_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.223:0.223:0.223) (0.244:0.245:0.246))
+    (IOPATH A2 X (0.225:0.225:0.226) (0.213:0.220:0.227))
+    (IOPATH B1 X (0.208:0.208:0.208) (0.160:0.160:0.160))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211oi_4")
+  (INSTANCE _3522_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.520:0.521:0.522) (0.129:0.133:0.137))
+    (IOPATH A2 Y (0.546:0.553:0.560) (0.129:0.132:0.135))
+    (IOPATH B1 Y (0.493:0.499:0.505) (0.146:0.146:0.146))
+    (IOPATH C1 Y (0.448:0.448:0.449) (0.097:0.097:0.097))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _3523_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.091:0.101:0.110) (0.114:0.115:0.115))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+  (INSTANCE _3524_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.390:0.390:0.390) (0.360:0.367:0.373))
+    (IOPATH A2 X (0.344:0.344:0.344) (0.344:0.345:0.346))
+    (IOPATH B1 X (0.346:0.350:0.353) (0.249:0.250:0.252))
+    (IOPATH C1 X (0.338:0.342:0.345) (0.237:0.246:0.254))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_1")
+  (INSTANCE _3525_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.188:0.188:0.188) (0.058:0.058:0.058))
+    (IOPATH B Y (0.173:0.173:0.174) (0.055:0.056:0.057))
+    (IOPATH C Y (0.149:0.150:0.152) (0.060:0.069:0.077))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3526_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.120:0.121:0.122) (0.064:0.064:0.065))
+    (IOPATH B Y (0.095:0.100:0.105) (0.065:0.065:0.065))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3b_4")
+  (INSTANCE _3527_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.287:0.287:0.288) (0.456:0.464:0.472))
+    (IOPATH B X (0.231:0.231:0.231) (0.444:0.447:0.449))
+    (IOPATH C_N X (0.277:0.278:0.279) (0.437:0.437:0.437))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3528_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.167:0.168:0.169) (0.155:0.157:0.159))
+    (IOPATH B Y (0.176:0.180:0.184) (0.185:0.185:0.185))
+    (IOPATH C Y (0.158:0.158:0.159) (0.160:0.160:0.160))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3529_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.192:0.194:0.196) (0.221:0.221:0.222))
+    (IOPATH A2 X (0.191:0.191:0.191) (0.225:0.225:0.225))
+    (IOPATH B1 X (0.180:0.180:0.180) (0.211:0.214:0.217))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+  (INSTANCE _3530_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.405:0.405:0.405) (0.397:0.397:0.398))
+    (IOPATH A2 X (0.422:0.422:0.422) (0.358:0.365:0.372))
+    (IOPATH B1 X (0.401:0.403:0.405) (0.282:0.284:0.285))
+    (IOPATH C1 X (0.389:0.389:0.389) (0.229:0.229:0.229))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _3531_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.153:0.153:0.153) (0.199:0.199:0.199))
+    (IOPATH A2 X (0.163:0.165:0.166) (0.177:0.185:0.194))
+    (IOPATH B1_N X (0.227:0.228:0.228) (0.163:0.163:0.163))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _3532_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.045:0.046:0.047) (0.035:0.035:0.035))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3533_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.162:0.164:0.165) (0.215:0.216:0.217))
+    (IOPATH A2 X (0.159:0.159:0.159) (0.205:0.205:0.206))
+    (IOPATH B1 X (0.120:0.130:0.140) (0.176:0.178:0.180))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3534_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.110:0.112:0.113) (0.098:0.099:0.100))
+    (IOPATH B Y (0.131:0.132:0.132) (0.131:0.131:0.132))
+    (IOPATH C Y (0.094:0.094:0.094) (0.093:0.094:0.094))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3535_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.138:0.139:0.140) (0.190:0.191:0.193))
+    (IOPATH A2 X (0.128:0.128:0.128) (0.184:0.184:0.185))
+    (IOPATH B1 X (0.131:0.132:0.132) (0.192:0.193:0.194))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3536_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.166:0.166:0.166) (0.150:0.150:0.150))
+    (IOPATH B X (0.186:0.188:0.189) (0.191:0.196:0.201))
+    (IOPATH C X (0.186:0.186:0.186) (0.193:0.193:0.194))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3537_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.127:0.132:0.137) (0.062:0.064:0.066))
+    (IOPATH A2 Y (0.133:0.134:0.134) (0.061:0.061:0.061))
+    (IOPATH B1 Y (0.102:0.102:0.102) (0.031:0.031:0.031))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _3538_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.174:0.174:0.174) (0.275:0.276:0.276))
+    (IOPATH B X (0.171:0.174:0.176) (0.248:0.251:0.254))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211oi_4")
+  (INSTANCE _3539_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.564:0.565:0.566) (0.152:0.155:0.157))
+    (IOPATH A2 Y (0.554:0.554:0.555) (0.145:0.146:0.146))
+    (IOPATH B1 Y (0.540:0.540:0.541) (0.123:0.123:0.123))
+    (IOPATH C1 Y (0.474:0.480:0.485) (0.144:0.144:0.144))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_2")
+  (INSTANCE _3540_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.307:0.309:0.312) (0.119:0.119:0.119))
+    (IOPATH B Y (0.272:0.272:0.272) (0.082:0.082:0.082))
+    (IOPATH C Y (0.228:0.235:0.241) (0.123:0.124:0.124))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _3541_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.243:0.243:0.243) (0.252:0.254:0.257))
+    (IOPATH A2 X (0.244:0.244:0.244) (0.225:0.233:0.241))
+    (IOPATH B1 X (0.203:0.203:0.203) (0.157:0.157:0.157))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211oi_4")
+  (INSTANCE _3542_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.317:0.317:0.317) (0.076:0.076:0.077))
+    (IOPATH A2 Y (0.342:0.342:0.342) (0.088:0.089:0.089))
+    (IOPATH B1 Y (0.299:0.311:0.323) (0.094:0.094:0.095))
+    (IOPATH C1 Y (0.254:0.255:0.256) (0.065:0.065:0.065))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3543_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.112:0.113:0.113) (0.068:0.068:0.068))
+    (IOPATH B Y (0.089:0.090:0.091) (0.051:0.051:0.051))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _3544_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.165:0.165:0.165) (0.267:0.267:0.267))
+    (IOPATH B X (0.132:0.132:0.132) (0.224:0.226:0.228))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_1")
+  (INSTANCE _3545_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.238:0.238:0.238) (0.250:0.262:0.275))
+    (IOPATH A2 X (0.189:0.190:0.190) (0.239:0.240:0.241))
+    (IOPATH B1 X (0.186:0.187:0.187) (0.177:0.178:0.178))
+    (IOPATH C1 X (0.189:0.190:0.190) (0.156:0.156:0.156))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_2")
+  (INSTANCE _3546_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.337:0.342:0.347) (0.126:0.129:0.132))
+    (IOPATH B Y (0.322:0.322:0.322) (0.076:0.076:0.076))
+    (IOPATH C Y (0.275:0.276:0.278) (0.073:0.074:0.074))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _3547_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.097:0.099:0.102) (0.079:0.079:0.079))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _3548_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.201:0.201:0.201) (0.430:0.433:0.435))
+    (IOPATH B X (0.159:0.159:0.159) (0.394:0.394:0.394))
+    (IOPATH C X (0.222:0.222:0.223) (0.359:0.366:0.372))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3549_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.218:0.236:0.255) (0.206:0.208:0.210))
+    (IOPATH B X (0.229:0.230:0.230) (0.190:0.192:0.193))
+    (IOPATH C X (0.227:0.228:0.228) (0.243:0.243:0.244))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3550_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.239:0.241:0.243) (0.122:0.140:0.157))
+    (IOPATH A2 Y (0.257:0.257:0.258) (0.135:0.135:0.136))
+    (IOPATH B1 Y (0.200:0.201:0.202) (0.098:0.098:0.098))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211o_1")
+  (INSTANCE _3551_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.154:0.154:0.155) (0.295:0.295:0.295))
+    (IOPATH A2 X (0.164:0.164:0.165) (0.339:0.339:0.340))
+    (IOPATH B1 X (0.146:0.146:0.147) (0.301:0.302:0.303))
+    (IOPATH C1 X (0.163:0.165:0.166) (0.269:0.276:0.283))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3552_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.180:0.182:0.183) (0.182:0.184:0.186))
+    (IOPATH B X (0.217:0.217:0.217) (0.210:0.211:0.211))
+    (IOPATH C X (0.175:0.175:0.175) (0.188:0.189:0.189))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _3553_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.185:0.187:0.188) (0.211:0.219:0.227))
+    (IOPATH A2 X (0.146:0.147:0.148) (0.193:0.204:0.215))
+    (IOPATH B1_N X (0.231:0.232:0.233) (0.167:0.168:0.168))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _3554_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.147:0.147:0.147) (0.187:0.187:0.188))
+    (IOPATH A2 X (0.138:0.138:0.138) (0.176:0.176:0.177))
+    (IOPATH B1_N X (0.222:0.223:0.223) (0.154:0.154:0.154))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3b_1")
+  (INSTANCE _3555_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.103:0.104:0.104) (0.361:0.361:0.362))
+    (IOPATH B X (0.109:0.109:0.109) (0.340:0.341:0.341))
+    (IOPATH C_N X (0.152:0.153:0.154) (0.339:0.339:0.339))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _3556_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.219:0.220:0.221) (0.200:0.200:0.200))
+    (IOPATH B X (0.150:0.150:0.150) (0.184:0.184:0.184))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3557_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.368:0.368:0.368) (0.294:0.294:0.294))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3558_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.126:0.126:0.126) (0.119:0.119:0.119))
+    (IOPATH B Y (0.139:0.139:0.139) (0.112:0.112:0.112))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3559_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.186:0.186:0.186) (0.169:0.170:0.170))
+    (IOPATH A Y (0.283:0.283:0.283) (0.122:0.122:0.122))
+    (IOPATH B Y (0.182:0.183:0.183) (0.176:0.183:0.189))
+    (IOPATH B Y (0.272:0.278:0.284) (0.109:0.110:0.111))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211ai_2")
+  (INSTANCE _3560_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.200:0.201:0.201) (0.116:0.116:0.117))
+    (IOPATH A2 Y (0.187:0.194:0.202) (0.118:0.120:0.121))
+    (IOPATH B1 Y (0.097:0.097:0.097) (0.105:0.105:0.106))
+    (IOPATH C1 Y (0.098:0.098:0.098) (0.100:0.101:0.102))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3561_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.112:0.113:0.114) (0.108:0.109:0.109))
+    (IOPATH B Y (0.135:0.138:0.140) (0.130:0.148:0.166))
+    (IOPATH C Y (0.127:0.128:0.130) (0.116:0.127:0.137))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3562_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.147:0.148:0.148) (0.186:0.186:0.187))
+    (IOPATH A2 X (0.150:0.161:0.173) (0.209:0.210:0.212))
+    (IOPATH B1 X (0.134:0.145:0.156) (0.190:0.192:0.194))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211ai_2")
+  (INSTANCE _3563_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.241:0.247:0.252) (0.169:0.172:0.174))
+    (IOPATH A2 Y (0.218:0.227:0.236) (0.164:0.164:0.164))
+    (IOPATH B1 Y (0.129:0.134:0.140) (0.139:0.141:0.143))
+    (IOPATH C1 Y (0.100:0.101:0.101) (0.122:0.122:0.123))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211o_1")
+  (INSTANCE _3564_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.207:0.209:0.211) (0.333:0.337:0.342))
+    (IOPATH A2 X (0.203:0.203:0.204) (0.352:0.353:0.353))
+    (IOPATH B1 X (0.223:0.225:0.227) (0.329:0.334:0.339))
+    (IOPATH C1 X (0.226:0.226:0.226) (0.287:0.295:0.303))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3565_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.232:0.232:0.232) (0.193:0.193:0.194))
+    (IOPATH B X (0.210:0.226:0.241) (0.219:0.222:0.224))
+    (IOPATH C X (0.221:0.221:0.221) (0.228:0.229:0.230))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3566_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.250:0.252:0.254) (0.109:0.126:0.144))
+    (IOPATH A2 Y (0.263:0.264:0.265) (0.123:0.123:0.123))
+    (IOPATH B1 Y (0.237:0.238:0.238) (0.102:0.102:0.102))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _3567_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.266:0.266:0.266) (0.289:0.289:0.289))
+    (IOPATH A2 X (0.220:0.220:0.220) (0.291:0.291:0.291))
+    (IOPATH B1 X (0.191:0.194:0.198) (0.242:0.243:0.244))
+    (IOPATH B2 X (0.209:0.209:0.209) (0.253:0.253:0.254))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _3568_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.241:0.241:0.241) (0.221:0.221:0.221))
+    (IOPATH B X (0.230:0.230:0.230) (0.257:0.257:0.257))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _3569_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.182:0.182:0.182) (0.449:0.452:0.455))
+    (IOPATH B X (0.187:0.187:0.187) (0.414:0.415:0.415))
+    (IOPATH C X (0.205:0.205:0.206) (0.366:0.373:0.380))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _3570_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.239:0.239:0.240) (0.137:0.137:0.137))
+    (IOPATH A2 Y (0.215:0.222:0.230) (0.145:0.145:0.146))
+    (IOPATH B1 Y (0.171:0.175:0.180) (0.127:0.127:0.127))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3571_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.121:0.121:0.121) (0.124:0.124:0.124))
+    (IOPATH B Y (0.159:0.159:0.159) (0.136:0.136:0.136))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _3572_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.232:0.233:0.234) (0.214:0.214:0.215))
+    (IOPATH B X (0.173:0.173:0.173) (0.191:0.191:0.191))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _3573_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.321:0.323:0.325) (0.336:0.336:0.336))
+    (IOPATH A Y (0.664:0.664:0.664) (0.262:0.264:0.266))
+    (IOPATH B Y (0.319:0.319:0.319) (0.316:0.316:0.316))
+    (IOPATH B Y (0.626:0.626:0.626) (0.254:0.254:0.254))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3574_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.304:0.304:0.304) (0.235:0.235:0.235))
+    (IOPATH B X (0.268:0.268:0.268) (0.224:0.224:0.224))
+    (IOPATH C X (0.314:0.314:0.314) (0.298:0.298:0.298))
+    (IOPATH D X (0.292:0.292:0.292) (0.315:0.315:0.315))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _3575_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.204:0.228:0.252) (0.250:0.252:0.254))
+    (IOPATH B X (0.159:0.159:0.160) (0.171:0.172:0.173))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3576_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.169:0.169:0.169) (0.209:0.209:0.209))
+    (IOPATH A2 X (0.184:0.191:0.197) (0.226:0.226:0.227))
+    (IOPATH B1 X (0.131:0.132:0.134) (0.182:0.182:0.182))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3577_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.137:0.137:0.137) (0.126:0.126:0.127))
+    (IOPATH B Y (0.148:0.148:0.149) (0.147:0.155:0.163))
+    (IOPATH C Y (0.121:0.121:0.122) (0.124:0.125:0.127))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _3578_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.160:0.160:0.160) (0.198:0.198:0.198))
+    (IOPATH A2 X (0.155:0.155:0.156) (0.220:0.220:0.220))
+    (IOPATH B1_N X (0.237:0.240:0.243) (0.239:0.241:0.243))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_2")
+  (INSTANCE _3579_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.372:0.376:0.380) (0.321:0.331:0.340))
+    (IOPATH B X (0.381:0.382:0.382) (0.318:0.318:0.318))
+    (IOPATH C X (0.375:0.375:0.376) (0.325:0.326:0.326))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3580_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.085:0.085:0.085) (0.085:0.085:0.085))
+    (IOPATH B Y (0.169:0.169:0.169) (0.122:0.122:0.122))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3581_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.129:0.134:0.139) (0.140:0.146:0.152))
+    (IOPATH A Y (0.188:0.193:0.199) (0.080:0.086:0.091))
+    (IOPATH B Y (0.145:0.156:0.168) (0.144:0.148:0.153))
+    (IOPATH B Y (0.176:0.180:0.184) (0.088:0.101:0.114))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o2bb2a_1")
+  (INSTANCE _3582_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1_N X (0.273:0.273:0.273) (0.239:0.239:0.239))
+    (IOPATH A2_N X (0.359:0.359:0.359) (0.302:0.302:0.302))
+    (IOPATH B1 X (0.168:0.168:0.168) (0.297:0.298:0.299))
+    (IOPATH B2 X (0.167:0.167:0.168) (0.289:0.298:0.307))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3583_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.128:0.129:0.130) (0.061:0.062:0.063))
+    (IOPATH B Y (0.111:0.114:0.116) (0.047:0.047:0.047))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3584_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.239:0.239:0.239) (0.184:0.184:0.184))
+    (IOPATH B X (0.275:0.275:0.275) (0.252:0.252:0.252))
+    (IOPATH C X (0.284:0.284:0.284) (0.292:0.292:0.292))
+    (IOPATH D X (0.284:0.284:0.284) (0.312:0.312:0.312))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _3585_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.184:0.184:0.184) (0.156:0.158:0.160))
+    (IOPATH B X (0.181:0.181:0.181) (0.185:0.186:0.187))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _3586_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.186:0.199:0.212) (0.173:0.178:0.182))
+    (IOPATH B X (0.200:0.200:0.200) (0.197:0.197:0.198))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3587_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.092:0.096:0.099) (0.046:0.055:0.064))
+    (IOPATH B Y (0.081:0.081:0.082) (0.047:0.047:0.047))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _3588_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.158:0.158:0.158) (0.263:0.263:0.263))
+    (IOPATH B X (0.135:0.135:0.135) (0.229:0.232:0.234))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+  (INSTANCE _3589_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.545:0.552:0.559) (0.178:0.181:0.185))
+    (IOPATH A2 Y (0.505:0.505:0.506) (0.187:0.187:0.187))
+    (IOPATH B1 Y (0.471:0.471:0.471) (0.120:0.120:0.120))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_1")
+  (INSTANCE _3590_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.422:0.422:0.423) (0.157:0.157:0.157))
+    (IOPATH B Y (0.384:0.384:0.384) (0.090:0.090:0.090))
+    (IOPATH C Y (0.361:0.372:0.384) (0.183:0.186:0.188))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_2")
+  (INSTANCE _3591_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.231:0.239:0.248) (0.187:0.187:0.188))
+    (IOPATH A2 Y (0.218:0.221:0.224) (0.130:0.130:0.130))
+    (IOPATH B1_N Y (0.163:0.163:0.163) (0.218:0.219:0.220))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211ai_4")
+  (INSTANCE _3592_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.264:0.264:0.265) (0.144:0.144:0.144))
+    (IOPATH A2 Y (0.211:0.231:0.250) (0.138:0.138:0.138))
+    (IOPATH B1 Y (0.126:0.126:0.126) (0.107:0.108:0.108))
+    (IOPATH C1 Y (0.111:0.120:0.129) (0.099:0.112:0.125))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211o_1")
+  (INSTANCE _3593_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.167:0.168:0.168) (0.305:0.305:0.305))
+    (IOPATH A2 X (0.168:0.181:0.194) (0.336:0.343:0.351))
+    (IOPATH B1 X (0.187:0.187:0.188) (0.333:0.334:0.335))
+    (IOPATH C1 X (0.193:0.193:0.193) (0.263:0.280:0.297))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_2")
+  (INSTANCE _3594_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.123:0.123:0.124) (0.143:0.143:0.143))
+    (IOPATH B Y (0.140:0.145:0.149) (0.144:0.159:0.173))
+    (IOPATH C Y (0.134:0.135:0.135) (0.140:0.141:0.141))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _3595_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.207:0.209:0.212) (0.207:0.213:0.218))
+    (IOPATH A2 X (0.152:0.152:0.153) (0.193:0.194:0.196))
+    (IOPATH B1 X (0.160:0.160:0.160) (0.132:0.132:0.132))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211oi_2")
+  (INSTANCE _3596_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.323:0.327:0.330) (0.107:0.123:0.139))
+    (IOPATH A2 Y (0.376:0.377:0.379) (0.114:0.116:0.117))
+    (IOPATH B1 Y (0.329:0.330:0.331) (0.074:0.074:0.075))
+    (IOPATH C1 Y (0.280:0.287:0.295) (0.110:0.110:0.110))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_1")
+  (INSTANCE _3597_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.220:0.220:0.220) (0.228:0.236:0.245))
+    (IOPATH A2 X (0.151:0.151:0.151) (0.211:0.212:0.213))
+    (IOPATH B1 X (0.169:0.170:0.171) (0.166:0.168:0.170))
+    (IOPATH C1 X (0.158:0.173:0.187) (0.128:0.132:0.137))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3598_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.173:0.185:0.197) (0.203:0.207:0.211))
+    (IOPATH A2 X (0.173:0.174:0.174) (0.221:0.221:0.222))
+    (IOPATH B1 X (0.155:0.155:0.155) (0.197:0.197:0.197))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _3599_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.142:0.143:0.145) (0.174:0.176:0.178))
+    (IOPATH B X (0.142:0.142:0.142) (0.165:0.165:0.166))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _3600_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.184:0.184:0.184) (0.420:0.421:0.421))
+    (IOPATH B X (0.131:0.131:0.131) (0.372:0.372:0.373))
+    (IOPATH C X (0.208:0.210:0.212) (0.349:0.361:0.373))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _3601_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.203:0.203:0.204) (0.138:0.138:0.138))
+    (IOPATH A2 Y (0.178:0.192:0.205) (0.147:0.148:0.150))
+    (IOPATH B1 Y (0.109:0.109:0.110) (0.088:0.088:0.088))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3602_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.237:0.240:0.243) (0.231:0.233:0.235))
+    (IOPATH B Y (0.238:0.238:0.238) (0.242:0.242:0.242))
+    (IOPATH C Y (0.223:0.223:0.224) (0.237:0.237:0.238))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3603_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.234:0.236:0.238) (0.250:0.252:0.255))
+    (IOPATH A2 X (0.233:0.234:0.234) (0.253:0.253:0.253))
+    (IOPATH B1 X (0.209:0.209:0.209) (0.230:0.230:0.231))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _3604_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.195:0.196:0.197) (0.129:0.129:0.130))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3605_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.196:0.212:0.227) (0.246:0.248:0.249))
+    (IOPATH A Y (0.310:0.312:0.314) (0.154:0.182:0.209))
+    (IOPATH B Y (0.184:0.184:0.184) (0.173:0.173:0.173))
+    (IOPATH B Y (0.227:0.227:0.227) (0.127:0.127:0.127))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3606_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.278:0.278:0.278) (0.230:0.230:0.230))
+    (IOPATH B X (0.271:0.271:0.271) (0.273:0.273:0.273))
+    (IOPATH C X (0.219:0.231:0.243) (0.219:0.239:0.260))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3607_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.223:0.225:0.227) (0.279:0.279:0.280))
+    (IOPATH A2 X (0.215:0.216:0.216) (0.247:0.247:0.247))
+    (IOPATH B1 X (0.169:0.169:0.170) (0.206:0.206:0.207))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3608_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.094:0.096:0.098) (0.060:0.061:0.061))
+    (IOPATH B Y (0.088:0.089:0.090) (0.049:0.050:0.050))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3609_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.213:0.213:0.214) (0.092:0.092:0.092))
+    (IOPATH B Y (0.188:0.189:0.190) (0.076:0.076:0.076))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3610_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.234:0.234:0.234) (0.206:0.210:0.213))
+    (IOPATH B Y (0.189:0.190:0.190) (0.193:0.193:0.193))
+    (IOPATH C Y (0.162:0.163:0.164) (0.173:0.174:0.174))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _3611_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.179:0.179:0.179) (0.216:0.216:0.216))
+    (IOPATH A2 X (0.198:0.198:0.198) (0.230:0.231:0.233))
+    (IOPATH B1_N X (0.274:0.278:0.281) (0.262:0.263:0.264))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3612_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.236:0.237:0.238) (0.208:0.208:0.208))
+    (IOPATH B X (0.255:0.261:0.266) (0.222:0.234:0.246))
+    (IOPATH C X (0.256:0.256:0.256) (0.239:0.240:0.240))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or4bb_2")
+  (INSTANCE _3613_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.247:0.249:0.252) (0.712:0.720:0.727))
+    (IOPATH B X (0.167:0.168:0.169) (0.683:0.684:0.685))
+    (IOPATH C_N X (0.234:0.234:0.234) (0.688:0.688:0.688))
+    (IOPATH D_N X (0.243:0.244:0.244) (0.625:0.625:0.625))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _3614_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.229:0.240:0.251) (0.246:0.248:0.249))
+    (IOPATH B X (0.171:0.172:0.172) (0.222:0.222:0.222))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3615_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.128:0.129:0.131) (0.182:0.182:0.182))
+    (IOPATH A2 X (0.146:0.152:0.157) (0.196:0.207:0.218))
+    (IOPATH B1 X (0.116:0.116:0.116) (0.174:0.175:0.175))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3616_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.146:0.150:0.154) (0.112:0.113:0.115))
+    (IOPATH B Y (0.118:0.119:0.119) (0.105:0.106:0.106))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _3617_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.167:0.167:0.168) (0.185:0.188:0.191))
+    (IOPATH A Y (0.271:0.273:0.276) (0.120:0.121:0.121))
+    (IOPATH B Y (0.186:0.186:0.186) (0.172:0.173:0.175))
+    (IOPATH B Y (0.239:0.240:0.241) (0.138:0.138:0.138))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3618_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.436:0.436:0.436) (0.338:0.338:0.338))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3619_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.196:0.196:0.196) (0.117:0.117:0.117))
+    (IOPATH A2 Y (0.214:0.214:0.214) (0.124:0.124:0.124))
+    (IOPATH B1 Y (0.131:0.148:0.165) (0.053:0.061:0.069))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3620_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.464:0.464:0.465) (0.162:0.163:0.163))
+    (IOPATH B Y (0.440:0.449:0.458) (0.154:0.157:0.160))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _3621_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.466:0.466:0.466) (0.404:0.404:0.404))
+    (IOPATH A2 X (0.472:0.472:0.472) (0.444:0.444:0.444))
+    (IOPATH B1 X (0.442:0.442:0.442) (0.399:0.399:0.399))
+    (IOPATH B2 X (0.446:0.446:0.446) (0.428:0.428:0.428))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3622_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.379:0.379:0.379) (0.295:0.295:0.295))
+    (IOPATH B X (0.381:0.381:0.381) (0.320:0.320:0.320))
+    (IOPATH C X (0.362:0.362:0.362) (0.296:0.296:0.296))
+    (IOPATH D X (0.385:0.385:0.385) (0.346:0.347:0.347))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3623_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.204:0.204:0.204) (0.180:0.181:0.181))
+    (IOPATH A Y (0.231:0.231:0.232) (0.174:0.174:0.174))
+    (IOPATH B Y (0.170:0.170:0.170) (0.163:0.164:0.165))
+    (IOPATH B Y (0.200:0.201:0.202) (0.116:0.116:0.116))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _3624_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.222:0.222:0.222) (0.145:0.145:0.145))
+    (IOPATH A2 Y (0.245:0.245:0.245) (0.147:0.147:0.147))
+    (IOPATH B1 Y (0.215:0.215:0.215) (0.118:0.118:0.118))
+    (IOPATH B2 Y (0.168:0.168:0.168) (0.090:0.090:0.090))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _3625_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.173:0.174:0.174) (0.275:0.276:0.277))
+    (IOPATH B X (0.183:0.184:0.185) (0.261:0.270:0.280))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3626_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.145:0.157:0.168) (0.063:0.076:0.088))
+    (IOPATH B Y (0.143:0.143:0.143) (0.072:0.072:0.072))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3627_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.236:0.236:0.236) (0.225:0.226:0.226))
+    (IOPATH A2 X (0.202:0.202:0.202) (0.235:0.236:0.237))
+    (IOPATH B1 X (0.150:0.150:0.151) (0.183:0.186:0.189))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4b_1")
+  (INSTANCE _3628_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.321:0.322:0.323) (0.252:0.252:0.252))
+    (IOPATH B X (0.247:0.248:0.248) (0.207:0.208:0.208))
+    (IOPATH C X (0.262:0.280:0.297) (0.240:0.243:0.245))
+    (IOPATH D X (0.269:0.270:0.270) (0.243:0.243:0.244))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3629_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.235:0.237:0.239) (0.219:0.221:0.223))
+    (IOPATH B X (0.245:0.245:0.245) (0.214:0.215:0.215))
+    (IOPATH C X (0.240:0.241:0.241) (0.228:0.228:0.229))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _3630_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.221:0.222:0.223) (0.213:0.213:0.213))
+    (IOPATH B X (0.139:0.140:0.140) (0.165:0.165:0.166))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _3631_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.255:0.257:0.260) (0.109:0.129:0.150))
+    (IOPATH A X (0.238:0.252:0.265) (0.200:0.202:0.204))
+    (IOPATH B X (0.226:0.227:0.227) (0.108:0.108:0.109))
+    (IOPATH B X (0.243:0.244:0.244) (0.171:0.172:0.172))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _3632_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.123:0.136:0.149) (0.135:0.149:0.163))
+    (IOPATH B X (0.139:0.139:0.139) (0.169:0.170:0.170))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3633_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.123:0.126:0.129) (0.074:0.074:0.074))
+    (IOPATH B Y (0.105:0.106:0.106) (0.044:0.044:0.044))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _3634_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.223:0.223:0.223) (0.144:0.144:0.144))
+    (IOPATH A2 Y (0.235:0.235:0.235) (0.140:0.140:0.140))
+    (IOPATH B1 Y (0.161:0.161:0.161) (0.099:0.099:0.099))
+    (IOPATH B2 Y (0.212:0.212:0.213) (0.120:0.120:0.120))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3635_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.285:0.286:0.287) (0.145:0.145:0.146))
+    (IOPATH B Y (0.260:0.263:0.266) (0.112:0.115:0.118))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3636_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.347:0.347:0.347) (0.258:0.258:0.258))
+    (IOPATH B X (0.351:0.351:0.351) (0.321:0.321:0.321))
+    (IOPATH C X (0.301:0.301:0.301) (0.255:0.255:0.255))
+    (IOPATH D X (0.330:0.330:0.330) (0.326:0.326:0.326))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3637_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.148:0.148:0.148) (0.131:0.131:0.131))
+    (IOPATH B Y (0.168:0.168:0.168) (0.136:0.136:0.136))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3638_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.189:0.189:0.189) (0.157:0.162:0.167))
+    (IOPATH A Y (0.223:0.227:0.232) (0.146:0.146:0.146))
+    (IOPATH B Y (0.168:0.168:0.169) (0.155:0.156:0.157))
+    (IOPATH B Y (0.205:0.206:0.207) (0.109:0.109:0.109))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3639_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.158:0.160:0.161) (0.068:0.069:0.070))
+    (IOPATH B Y (0.130:0.136:0.142) (0.061:0.071:0.082))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3640_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.184:0.184:0.184) (0.184:0.188:0.192))
+    (IOPATH A2 X (0.163:0.164:0.164) (0.206:0.207:0.207))
+    (IOPATH B1 X (0.125:0.125:0.125) (0.166:0.169:0.173))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _3641_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.200:0.200:0.200) (0.163:0.167:0.171))
+    (IOPATH B X (0.189:0.189:0.190) (0.190:0.191:0.191))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3642_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.184:0.184:0.184) (0.163:0.163:0.163))
+    (IOPATH B X (0.231:0.232:0.234) (0.191:0.200:0.209))
+    (IOPATH C X (0.209:0.209:0.209) (0.210:0.210:0.210))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211o_1")
+  (INSTANCE _3643_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.163:0.164:0.166) (0.310:0.312:0.314))
+    (IOPATH A2 X (0.162:0.163:0.163) (0.322:0.322:0.322))
+    (IOPATH B1 X (0.148:0.148:0.148) (0.299:0.300:0.301))
+    (IOPATH C1 X (0.149:0.149:0.149) (0.264:0.264:0.265))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211ai_1")
+  (INSTANCE _3644_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.243:0.244:0.244) (0.149:0.149:0.149))
+    (IOPATH A2 Y (0.222:0.223:0.223) (0.124:0.124:0.124))
+    (IOPATH B1 Y (0.130:0.131:0.131) (0.130:0.130:0.130))
+    (IOPATH C1 Y (0.147:0.149:0.152) (0.125:0.127:0.128))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3645_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.249:0.249:0.249) (0.195:0.196:0.196))
+    (IOPATH B X (0.247:0.247:0.248) (0.221:0.222:0.223))
+    (IOPATH C X (0.262:0.272:0.281) (0.246:0.250:0.254))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a2bb2o_1")
+  (INSTANCE _3646_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1_N X (0.331:0.340:0.350) (0.381:0.382:0.383))
+    (IOPATH A2_N X (0.312:0.313:0.314) (0.335:0.336:0.336))
+    (IOPATH B1 X (0.206:0.206:0.206) (0.328:0.328:0.328))
+    (IOPATH B2 X (0.237:0.237:0.237) (0.315:0.316:0.316))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211ai_4")
+  (INSTANCE _3647_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.230:0.231:0.231) (0.117:0.117:0.117))
+    (IOPATH A2 Y (0.211:0.212:0.213) (0.110:0.110:0.110))
+    (IOPATH B1 Y (0.143:0.144:0.144) (0.104:0.105:0.105))
+    (IOPATH C1 Y (0.111:0.112:0.113) (0.109:0.109:0.109))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3648_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.117:0.118:0.118) (0.133:0.134:0.134))
+    (IOPATH B Y (0.138:0.140:0.143) (0.115:0.129:0.144))
+    (IOPATH C Y (0.129:0.130:0.131) (0.124:0.124:0.125))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3649_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.151:0.164:0.177) (0.200:0.202:0.204))
+    (IOPATH A2 X (0.164:0.164:0.164) (0.215:0.216:0.217))
+    (IOPATH B1 X (0.141:0.141:0.141) (0.192:0.193:0.193))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+  (INSTANCE _3650_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.207:0.211:0.214) (0.081:0.082:0.084))
+    (IOPATH A2 Y (0.202:0.202:0.203) (0.080:0.080:0.081))
+    (IOPATH B1 Y (0.174:0.183:0.193) (0.090:0.091:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o32ai_4")
+  (INSTANCE _3651_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.332:0.332:0.333) (0.120:0.120:0.121))
+    (IOPATH A2 Y (0.323:0.328:0.332) (0.141:0.143:0.144))
+    (IOPATH A3 Y (0.270:0.270:0.270) (0.096:0.096:0.096))
+    (IOPATH B1 Y (0.172:0.175:0.177) (0.109:0.124:0.139))
+    (IOPATH B2 Y (0.140:0.145:0.151) (0.127:0.127:0.127))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _3652_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.275:0.275:0.275) (0.276:0.276:0.276))
+    (IOPATH A2 X (0.264:0.264:0.264) (0.308:0.308:0.308))
+    (IOPATH A3 X (0.216:0.216:0.216) (0.261:0.261:0.261))
+    (IOPATH B1 X (0.163:0.163:0.163) (0.224:0.224:0.225))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3653_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.186:0.187:0.189) (0.154:0.159:0.164))
+    (IOPATH A Y (0.231:0.235:0.240) (0.139:0.140:0.142))
+    (IOPATH B Y (0.164:0.176:0.187) (0.156:0.162:0.167))
+    (IOPATH B Y (0.217:0.222:0.227) (0.101:0.115:0.130))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _3654_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.153:0.168:0.182) (0.201:0.203:0.205))
+    (IOPATH A2 X (0.139:0.150:0.161) (0.219:0.220:0.221))
+    (IOPATH B1_N X (0.209:0.210:0.211) (0.225:0.225:0.226))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3655_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.163:0.174:0.185) (0.157:0.162:0.168))
+    (IOPATH A Y (0.236:0.240:0.245) (0.108:0.122:0.136))
+    (IOPATH B Y (0.154:0.154:0.155) (0.148:0.148:0.148))
+    (IOPATH B Y (0.211:0.211:0.212) (0.090:0.090:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _3656_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.271:0.272:0.272) (0.124:0.125:0.126))
+    (IOPATH A X (0.267:0.267:0.268) (0.200:0.201:0.201))
+    (IOPATH B X (0.249:0.253:0.257) (0.114:0.131:0.148))
+    (IOPATH B X (0.264:0.272:0.280) (0.178:0.182:0.186))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _3657_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.195:0.195:0.195) (0.225:0.226:0.226))
+    (IOPATH A2 X (0.185:0.185:0.185) (0.247:0.248:0.248))
+    (IOPATH B1_N X (0.257:0.259:0.261) (0.251:0.263:0.275))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _3658_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.268:0.277:0.287) (0.155:0.156:0.158))
+    (IOPATH A X (0.286:0.287:0.288) (0.196:0.205:0.214))
+    (IOPATH B X (0.251:0.252:0.252) (0.117:0.117:0.117))
+    (IOPATH B X (0.267:0.267:0.267) (0.179:0.180:0.180))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3659_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.189:0.190:0.190) (0.173:0.174:0.174))
+    (IOPATH A Y (0.282:0.283:0.283) (0.127:0.128:0.129))
+    (IOPATH B Y (0.188:0.199:0.211) (0.172:0.178:0.185))
+    (IOPATH B Y (0.266:0.271:0.277) (0.117:0.132:0.148))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _3660_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.112:0.125:0.138) (0.229:0.233:0.238))
+    (IOPATH B_N X (0.154:0.154:0.154) (0.231:0.231:0.231))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21boi_1")
+  (INSTANCE _3661_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.234:0.235:0.235) (0.118:0.118:0.119))
+    (IOPATH A2 Y (0.251:0.255:0.259) (0.111:0.127:0.143))
+    (IOPATH B1_N Y (0.251:0.251:0.252) (0.153:0.153:0.153))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _3662_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.290:0.293:0.295) (0.131:0.150:0.169))
+    (IOPATH A X (0.281:0.292:0.303) (0.209:0.212:0.215))
+    (IOPATH B X (0.260:0.267:0.274) (0.155:0.158:0.161))
+    (IOPATH B X (0.294:0.295:0.296) (0.180:0.186:0.193))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a2bb2o_1")
+  (INSTANCE _3663_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1_N X (0.261:0.263:0.266) (0.291:0.302:0.312))
+    (IOPATH A2_N X (0.236:0.243:0.250) (0.296:0.297:0.298))
+    (IOPATH B1 X (0.173:0.175:0.176) (0.272:0.281:0.290))
+    (IOPATH B2 X (0.137:0.138:0.138) (0.243:0.244:0.244))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21boi_1")
+  (INSTANCE _3664_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.178:0.181:0.183) (0.097:0.113:0.129))
+    (IOPATH A2 Y (0.187:0.194:0.200) (0.119:0.121:0.123))
+    (IOPATH B1_N Y (0.187:0.187:0.188) (0.135:0.135:0.136))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _3665_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.205:0.216:0.227) (0.203:0.212:0.220))
+    (IOPATH A2 X (0.227:0.228:0.230) (0.238:0.246:0.255))
+    (IOPATH A3 X (0.226:0.228:0.229) (0.250:0.260:0.271))
+    (IOPATH B1 X (0.158:0.160:0.162) (0.209:0.219:0.228))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _3666_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.144:0.158:0.172) (0.256:0.260:0.263))
+    (IOPATH B X (0.150:0.152:0.154) (0.223:0.230:0.238))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _3667_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.184:0.185:0.186) (0.196:0.205:0.213))
+    (IOPATH A2 X (0.147:0.148:0.148) (0.214:0.215:0.215))
+    (IOPATH B1_N X (0.210:0.210:0.210) (0.223:0.224:0.224))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3668_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.150:0.153:0.156) (0.072:0.088:0.103))
+    (IOPATH B Y (0.115:0.124:0.133) (0.074:0.076:0.077))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+  (INSTANCE _3669_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.241:0.242:0.242) (0.116:0.117:0.117))
+    (IOPATH A2 Y (0.235:0.246:0.256) (0.132:0.133:0.135))
+    (IOPATH B1 Y (0.198:0.205:0.211) (0.073:0.073:0.073))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _3670_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.279:0.279:0.279) (0.277:0.277:0.277))
+    (IOPATH A2 X (0.271:0.271:0.271) (0.312:0.312:0.312))
+    (IOPATH A3 X (0.229:0.229:0.229) (0.269:0.269:0.269))
+    (IOPATH B1 X (0.168:0.169:0.169) (0.226:0.227:0.227))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3671_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.120:0.123:0.126) (0.057:0.071:0.085))
+    (IOPATH B Y (0.100:0.111:0.122) (0.072:0.074:0.075))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3672_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.143:0.160:0.176) (0.184:0.186:0.188))
+    (IOPATH A2 X (0.172:0.174:0.176) (0.197:0.208:0.218))
+    (IOPATH B1 X (0.118:0.119:0.119) (0.167:0.171:0.176))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _3673_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.459:0.459:0.459) (0.332:0.332:0.332))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a32o_1")
+  (INSTANCE _3674_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.273:0.273:0.273) (0.298:0.298:0.298))
+    (IOPATH A2 X (0.232:0.232:0.232) (0.297:0.297:0.297))
+    (IOPATH A3 X (0.207:0.207:0.208) (0.282:0.282:0.282))
+    (IOPATH B1 X (0.169:0.169:0.170) (0.228:0.229:0.229))
+    (IOPATH B2 X (0.237:0.237:0.237) (0.333:0.333:0.333))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3675_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.141:0.164:0.186) (0.180:0.183:0.186))
+    (IOPATH A2 X (0.149:0.151:0.153) (0.208:0.216:0.223))
+    (IOPATH B1 X (0.135:0.136:0.137) (0.173:0.184:0.194))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _3676_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.213:0.214:0.215) (0.098:0.098:0.099))
+    (IOPATH A X (0.208:0.208:0.209) (0.180:0.181:0.182))
+    (IOPATH B X (0.191:0.191:0.191) (0.087:0.087:0.088))
+    (IOPATH B X (0.207:0.207:0.207) (0.157:0.158:0.158))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3677_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.242:0.242:0.242) (0.219:0.219:0.219))
+    (IOPATH B X (0.211:0.211:0.211) (0.210:0.210:0.210))
+    (IOPATH C X (0.212:0.213:0.215) (0.199:0.202:0.206))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3678_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.178:0.178:0.178) (0.117:0.117:0.117))
+    (IOPATH A2 Y (0.164:0.164:0.164) (0.090:0.090:0.090))
+    (IOPATH B1 Y (0.114:0.117:0.120) (0.060:0.060:0.061))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3679_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.191:0.192:0.192) (0.080:0.081:0.081))
+    (IOPATH B Y (0.176:0.184:0.193) (0.077:0.079:0.081))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _3680_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.180:0.180:0.181) (0.146:0.161:0.176))
+    (IOPATH B X (0.167:0.176:0.185) (0.180:0.187:0.194))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3681_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.219:0.227:0.235) (0.130:0.133:0.136))
+    (IOPATH A2 Y (0.237:0.250:0.263) (0.129:0.132:0.134))
+    (IOPATH B1 Y (0.206:0.207:0.207) (0.061:0.062:0.062))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3682_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.325:0.329:0.332) (0.181:0.183:0.186))
+    (IOPATH A2 Y (0.347:0.348:0.349) (0.154:0.155:0.156))
+    (IOPATH B1 Y (0.323:0.324:0.326) (0.156:0.156:0.156))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _3683_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.391:0.391:0.392) (0.305:0.306:0.306))
+    (IOPATH B X (0.323:0.323:0.324) (0.276:0.276:0.277))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3684_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.159:0.159:0.159) (0.161:0.161:0.161))
+    (IOPATH B Y (0.129:0.129:0.129) (0.125:0.125:0.125))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3685_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.434:0.434:0.434) (0.324:0.324:0.324))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _3686_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.253:0.253:0.253) (0.239:0.239:0.239))
+    (IOPATH B X (0.243:0.243:0.243) (0.255:0.255:0.255))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3687_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.232:0.232:0.232) (0.153:0.153:0.153))
+    (IOPATH A2 Y (0.282:0.282:0.282) (0.162:0.162:0.162))
+    (IOPATH B1 Y (0.175:0.175:0.175) (0.073:0.073:0.073))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3688_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.226:0.226:0.226) (0.217:0.217:0.217))
+    (IOPATH B X (0.245:0.245:0.245) (0.279:0.279:0.279))
+    (IOPATH C X (0.184:0.184:0.184) (0.194:0.195:0.195))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3689_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.128:0.140:0.152) (0.080:0.082:0.084))
+    (IOPATH B Y (0.109:0.110:0.110) (0.051:0.052:0.053))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3690_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.157:0.158:0.159) (0.163:0.173:0.184))
+    (IOPATH A Y (0.233:0.243:0.252) (0.103:0.104:0.106))
+    (IOPATH B Y (0.161:0.161:0.161) (0.137:0.141:0.146))
+    (IOPATH B Y (0.195:0.199:0.203) (0.099:0.099:0.099))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _3691_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.235:0.235:0.236) (0.264:0.264:0.265))
+    (IOPATH A2 X (0.228:0.228:0.228) (0.282:0.282:0.282))
+    (IOPATH B1 X (0.183:0.197:0.210) (0.144:0.146:0.148))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_1")
+  (INSTANCE _3692_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.232:0.233:0.233) (0.107:0.107:0.107))
+    (IOPATH B Y (0.246:0.246:0.246) (0.105:0.105:0.105))
+    (IOPATH C Y (0.147:0.149:0.151) (0.055:0.064:0.073))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3693_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.170:0.171:0.172) (0.083:0.083:0.084))
+    (IOPATH B Y (0.145:0.156:0.167) (0.087:0.088:0.088))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3694_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.208:0.209:0.210) (0.184:0.190:0.196))
+    (IOPATH A Y (0.265:0.270:0.276) (0.167:0.169:0.170))
+    (IOPATH B Y (0.181:0.181:0.181) (0.152:0.154:0.156))
+    (IOPATH B Y (0.221:0.222:0.224) (0.118:0.118:0.118))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+  (INSTANCE _3695_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.206:0.206:0.206) (0.078:0.078:0.078))
+    (IOPATH A2 Y (0.207:0.220:0.233) (0.124:0.124:0.124))
+    (IOPATH B1 Y (0.171:0.172:0.173) (0.057:0.058:0.058))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3696_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.380:0.380:0.380) (0.324:0.324:0.324))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+  (INSTANCE _3697_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.153:0.153:0.153) (0.146:0.146:0.146))
+    (IOPATH B Y (0.191:0.191:0.191) (0.158:0.158:0.158))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3698_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.286:0.286:0.286) (0.219:0.219:0.219))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3699_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.264:0.264:0.264) (0.219:0.219:0.219))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _3700_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.201:0.201:0.201) (0.259:0.259:0.259))
+    (IOPATH A2 X (0.190:0.190:0.190) (0.260:0.260:0.260))
+    (IOPATH B1 X (0.196:0.196:0.196) (0.251:0.251:0.251))
+    (IOPATH B2 X (0.156:0.156:0.156) (0.221:0.221:0.221))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _3701_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.206:0.207:0.208) (0.104:0.107:0.109))
+    (IOPATH A2 Y (0.202:0.202:0.203) (0.101:0.102:0.103))
+    (IOPATH B1 Y (0.114:0.114:0.114) (0.087:0.087:0.088))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3702_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.418:0.418:0.418) (0.317:0.317:0.317))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _3703_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.226:0.226:0.226) (0.284:0.284:0.284))
+    (IOPATH A2 X (0.197:0.197:0.197) (0.264:0.264:0.264))
+    (IOPATH B1 X (0.211:0.211:0.211) (0.242:0.242:0.242))
+    (IOPATH B2 X (0.193:0.193:0.193) (0.258:0.258:0.258))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3704_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.111:0.111:0.111) (0.114:0.114:0.114))
+    (IOPATH B Y (0.160:0.160:0.160) (0.132:0.132:0.132))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _3705_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.176:0.177:0.178) (0.288:0.292:0.297))
+    (IOPATH B X (0.173:0.176:0.179) (0.274:0.275:0.276))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3706_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.074:0.074:0.074) (0.065:0.066:0.066))
+    (IOPATH B Y (0.099:0.099:0.100) (0.084:0.084:0.084))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _3707_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.241:0.241:0.241) (0.258:0.258:0.258))
+    (IOPATH A2 X (0.236:0.236:0.236) (0.264:0.264:0.264))
+    (IOPATH A3 X (0.228:0.229:0.231) (0.253:0.258:0.262))
+    (IOPATH B1 X (0.162:0.162:0.162) (0.220:0.221:0.222))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _3708_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.221:0.222:0.223) (0.089:0.091:0.092))
+    (IOPATH A X (0.210:0.211:0.212) (0.178:0.179:0.181))
+    (IOPATH B X (0.211:0.212:0.212) (0.106:0.107:0.107))
+    (IOPATH B X (0.229:0.230:0.230) (0.169:0.169:0.169))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3709_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.187:0.188:0.188) (0.172:0.173:0.174))
+    (IOPATH A Y (0.265:0.265:0.266) (0.133:0.133:0.133))
+    (IOPATH B Y (0.196:0.197:0.198) (0.160:0.165:0.169))
+    (IOPATH B Y (0.238:0.242:0.246) (0.135:0.136:0.138))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3710_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.194:0.198:0.202) (0.173:0.175:0.177))
+    (IOPATH A Y (0.280:0.282:0.284) (0.134:0.140:0.146))
+    (IOPATH B Y (0.192:0.204:0.216) (0.178:0.181:0.184))
+    (IOPATH B Y (0.269:0.272:0.274) (0.122:0.140:0.157))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _3711_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.260:0.261:0.261) (0.243:0.251:0.259))
+    (IOPATH A Y (0.451:0.458:0.466) (0.211:0.211:0.212))
+    (IOPATH B Y (0.249:0.262:0.275) (0.257:0.260:0.264))
+    (IOPATH B Y (0.444:0.447:0.450) (0.192:0.210:0.228))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3712_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.198:0.209:0.221) (0.182:0.191:0.200))
+    (IOPATH A Y (0.301:0.309:0.317) (0.134:0.150:0.166))
+    (IOPATH B Y (0.218:0.235:0.252) (0.224:0.226:0.229))
+    (IOPATH B Y (0.328:0.331:0.333) (0.152:0.183:0.214))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _3713_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.240:0.250:0.260) (0.138:0.141:0.143))
+    (IOPATH A X (0.259:0.260:0.261) (0.185:0.195:0.204))
+    (IOPATH B X (0.239:0.250:0.261) (0.121:0.141:0.161))
+    (IOPATH B X (0.251:0.259:0.268) (0.185:0.196:0.208))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3714_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.192:0.192:0.192) (0.160:0.160:0.161))
+    (IOPATH A Y (0.251:0.251:0.251) (0.141:0.142:0.142))
+    (IOPATH B Y (0.198:0.199:0.200) (0.161:0.173:0.186))
+    (IOPATH B Y (0.235:0.247:0.258) (0.139:0.140:0.142))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3715_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.184:0.184:0.184) (0.169:0.170:0.170))
+    (IOPATH A Y (0.284:0.284:0.284) (0.120:0.121:0.121))
+    (IOPATH B Y (0.196:0.208:0.220) (0.180:0.184:0.188))
+    (IOPATH B Y (0.277:0.281:0.284) (0.124:0.142:0.159))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _3716_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.233:0.234:0.234) (0.238:0.239:0.239))
+    (IOPATH A Y (0.415:0.415:0.416) (0.182:0.182:0.183))
+    (IOPATH B Y (0.229:0.242:0.255) (0.238:0.242:0.245))
+    (IOPATH B Y (0.395:0.398:0.401) (0.172:0.191:0.209))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _3717_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.290:0.297:0.304) (0.155:0.156:0.156))
+    (IOPATH A X (0.307:0.308:0.308) (0.198:0.205:0.212))
+    (IOPATH B X (0.310:0.313:0.315) (0.146:0.177:0.207))
+    (IOPATH B X (0.303:0.314:0.325) (0.219:0.222:0.225))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _3718_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.248:0.248:0.248) (0.249:0.249:0.249))
+    (IOPATH B X (0.214:0.214:0.214) (0.208:0.208:0.208))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _3719_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.295:0.295:0.295) (0.231:0.231:0.231))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _3720_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.163:0.164:0.164) (0.084:0.085:0.085))
+    (IOPATH A2 Y (0.158:0.176:0.195) (0.113:0.114:0.114))
+    (IOPATH B1 Y (0.127:0.127:0.127) (0.126:0.126:0.126))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+  (INSTANCE _3721_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.343:0.343:0.344) (0.122:0.122:0.123))
+    (IOPATH A2 Y (0.348:0.367:0.386) (0.186:0.187:0.188))
+    (IOPATH B1 Y (0.313:0.319:0.325) (0.097:0.103:0.108))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _3722_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.239:0.239:0.239) (0.180:0.180:0.180))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _3723_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.376:0.376:0.376) (0.267:0.267:0.267))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_4")
+  (INSTANCE _3724_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.391:0.391:0.391) (0.136:0.136:0.136))
+    (IOPATH B Y (0.379:0.379:0.379) (0.165:0.165:0.165))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _3725_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.367:0.367:0.367) (0.252:0.259:0.266))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _3726_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.348:0.348:0.348) (0.266:0.266:0.266))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3727_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.398:0.398:0.398) (0.324:0.324:0.324))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _3728_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.382:0.382:0.382) (0.318:0.318:0.318))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3729_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.318:0.318:0.318) (0.233:0.233:0.233))
+    (IOPATH B X (0.341:0.341:0.341) (0.286:0.286:0.286))
+    (IOPATH C X (0.298:0.298:0.298) (0.266:0.266:0.266))
+    (IOPATH D X (0.300:0.300:0.300) (0.267:0.267:0.267))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _3730_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.273:0.273:0.273) (0.306:0.306:0.306))
+    (IOPATH A2 X (0.206:0.206:0.206) (0.275:0.275:0.275))
+    (IOPATH B1 X (0.227:0.227:0.227) (0.241:0.241:0.241))
+    (IOPATH B2 X (0.229:0.229:0.229) (0.276:0.276:0.276))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_2")
+  (INSTANCE _3731_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.157:0.157:0.157) (0.184:0.184:0.184))
+    (IOPATH B Y (0.207:0.207:0.207) (0.233:0.233:0.233))
+    (IOPATH C Y (0.171:0.171:0.171) (0.199:0.199:0.199))
+    (IOPATH D Y (0.162:0.162:0.162) (0.199:0.199:0.199))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_2")
+  (INSTANCE _3732_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.155:0.155:0.155) (0.168:0.168:0.168))
+    (IOPATH B Y (0.143:0.143:0.143) (0.160:0.160:0.160))
+    (IOPATH C Y (0.132:0.132:0.132) (0.146:0.146:0.146))
+    (IOPATH D Y (0.168:0.172:0.176) (0.148:0.150:0.151))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _3733_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.216:0.216:0.216) (0.264:0.264:0.264))
+    (IOPATH A2 X (0.199:0.199:0.199) (0.275:0.275:0.275))
+    (IOPATH B1 X (0.170:0.171:0.171) (0.209:0.209:0.209))
+    (IOPATH B2 X (0.168:0.170:0.173) (0.261:0.264:0.268))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3734_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.137:0.137:0.137) (0.129:0.129:0.129))
+    (IOPATH B Y (0.161:0.161:0.161) (0.148:0.148:0.148))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3735_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.257:0.257:0.257) (0.211:0.211:0.211))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3736_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.326:0.326:0.326) (0.275:0.275:0.275))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _3737_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.244:0.244:0.244) (0.145:0.145:0.145))
+    (IOPATH A2 Y (0.275:0.275:0.275) (0.151:0.151:0.151))
+    (IOPATH B1 Y (0.239:0.239:0.239) (0.136:0.136:0.136))
+    (IOPATH B2 Y (0.226:0.226:0.226) (0.116:0.116:0.116))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3738_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.241:0.241:0.241) (0.187:0.187:0.187))
+    (IOPATH B X (0.257:0.257:0.257) (0.218:0.218:0.218))
+    (IOPATH C X (0.246:0.246:0.246) (0.224:0.224:0.224))
+    (IOPATH D X (0.266:0.266:0.266) (0.275:0.275:0.275))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _3739_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.281:0.282:0.283) (0.132:0.133:0.134))
+    (IOPATH A2 Y (0.261:0.266:0.271) (0.148:0.149:0.151))
+    (IOPATH B1_N Y (0.181:0.182:0.182) (0.204:0.205:0.206))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3740_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.151:0.153:0.156) (0.202:0.208:0.215))
+    (IOPATH A2 X (0.149:0.150:0.150) (0.201:0.201:0.201))
+    (IOPATH B1 X (0.136:0.145:0.154) (0.197:0.198:0.198))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3741_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.130:0.130:0.131) (0.130:0.148:0.167))
+    (IOPATH B Y (0.148:0.155:0.163) (0.122:0.124:0.126))
+    (IOPATH C Y (0.119:0.119:0.120) (0.119:0.119:0.119))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _3742_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.290:0.290:0.290) (0.281:0.282:0.283))
+    (IOPATH A2 X (0.273:0.273:0.273) (0.296:0.296:0.297))
+    (IOPATH B1_N X (0.356:0.362:0.369) (0.315:0.318:0.320))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3743_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.408:0.408:0.408) (0.327:0.327:0.327))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _3744_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.273:0.273:0.273) (0.301:0.301:0.301))
+    (IOPATH A2 X (0.286:0.286:0.286) (0.352:0.352:0.352))
+    (IOPATH B1 X (0.225:0.225:0.225) (0.251:0.251:0.251))
+    (IOPATH B2 X (0.233:0.233:0.233) (0.271:0.271:0.271))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3745_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.385:0.385:0.385) (0.319:0.319:0.319))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_1")
+  (INSTANCE _3746_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.159:0.159:0.159) (0.184:0.184:0.184))
+    (IOPATH B Y (0.150:0.150:0.150) (0.158:0.158:0.158))
+    (IOPATH C Y (0.217:0.217:0.217) (0.200:0.200:0.200))
+    (IOPATH D Y (0.210:0.210:0.210) (0.199:0.199:0.199))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _3747_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.208:0.208:0.208) (0.193:0.193:0.193))
+    (IOPATH B X (0.247:0.247:0.247) (0.263:0.263:0.263))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3748_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.107:0.108:0.108) (0.116:0.116:0.116))
+    (IOPATH B Y (0.141:0.145:0.149) (0.111:0.114:0.118))
+    (IOPATH C Y (0.110:0.110:0.111) (0.116:0.116:0.116))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3749_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.147:0.147:0.148) (0.181:0.181:0.182))
+    (IOPATH A2 X (0.141:0.144:0.147) (0.218:0.221:0.225))
+    (IOPATH B1 X (0.115:0.115:0.115) (0.169:0.169:0.170))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3750_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.173:0.173:0.173) (0.165:0.165:0.165))
+    (IOPATH B Y (0.132:0.132:0.132) (0.116:0.116:0.116))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _3751_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.216:0.216:0.216) (0.111:0.111:0.111))
+    (IOPATH A2 Y (0.289:0.289:0.289) (0.156:0.156:0.156))
+    (IOPATH B1 Y (0.234:0.234:0.234) (0.117:0.117:0.117))
+    (IOPATH B2 Y (0.207:0.207:0.207) (0.090:0.090:0.090))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3752_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.288:0.288:0.288) (0.219:0.219:0.219))
+    (IOPATH B X (0.284:0.284:0.284) (0.235:0.235:0.235))
+    (IOPATH C X (0.309:0.309:0.309) (0.275:0.275:0.275))
+    (IOPATH D X (0.295:0.295:0.295) (0.272:0.272:0.272))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _3753_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.184:0.193:0.201) (0.098:0.099:0.101))
+    (IOPATH A2 Y (0.162:0.172:0.181) (0.097:0.099:0.101))
+    (IOPATH B1_N Y (0.151:0.151:0.151) (0.179:0.180:0.181))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3754_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.229:0.232:0.234) (0.202:0.204:0.206))
+    (IOPATH B X (0.227:0.227:0.228) (0.205:0.205:0.205))
+    (IOPATH C X (0.238:0.246:0.254) (0.228:0.229:0.230))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3755_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.215:0.216:0.218) (0.093:0.096:0.099))
+    (IOPATH A2 Y (0.216:0.216:0.217) (0.089:0.089:0.090))
+    (IOPATH B1 Y (0.198:0.199:0.199) (0.067:0.076:0.086))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3756_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.374:0.374:0.374) (0.306:0.306:0.306))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3757_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.408:0.408:0.408) (0.330:0.330:0.330))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _3758_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.277:0.277:0.277) (0.174:0.174:0.174))
+    (IOPATH A2 Y (0.246:0.246:0.246) (0.138:0.138:0.138))
+    (IOPATH B1 Y (0.260:0.260:0.260) (0.150:0.150:0.150))
+    (IOPATH B2 Y (0.222:0.222:0.222) (0.120:0.120:0.120))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3759_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.213:0.213:0.213) (0.174:0.174:0.174))
+    (IOPATH B X (0.227:0.227:0.227) (0.212:0.212:0.212))
+    (IOPATH C X (0.234:0.234:0.234) (0.226:0.226:0.226))
+    (IOPATH D X (0.235:0.235:0.235) (0.234:0.234:0.234))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3760_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.148:0.156:0.163) (0.091:0.092:0.092))
+    (IOPATH B Y (0.119:0.119:0.120) (0.058:0.058:0.058))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _3761_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.411:0.411:0.411) (0.285:0.285:0.285))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3762_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.160:0.160:0.160) (0.160:0.160:0.160))
+    (IOPATH B Y (0.128:0.128:0.128) (0.126:0.126:0.126))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3763_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.172:0.172:0.172) (0.147:0.153:0.159))
+    (IOPATH A Y (0.230:0.235:0.241) (0.117:0.118:0.118))
+    (IOPATH B Y (0.164:0.166:0.168) (0.167:0.177:0.188))
+    (IOPATH B Y (0.232:0.242:0.252) (0.100:0.102:0.104))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3b_1")
+  (INSTANCE _3764_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.145:0.145:0.145) (0.393:0.394:0.394))
+    (IOPATH B X (0.151:0.153:0.155) (0.361:0.365:0.369))
+    (IOPATH C_N X (0.180:0.183:0.185) (0.368:0.377:0.386))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _3765_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.189:0.189:0.190) (0.112:0.112:0.112))
+    (IOPATH A2 Y (0.164:0.168:0.173) (0.106:0.108:0.110))
+    (IOPATH B1_N Y (0.141:0.155:0.169) (0.178:0.180:0.182))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3766_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.269:0.269:0.269) (0.224:0.224:0.224))
+    (IOPATH B X (0.216:0.216:0.216) (0.214:0.214:0.214))
+    (IOPATH C X (0.228:0.236:0.244) (0.225:0.226:0.227))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _3767_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.154:0.154:0.154) (0.398:0.399:0.400))
+    (IOPATH B X (0.137:0.138:0.140) (0.376:0.384:0.392))
+    (IOPATH C X (0.148:0.150:0.153) (0.332:0.340:0.348))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _3768_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.177:0.178:0.179) (0.109:0.109:0.109))
+    (IOPATH A2 Y (0.158:0.167:0.176) (0.095:0.098:0.100))
+    (IOPATH B1 Y (0.113:0.123:0.134) (0.093:0.094:0.096))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3769_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.155:0.155:0.155) (0.142:0.142:0.142))
+    (IOPATH B Y (0.117:0.117:0.117) (0.113:0.113:0.113))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _3770_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.211:0.211:0.211) (0.109:0.109:0.109))
+    (IOPATH A2 Y (0.285:0.285:0.285) (0.153:0.153:0.153))
+    (IOPATH B1 Y (0.229:0.229:0.229) (0.113:0.113:0.113))
+    (IOPATH B2 Y (0.201:0.201:0.201) (0.088:0.088:0.088))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3771_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.244:0.244:0.244) (0.197:0.197:0.197))
+    (IOPATH B X (0.268:0.268:0.268) (0.236:0.236:0.236))
+    (IOPATH C X (0.250:0.250:0.250) (0.238:0.238:0.238))
+    (IOPATH D X (0.257:0.257:0.257) (0.248:0.248:0.248))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _3772_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.191:0.198:0.205) (0.096:0.097:0.099))
+    (IOPATH A2 Y (0.170:0.180:0.189) (0.099:0.102:0.104))
+    (IOPATH B1_N Y (0.143:0.143:0.143) (0.171:0.172:0.173))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3773_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.149:0.149:0.149) (0.136:0.136:0.136))
+    (IOPATH B Y (0.156:0.158:0.160) (0.157:0.162:0.167))
+    (IOPATH C Y (0.151:0.152:0.154) (0.153:0.162:0.171))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _3774_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.274:0.274:0.274) (0.173:0.173:0.173))
+    (IOPATH A2 Y (0.249:0.249:0.249) (0.146:0.146:0.146))
+    (IOPATH B1 Y (0.201:0.201:0.201) (0.117:0.117:0.117))
+    (IOPATH B2 Y (0.275:0.275:0.275) (0.148:0.148:0.148))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3775_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.265:0.265:0.265) (0.236:0.236:0.236))
+    (IOPATH B X (0.233:0.233:0.233) (0.217:0.217:0.217))
+    (IOPATH C X (0.239:0.239:0.239) (0.221:0.221:0.221))
+    (IOPATH D X (0.232:0.232:0.232) (0.233:0.233:0.233))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3776_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.144:0.150:0.155) (0.087:0.088:0.090))
+    (IOPATH B Y (0.113:0.114:0.114) (0.054:0.055:0.055))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3777_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.158:0.158:0.158) (0.157:0.157:0.157))
+    (IOPATH B Y (0.155:0.155:0.155) (0.133:0.133:0.133))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3778_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.156:0.156:0.156) (0.135:0.141:0.147))
+    (IOPATH A Y (0.200:0.206:0.212) (0.104:0.104:0.104))
+    (IOPATH B Y (0.152:0.153:0.153) (0.157:0.167:0.176))
+    (IOPATH B Y (0.205:0.214:0.223) (0.091:0.092:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3779_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.126:0.126:0.127) (0.179:0.179:0.179))
+    (IOPATH A2 X (0.144:0.149:0.153) (0.192:0.193:0.195))
+    (IOPATH B1 X (0.109:0.116:0.124) (0.169:0.170:0.171))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3780_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.200:0.201:0.202) (0.186:0.188:0.190))
+    (IOPATH B Y (0.182:0.184:0.186) (0.176:0.191:0.206))
+    (IOPATH C Y (0.167:0.167:0.168) (0.171:0.171:0.172))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3781_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.212:0.212:0.212) (0.085:0.085:0.085))
+    (IOPATH A2 Y (0.227:0.227:0.228) (0.099:0.110:0.120))
+    (IOPATH B1 Y (0.230:0.230:0.231) (0.107:0.108:0.108))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211oi_1")
+  (INSTANCE _3782_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.378:0.379:0.379) (0.126:0.128:0.130))
+    (IOPATH A2 Y (0.420:0.421:0.422) (0.138:0.140:0.142))
+    (IOPATH B1 Y (0.365:0.368:0.371) (0.109:0.111:0.113))
+    (IOPATH C1 Y (0.325:0.326:0.326) (0.092:0.092:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _3783_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.209:0.209:0.210) (0.226:0.234:0.242))
+    (IOPATH A2 X (0.169:0.170:0.172) (0.213:0.223:0.233))
+    (IOPATH B1_N X (0.254:0.255:0.256) (0.180:0.180:0.180))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _3784_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.167:0.167:0.167) (0.200:0.201:0.202))
+    (IOPATH A2 X (0.182:0.184:0.185) (0.185:0.191:0.198))
+    (IOPATH B1_N X (0.233:0.233:0.234) (0.167:0.167:0.167))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3b_1")
+  (INSTANCE _3785_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.126:0.126:0.126) (0.370:0.371:0.371))
+    (IOPATH B X (0.163:0.164:0.166) (0.346:0.351:0.357))
+    (IOPATH C_N X (0.159:0.160:0.161) (0.346:0.346:0.346))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _3786_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.222:0.223:0.224) (0.203:0.203:0.203))
+    (IOPATH B X (0.152:0.152:0.153) (0.185:0.186:0.186))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _3787_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.287:0.287:0.287) (0.270:0.270:0.270))
+    (IOPATH A2 X (0.294:0.294:0.294) (0.328:0.328:0.328))
+    (IOPATH A3 X (0.242:0.242:0.242) (0.275:0.275:0.275))
+    (IOPATH B1 X (0.191:0.192:0.192) (0.238:0.239:0.239))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _3788_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.255:0.255:0.255) (0.153:0.153:0.153))
+    (IOPATH A2 Y (0.265:0.265:0.265) (0.133:0.133:0.133))
+    (IOPATH B1 Y (0.222:0.222:0.222) (0.129:0.129:0.129))
+    (IOPATH B2 Y (0.287:0.287:0.287) (0.154:0.154:0.154))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3789_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.201:0.201:0.201) (0.167:0.167:0.167))
+    (IOPATH B X (0.218:0.218:0.218) (0.202:0.202:0.202))
+    (IOPATH C X (0.222:0.222:0.222) (0.219:0.219:0.219))
+    (IOPATH D X (0.223:0.223:0.223) (0.227:0.227:0.227))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3790_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.150:0.154:0.159) (0.094:0.096:0.097))
+    (IOPATH B Y (0.117:0.118:0.119) (0.054:0.054:0.054))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3791_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.157:0.157:0.157) (0.156:0.156:0.156))
+    (IOPATH B Y (0.167:0.167:0.167) (0.139:0.139:0.139))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3792_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.181:0.181:0.181) (0.152:0.159:0.167))
+    (IOPATH A Y (0.247:0.254:0.261) (0.124:0.124:0.124))
+    (IOPATH B Y (0.176:0.177:0.177) (0.177:0.185:0.193))
+    (IOPATH B Y (0.254:0.262:0.269) (0.109:0.109:0.110))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3793_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.148:0.148:0.148) (0.134:0.134:0.134))
+    (IOPATH B Y (0.214:0.214:0.214) (0.183:0.183:0.183))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3794_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.123:0.123:0.123) (0.111:0.111:0.111))
+    (IOPATH B Y (0.151:0.151:0.151) (0.125:0.125:0.125))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _3795_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.229:0.229:0.229) (0.274:0.274:0.274))
+    (IOPATH A2 X (0.243:0.243:0.243) (0.325:0.325:0.325))
+    (IOPATH B1 X (0.229:0.229:0.229) (0.266:0.266:0.266))
+    (IOPATH B2 X (0.186:0.186:0.186) (0.243:0.243:0.243))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _3796_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.156:0.157:0.159) (0.215:0.219:0.224))
+    (IOPATH A2 X (0.126:0.128:0.130) (0.190:0.191:0.191))
+    (IOPATH B1 X (0.141:0.141:0.142) (0.117:0.118:0.118))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21boi_2")
+  (INSTANCE _3797_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.242:0.242:0.242) (0.108:0.108:0.108))
+    (IOPATH A2 Y (0.241:0.241:0.241) (0.104:0.104:0.104))
+    (IOPATH B1_N Y (0.270:0.273:0.277) (0.219:0.223:0.227))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3798_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.152:0.152:0.152) (0.145:0.146:0.147))
+    (IOPATH A Y (0.223:0.224:0.226) (0.096:0.097:0.097))
+    (IOPATH B Y (0.187:0.187:0.187) (0.153:0.157:0.161))
+    (IOPATH B Y (0.215:0.218:0.222) (0.129:0.129:0.129))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3799_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.165:0.176:0.188) (0.161:0.164:0.166))
+    (IOPATH A Y (0.233:0.235:0.237) (0.112:0.128:0.143))
+    (IOPATH B Y (0.160:0.171:0.183) (0.154:0.157:0.160))
+    (IOPATH B Y (0.210:0.213:0.216) (0.098:0.112:0.126))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+  (INSTANCE _3800_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.193:0.197:0.201) (0.131:0.135:0.138))
+    (IOPATH B Y (0.177:0.184:0.192) (0.126:0.129:0.131))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _3801_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.196:0.196:0.196) (0.240:0.240:0.240))
+    (IOPATH A2 X (0.220:0.220:0.220) (0.296:0.296:0.296))
+    (IOPATH B1 X (0.182:0.182:0.182) (0.220:0.220:0.220))
+    (IOPATH B2 X (0.194:0.194:0.194) (0.239:0.239:0.239))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3802_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.248:0.248:0.248) (0.189:0.189:0.189))
+    (IOPATH B X (0.242:0.242:0.242) (0.205:0.205:0.205))
+    (IOPATH C X (0.239:0.239:0.239) (0.226:0.226:0.226))
+    (IOPATH D X (0.245:0.245:0.245) (0.241:0.241:0.241))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31oi_2")
+  (INSTANCE _3803_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.259:0.259:0.259) (0.183:0.183:0.183))
+    (IOPATH A2 Y (0.276:0.276:0.276) (0.165:0.165:0.165))
+    (IOPATH A3 Y (0.272:0.272:0.272) (0.136:0.136:0.136))
+    (IOPATH B1 Y (0.232:0.233:0.234) (0.068:0.068:0.068))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3804_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.415:0.415:0.415) (0.341:0.341:0.341))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _3805_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.271:0.271:0.271) (0.169:0.169:0.169))
+    (IOPATH A2 Y (0.285:0.285:0.285) (0.185:0.185:0.185))
+    (IOPATH B1 Y (0.208:0.208:0.208) (0.125:0.125:0.125))
+    (IOPATH B2 Y (0.275:0.275:0.275) (0.148:0.148:0.148))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3806_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.291:0.291:0.291) (0.228:0.228:0.228))
+    (IOPATH B X (0.327:0.327:0.327) (0.283:0.283:0.283))
+    (IOPATH C X (0.338:0.338:0.338) (0.304:0.304:0.304))
+    (IOPATH D X (0.295:0.295:0.295) (0.262:0.262:0.262))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3807_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.201:0.205:0.208) (0.109:0.112:0.114))
+    (IOPATH B Y (0.178:0.179:0.180) (0.091:0.091:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _3808_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.196:0.201:0.205) (0.184:0.202:0.220))
+    (IOPATH A Y (0.287:0.303:0.319) (0.151:0.157:0.163))
+    (IOPATH B Y (0.190:0.190:0.190) (0.183:0.186:0.189))
+    (IOPATH B Y (0.265:0.268:0.271) (0.139:0.140:0.140))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _3809_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.143:0.149:0.155) (0.365:0.379:0.393))
+    (IOPATH B X (0.141:0.142:0.144) (0.358:0.361:0.365))
+    (IOPATH C X (0.126:0.126:0.126) (0.312:0.313:0.314))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _3810_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.332:0.332:0.332) (0.318:0.320:0.321))
+    (IOPATH A2 X (0.323:0.341:0.359) (0.336:0.339:0.343))
+    (IOPATH B1_N X (0.381:0.381:0.381) (0.319:0.320:0.320))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _3811_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.210:0.215:0.221) (0.196:0.207:0.218))
+    (IOPATH B X (0.189:0.189:0.189) (0.222:0.222:0.223))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3b_1")
+  (INSTANCE _3812_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.267:0.268:0.268) (0.085:0.085:0.085))
+    (IOPATH B Y (0.243:0.247:0.251) (0.090:0.092:0.093))
+    (IOPATH C_N Y (0.257:0.268:0.279) (0.157:0.160:0.162))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3813_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.196:0.196:0.197) (0.202:0.202:0.203))
+    (IOPATH A Y (0.271:0.271:0.271) (0.154:0.154:0.155))
+    (IOPATH B Y (0.159:0.170:0.181) (0.152:0.157:0.162))
+    (IOPATH B Y (0.210:0.214:0.219) (0.096:0.109:0.123))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _3814_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.194:0.194:0.194) (0.226:0.226:0.227))
+    (IOPATH A2 X (0.194:0.194:0.194) (0.197:0.202:0.206))
+    (IOPATH B1 X (0.176:0.190:0.203) (0.139:0.147:0.155))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _3815_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.197:0.198:0.200) (0.217:0.221:0.226))
+    (IOPATH A2 X (0.156:0.156:0.157) (0.207:0.214:0.222))
+    (IOPATH B1_N X (0.236:0.237:0.238) (0.166:0.166:0.166))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _3816_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.146:0.146:0.147) (0.188:0.188:0.188))
+    (IOPATH A2 X (0.143:0.143:0.143) (0.180:0.181:0.182))
+    (IOPATH B1_N X (0.226:0.227:0.228) (0.159:0.159:0.159))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3b_1")
+  (INSTANCE _3817_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.104:0.105:0.105) (0.368:0.368:0.368))
+    (IOPATH B X (0.118:0.118:0.118) (0.349:0.350:0.351))
+    (IOPATH C_N X (0.159:0.160:0.161) (0.348:0.348:0.348))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _3818_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.254:0.255:0.256) (0.221:0.221:0.221))
+    (IOPATH B X (0.186:0.186:0.186) (0.206:0.206:0.206))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3819_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.123:0.123:0.123) (0.115:0.115:0.115))
+    (IOPATH B Y (0.172:0.172:0.172) (0.146:0.146:0.146))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _3820_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.161:0.161:0.161) (0.172:0.172:0.172))
+    (IOPATH A Y (0.237:0.237:0.237) (0.114:0.114:0.114))
+    (IOPATH B Y (0.146:0.148:0.151) (0.170:0.173:0.176))
+    (IOPATH B Y (0.214:0.216:0.219) (0.094:0.096:0.099))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _3821_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.073:0.073:0.074) (0.053:0.053:0.053))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3822_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.313:0.318:0.322) (0.121:0.123:0.126))
+    (IOPATH B Y (0.291:0.291:0.291) (0.096:0.098:0.101))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3823_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.112:0.115:0.117) (0.104:0.121:0.139))
+    (IOPATH B Y (0.115:0.118:0.121) (0.099:0.114:0.129))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o31ai_4")
+  (INSTANCE _3824_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.359:0.359:0.359) (0.102:0.103:0.103))
+    (IOPATH A2 Y (0.353:0.355:0.357) (0.150:0.150:0.150))
+    (IOPATH A3 Y (0.302:0.305:0.308) (0.115:0.115:0.115))
+    (IOPATH B1 Y (0.102:0.109:0.116) (0.109:0.110:0.111))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _3825_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.213:0.213:0.213) (0.144:0.144:0.144))
+    (IOPATH A2 Y (0.192:0.192:0.192) (0.112:0.112:0.112))
+    (IOPATH B1 Y (0.173:0.173:0.173) (0.099:0.099:0.099))
+    (IOPATH B2 Y (0.221:0.221:0.221) (0.115:0.115:0.115))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3826_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.257:0.257:0.257) (0.212:0.212:0.212))
+    (IOPATH B X (0.293:0.293:0.293) (0.266:0.266:0.266))
+    (IOPATH C X (0.260:0.260:0.260) (0.234:0.234:0.234))
+    (IOPATH D X (0.273:0.273:0.273) (0.275:0.275:0.275))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _3827_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.160:0.162:0.164) (0.263:0.269:0.275))
+    (IOPATH B X (0.150:0.150:0.150) (0.242:0.243:0.244))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3828_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.414:0.414:0.414) (0.336:0.336:0.336))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _3829_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.207:0.207:0.207) (0.249:0.249:0.249))
+    (IOPATH A2 X (0.192:0.192:0.192) (0.270:0.270:0.270))
+    (IOPATH B1 X (0.211:0.211:0.211) (0.250:0.250:0.250))
+    (IOPATH B2 X (0.189:0.189:0.189) (0.245:0.245:0.245))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3830_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.248:0.248:0.248) (0.189:0.189:0.189))
+    (IOPATH B X (0.240:0.240:0.240) (0.213:0.213:0.213))
+    (IOPATH C X (0.245:0.245:0.245) (0.232:0.232:0.232))
+    (IOPATH D X (0.253:0.253:0.253) (0.248:0.248:0.248))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _3831_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.239:0.239:0.239) (0.268:0.268:0.268))
+    (IOPATH A2 X (0.230:0.230:0.230) (0.278:0.278:0.278))
+    (IOPATH A3 X (0.196:0.196:0.196) (0.252:0.252:0.252))
+    (IOPATH B1 X (0.154:0.154:0.154) (0.217:0.218:0.219))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _3832_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.240:0.240:0.240) (0.328:0.328:0.329))
+    (IOPATH B_N X (0.291:0.291:0.292) (0.335:0.336:0.336))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3833_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.160:0.161:0.162) (0.153:0.153:0.154))
+    (IOPATH A Y (0.228:0.228:0.229) (0.106:0.106:0.107))
+    (IOPATH B Y (0.157:0.157:0.157) (0.155:0.155:0.155))
+    (IOPATH B Y (0.214:0.214:0.214) (0.094:0.094:0.094))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+  (INSTANCE _3834_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.159:0.160:0.161) (0.148:0.148:0.149))
+    (IOPATH B Y (0.162:0.164:0.166) (0.135:0.151:0.167))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _3835_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.225:0.225:0.225) (0.283:0.283:0.283))
+    (IOPATH A2 X (0.197:0.197:0.197) (0.265:0.265:0.265))
+    (IOPATH B1 X (0.210:0.210:0.210) (0.266:0.266:0.266))
+    (IOPATH B2 X (0.167:0.167:0.167) (0.230:0.230:0.230))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_1")
+  (INSTANCE _3836_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.154:0.154:0.154) (0.155:0.155:0.155))
+    (IOPATH B Y (0.164:0.164:0.164) (0.170:0.170:0.170))
+    (IOPATH C Y (0.131:0.131:0.131) (0.140:0.140:0.140))
+    (IOPATH D Y (0.185:0.185:0.185) (0.162:0.162:0.162))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _3837_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.163:0.163:0.163) (0.163:0.163:0.163))
+    (IOPATH B X (0.196:0.196:0.196) (0.201:0.201:0.201))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3838_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.166:0.166:0.166) (0.079:0.080:0.081))
+    (IOPATH A2 Y (0.202:0.208:0.214) (0.089:0.092:0.094))
+    (IOPATH B1 Y (0.159:0.159:0.160) (0.063:0.063:0.064))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3839_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.220:0.221:0.222) (0.186:0.187:0.187))
+    (IOPATH B X (0.232:0.234:0.236) (0.228:0.235:0.243))
+    (IOPATH C X (0.235:0.235:0.236) (0.224:0.224:0.224))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3840_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.168:0.171:0.173) (0.091:0.093:0.095))
+    (IOPATH B Y (0.164:0.164:0.165) (0.084:0.084:0.084))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3841_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.632:0.632:0.632) (0.416:0.416:0.416))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3842_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.620:0.620:0.620) (0.484:0.484:0.484))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3843_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.247:0.247:0.247) (0.231:0.231:0.231))
+    (IOPATH B X (0.278:0.278:0.278) (0.313:0.313:0.313))
+    (IOPATH C X (0.209:0.210:0.212) (0.229:0.235:0.240))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3844_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.187:0.187:0.187) (0.160:0.161:0.162))
+    (IOPATH A Y (0.251:0.252:0.253) (0.133:0.133:0.133))
+    (IOPATH B Y (0.171:0.172:0.173) (0.157:0.157:0.158))
+    (IOPATH B Y (0.232:0.233:0.233) (0.105:0.105:0.106))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3845_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.206:0.207:0.207) (0.124:0.124:0.124))
+    (IOPATH A2 Y (0.219:0.221:0.223) (0.110:0.111:0.111))
+    (IOPATH B1 Y (0.174:0.177:0.179) (0.071:0.082:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3846_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.119:0.119:0.119) (0.122:0.122:0.122))
+    (IOPATH B Y (0.129:0.131:0.134) (0.112:0.113:0.113))
+    (IOPATH C Y (0.106:0.109:0.113) (0.103:0.115:0.128))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _3847_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.224:0.232:0.241) (0.222:0.223:0.225))
+    (IOPATH B X (0.161:0.162:0.163) (0.187:0.193:0.199))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+  (INSTANCE _3848_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.231:0.236:0.241) (0.101:0.127:0.153))
+    (IOPATH A X (0.204:0.221:0.239) (0.201:0.206:0.211))
+    (IOPATH B X (0.197:0.197:0.197) (0.094:0.094:0.094))
+    (IOPATH B X (0.211:0.211:0.211) (0.165:0.165:0.165))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _3849_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.246:0.246:0.246) (0.282:0.282:0.282))
+    (IOPATH A2 X (0.220:0.220:0.220) (0.288:0.288:0.288))
+    (IOPATH B1 X (0.211:0.211:0.211) (0.240:0.240:0.240))
+    (IOPATH B2 X (0.222:0.222:0.222) (0.258:0.258:0.258))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_1")
+  (INSTANCE _3850_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.140:0.140:0.140) (0.174:0.174:0.174))
+    (IOPATH B Y (0.159:0.159:0.159) (0.182:0.182:0.182))
+    (IOPATH C Y (0.170:0.170:0.170) (0.187:0.187:0.187))
+    (IOPATH D Y (0.191:0.191:0.191) (0.197:0.197:0.197))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _3851_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.235:0.235:0.235) (0.222:0.222:0.222))
+    (IOPATH B X (0.209:0.209:0.209) (0.215:0.215:0.215))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3852_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.159:0.159:0.159) (0.189:0.189:0.189))
+    (IOPATH A2 X (0.160:0.163:0.165) (0.237:0.238:0.238))
+    (IOPATH B1 X (0.131:0.131:0.131) (0.181:0.181:0.181))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3853_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.122:0.122:0.122) (0.128:0.128:0.129))
+    (IOPATH B Y (0.170:0.171:0.172) (0.132:0.135:0.138))
+    (IOPATH C Y (0.128:0.128:0.128) (0.133:0.133:0.133))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3854_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.350:0.350:0.350) (0.289:0.289:0.289))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3855_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.254:0.254:0.254) (0.225:0.225:0.225))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3856_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.072:0.072:0.072) (0.069:0.069:0.069))
+    (IOPATH B Y (0.084:0.084:0.084) (0.069:0.069:0.069))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3857_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.232:0.232:0.232) (0.193:0.193:0.193))
+    (IOPATH B X (0.256:0.256:0.256) (0.261:0.261:0.261))
+    (IOPATH C X (0.193:0.194:0.194) (0.200:0.203:0.205))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3858_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.254:0.254:0.255) (0.202:0.202:0.203))
+    (IOPATH B X (0.267:0.270:0.272) (0.246:0.247:0.248))
+    (IOPATH C X (0.269:0.269:0.269) (0.240:0.241:0.242))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3859_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.262:0.262:0.262) (0.209:0.209:0.209))
+    (IOPATH B X (0.297:0.297:0.297) (0.251:0.251:0.251))
+    (IOPATH C X (0.280:0.280:0.280) (0.252:0.252:0.252))
+    (IOPATH D X (0.271:0.271:0.271) (0.247:0.247:0.247))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3860_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.092:0.092:0.092) (0.093:0.093:0.093))
+    (IOPATH B Y (0.099:0.099:0.099) (0.094:0.094:0.094))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3861_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.147:0.147:0.147) (0.145:0.145:0.145))
+    (IOPATH B Y (0.188:0.188:0.188) (0.164:0.164:0.164))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3862_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.222:0.222:0.222) (0.186:0.186:0.186))
+    (IOPATH B X (0.256:0.256:0.256) (0.228:0.228:0.228))
+    (IOPATH C X (0.252:0.252:0.252) (0.229:0.229:0.229))
+    (IOPATH D X (0.262:0.262:0.262) (0.271:0.271:0.271))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3863_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.207:0.209:0.211) (0.087:0.088:0.088))
+    (IOPATH A2 Y (0.247:0.248:0.249) (0.115:0.116:0.117))
+    (IOPATH B1 Y (0.196:0.196:0.197) (0.071:0.072:0.072))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3864_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.134:0.134:0.134) (0.113:0.113:0.113))
+    (IOPATH B Y (0.101:0.101:0.101) (0.097:0.097:0.097))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3865_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.193:0.195:0.196) (0.160:0.166:0.171))
+    (IOPATH A Y (0.253:0.258:0.263) (0.141:0.144:0.146))
+    (IOPATH B Y (0.164:0.167:0.169) (0.162:0.168:0.175))
+    (IOPATH B Y (0.238:0.244:0.250) (0.098:0.100:0.103))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _3866_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.209:0.209:0.209) (0.236:0.237:0.237))
+    (IOPATH A2 X (0.183:0.183:0.183) (0.212:0.213:0.214))
+    (IOPATH B1 X (0.190:0.205:0.219) (0.150:0.155:0.159))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _3867_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.174:0.190:0.206) (0.430:0.433:0.437))
+    (IOPATH B X (0.187:0.187:0.187) (0.411:0.412:0.413))
+    (IOPATH C X (0.173:0.174:0.174) (0.367:0.367:0.368))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _3868_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.236:0.237:0.238) (0.218:0.219:0.219))
+    (IOPATH B X (0.175:0.175:0.175) (0.207:0.207:0.207))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _3869_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.156:0.156:0.157) (0.200:0.201:0.201))
+    (IOPATH A2 X (0.156:0.156:0.156) (0.218:0.218:0.218))
+    (IOPATH B1_N X (0.249:0.249:0.250) (0.238:0.240:0.242))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3870_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.113:0.113:0.113) (0.091:0.091:0.091))
+    (IOPATH B Y (0.110:0.110:0.110) (0.087:0.087:0.087))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3871_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.154:0.154:0.154) (0.130:0.130:0.130))
+    (IOPATH B Y (0.141:0.141:0.141) (0.119:0.119:0.119))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3872_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.305:0.305:0.305) (0.235:0.235:0.235))
+    (IOPATH B X (0.342:0.342:0.342) (0.290:0.290:0.290))
+    (IOPATH C X (0.321:0.321:0.321) (0.289:0.289:0.289))
+    (IOPATH D X (0.305:0.305:0.305) (0.275:0.275:0.275))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3873_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.145:0.146:0.147) (0.184:0.188:0.192))
+    (IOPATH A2 X (0.157:0.159:0.160) (0.215:0.221:0.226))
+    (IOPATH B1 X (0.144:0.144:0.144) (0.193:0.194:0.195))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3874_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.169:0.169:0.170) (0.161:0.161:0.162))
+    (IOPATH A Y (0.255:0.256:0.256) (0.111:0.111:0.111))
+    (IOPATH B Y (0.171:0.172:0.172) (0.160:0.160:0.160))
+    (IOPATH B Y (0.238:0.239:0.239) (0.104:0.105:0.105))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3875_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.139:0.140:0.141) (0.072:0.072:0.072))
+    (IOPATH B Y (0.133:0.134:0.136) (0.069:0.080:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _3876_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.262:0.262:0.263) (0.210:0.212:0.213))
+    (IOPATH B X (0.267:0.281:0.295) (0.240:0.242:0.245))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3877_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.250:0.254:0.259) (0.107:0.108:0.108))
+    (IOPATH B Y (0.260:0.260:0.260) (0.130:0.130:0.130))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+  (INSTANCE _3878_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.221:0.221:0.221) (0.100:0.100:0.100))
+    (IOPATH A X (0.200:0.200:0.200) (0.193:0.194:0.194))
+    (IOPATH B X (0.201:0.205:0.209) (0.143:0.143:0.143))
+    (IOPATH B X (0.231:0.231:0.231) (0.173:0.177:0.181))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+  (INSTANCE _3879_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.193:0.194:0.194) (0.076:0.076:0.077))
+    (IOPATH A2 Y (0.224:0.225:0.226) (0.088:0.090:0.093))
+    (IOPATH B1 Y (0.175:0.176:0.177) (0.061:0.061:0.062))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _3880_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.288:0.290:0.291) (0.246:0.246:0.247))
+    (IOPATH B X (0.228:0.228:0.229) (0.220:0.220:0.221))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3881_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.216:0.216:0.216) (0.200:0.200:0.200))
+    (IOPATH B Y (0.199:0.199:0.199) (0.176:0.176:0.176))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_4")
+  (INSTANCE _3882_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.182:0.182:0.182) (0.193:0.194:0.194))
+    (IOPATH A Y (0.277:0.277:0.277) (0.133:0.133:0.133))
+    (IOPATH B Y (0.178:0.178:0.179) (0.195:0.200:0.204))
+    (IOPATH B Y (0.267:0.271:0.276) (0.119:0.120:0.120))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _3883_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.182:0.182:0.182) (0.099:0.099:0.099))
+    (IOPATH A2 Y (0.198:0.198:0.198) (0.106:0.106:0.106))
+    (IOPATH B1 Y (0.197:0.197:0.197) (0.111:0.111:0.111))
+    (IOPATH B2 Y (0.169:0.169:0.169) (0.093:0.093:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_2")
+  (INSTANCE _3884_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.199:0.199:0.199) (0.362:0.363:0.364))
+    (IOPATH B X (0.197:0.198:0.199) (0.339:0.346:0.354))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _3885_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.210:0.210:0.210) (0.187:0.187:0.187))
+    (IOPATH B X (0.187:0.187:0.187) (0.194:0.194:0.194))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3886_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.264:0.264:0.264) (0.230:0.230:0.230))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _3887_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.194:0.194:0.194) (0.253:0.253:0.253))
+    (IOPATH A2 X (0.201:0.201:0.201) (0.277:0.277:0.277))
+    (IOPATH B1 X (0.189:0.189:0.189) (0.226:0.226:0.226))
+    (IOPATH B2 X (0.197:0.197:0.197) (0.241:0.241:0.241))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_1")
+  (INSTANCE _3888_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.133:0.133:0.133) (0.145:0.145:0.145))
+    (IOPATH B Y (0.149:0.149:0.149) (0.171:0.171:0.171))
+    (IOPATH C Y (0.160:0.160:0.160) (0.163:0.163:0.163))
+    (IOPATH D Y (0.152:0.152:0.152) (0.166:0.166:0.166))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _3889_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.215:0.215:0.215) (0.234:0.234:0.234))
+    (IOPATH A2 X (0.210:0.210:0.210) (0.257:0.257:0.258))
+    (IOPATH B1_N X (0.302:0.304:0.305) (0.275:0.278:0.280))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_4")
+  (INSTANCE _3890_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.172:0.172:0.172) (0.196:0.196:0.196))
+    (IOPATH A Y (0.278:0.278:0.278) (0.122:0.122:0.122))
+    (IOPATH B Y (0.178:0.178:0.178) (0.179:0.179:0.180))
+    (IOPATH B Y (0.252:0.252:0.253) (0.120:0.120:0.120))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _3891_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.119:0.119:0.119) (0.241:0.241:0.241))
+    (IOPATH B_N X (0.175:0.175:0.175) (0.248:0.248:0.249))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21boi_4")
+  (INSTANCE _3892_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.240:0.243:0.247) (0.088:0.108:0.127))
+    (IOPATH A2 Y (0.257:0.260:0.262) (0.091:0.109:0.126))
+    (IOPATH B1_N Y (0.260:0.260:0.260) (0.164:0.164:0.164))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _3893_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.144:0.144:0.144) (0.249:0.250:0.251))
+    (IOPATH B X (0.127:0.140:0.153) (0.226:0.228:0.230))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+  (INSTANCE _3894_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.174:0.177:0.180) (0.142:0.143:0.144))
+    (IOPATH B Y (0.154:0.154:0.154) (0.117:0.117:0.117))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_2")
+  (INSTANCE _3895_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.199:0.199:0.199) (0.361:0.362:0.362))
+    (IOPATH B X (0.195:0.196:0.196) (0.324:0.326:0.328))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_4")
+  (INSTANCE _3896_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.163:0.163:0.163) (0.187:0.187:0.187))
+    (IOPATH A Y (0.264:0.264:0.264) (0.113:0.113:0.113))
+    (IOPATH B Y (0.182:0.183:0.184) (0.157:0.166:0.174))
+    (IOPATH B Y (0.226:0.234:0.241) (0.124:0.125:0.125))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o32ai_4")
+  (INSTANCE _3897_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.332:0.332:0.333) (0.133:0.133:0.134))
+    (IOPATH A2 Y (0.299:0.302:0.304) (0.127:0.127:0.127))
+    (IOPATH A3 Y (0.259:0.265:0.271) (0.120:0.120:0.121))
+    (IOPATH B1 Y (0.178:0.180:0.183) (0.123:0.123:0.124))
+    (IOPATH B2 Y (0.138:0.140:0.143) (0.095:0.116:0.138))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+  (INSTANCE _3898_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.374:0.385:0.396) (0.180:0.183:0.186))
+    (IOPATH A X (0.370:0.372:0.374) (0.233:0.243:0.254))
+    (IOPATH B X (0.354:0.360:0.366) (0.177:0.192:0.207))
+    (IOPATH B X (0.374:0.379:0.385) (0.211:0.217:0.223))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _3899_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.207:0.209:0.211) (0.196:0.206:0.217))
+    (IOPATH A Y (0.320:0.329:0.339) (0.160:0.162:0.165))
+    (IOPATH B Y (0.228:0.229:0.230) (0.208:0.222:0.236))
+    (IOPATH B Y (0.311:0.325:0.338) (0.190:0.191:0.193))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_1")
+  (INSTANCE _3900_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.192:0.193:0.193) (0.076:0.076:0.076))
+    (IOPATH B Y (0.167:0.171:0.175) (0.083:0.083:0.083))
+    (IOPATH C Y (0.138:0.144:0.149) (0.053:0.061:0.070))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3901_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.201:0.202:0.203) (0.087:0.087:0.087))
+    (IOPATH B Y (0.177:0.181:0.186) (0.094:0.094:0.094))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_4")
+  (INSTANCE _3902_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.261:0.263:0.266) (0.120:0.120:0.120))
+    (IOPATH A X (0.234:0.235:0.235) (0.224:0.226:0.229))
+    (IOPATH B X (0.221:0.224:0.226) (0.096:0.116:0.136))
+    (IOPATH B X (0.227:0.236:0.245) (0.180:0.183:0.186))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_4")
+  (INSTANCE _3903_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.169:0.169:0.169) (0.193:0.194:0.196))
+    (IOPATH A Y (0.258:0.260:0.261) (0.120:0.120:0.121))
+    (IOPATH B Y (0.157:0.172:0.187) (0.170:0.174:0.178))
+    (IOPATH B Y (0.226:0.230:0.234) (0.100:0.115:0.130))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_4")
+  (INSTANCE _3904_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.157:0.172:0.186) (0.179:0.183:0.187))
+    (IOPATH A Y (0.249:0.253:0.256) (0.108:0.124:0.140))
+    (IOPATH B Y (0.153:0.168:0.183) (0.164:0.167:0.170))
+    (IOPATH B Y (0.224:0.227:0.229) (0.097:0.111:0.126))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3905_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.140:0.140:0.140) (0.119:0.119:0.119))
+    (IOPATH B Y (0.109:0.109:0.109) (0.104:0.104:0.104))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _3906_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.205:0.206:0.207) (0.198:0.198:0.198))
+    (IOPATH B X (0.146:0.146:0.146) (0.172:0.172:0.172))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3907_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.178:0.180:0.182) (0.172:0.179:0.185))
+    (IOPATH A Y (0.280:0.285:0.291) (0.115:0.117:0.119))
+    (IOPATH B Y (0.174:0.174:0.174) (0.160:0.160:0.160))
+    (IOPATH B Y (0.253:0.253:0.253) (0.103:0.103:0.103))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3908_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.127:0.128:0.130) (0.105:0.108:0.111))
+    (IOPATH B Y (0.111:0.112:0.112) (0.114:0.114:0.114))
+    (IOPATH C Y (0.110:0.110:0.110) (0.109:0.109:0.110))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3909_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.144:0.146:0.149) (0.203:0.204:0.205))
+    (IOPATH A2 X (0.143:0.143:0.143) (0.196:0.196:0.196))
+    (IOPATH B1 X (0.120:0.120:0.120) (0.172:0.173:0.173))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3910_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.154:0.154:0.154) (0.136:0.136:0.136))
+    (IOPATH B Y (0.119:0.119:0.119) (0.103:0.103:0.103))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _3911_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.210:0.210:0.210) (0.120:0.120:0.120))
+    (IOPATH A2 Y (0.239:0.239:0.239) (0.113:0.113:0.113))
+    (IOPATH B1 Y (0.179:0.179:0.179) (0.086:0.086:0.086))
+    (IOPATH B2 Y (0.201:0.201:0.201) (0.096:0.096:0.096))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3912_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.264:0.264:0.264) (0.211:0.211:0.211))
+    (IOPATH B X (0.261:0.261:0.261) (0.219:0.219:0.219))
+    (IOPATH C X (0.261:0.261:0.261) (0.244:0.244:0.244))
+    (IOPATH D X (0.257:0.257:0.257) (0.248:0.248:0.248))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _3913_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.205:0.212:0.219) (0.103:0.105:0.106))
+    (IOPATH A2 Y (0.189:0.191:0.193) (0.107:0.110:0.112))
+    (IOPATH B1_N Y (0.151:0.151:0.151) (0.177:0.179:0.180))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3914_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.178:0.179:0.180) (0.209:0.210:0.211))
+    (IOPATH A2 X (0.175:0.175:0.176) (0.216:0.216:0.216))
+    (IOPATH B1 X (0.155:0.163:0.171) (0.201:0.203:0.204))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3915_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.145:0.147:0.148) (0.139:0.140:0.141))
+    (IOPATH B Y (0.145:0.146:0.146) (0.140:0.140:0.141))
+    (IOPATH C Y (0.151:0.152:0.153) (0.152:0.162:0.172))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _3916_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.229:0.244:0.258) (0.247:0.249:0.251))
+    (IOPATH A2 X (0.227:0.227:0.228) (0.265:0.265:0.265))
+    (IOPATH B1_N X (0.314:0.315:0.316) (0.286:0.287:0.289))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_4")
+  (INSTANCE _3917_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.233:0.238:0.243) (0.098:0.114:0.130))
+    (IOPATH A X (0.208:0.220:0.232) (0.205:0.210:0.215))
+    (IOPATH B X (0.220:0.220:0.220) (0.118:0.118:0.119))
+    (IOPATH B X (0.228:0.228:0.228) (0.189:0.189:0.190))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _3918_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.102:0.119:0.135) (0.225:0.230:0.235))
+    (IOPATH B_N X (0.172:0.173:0.173) (0.246:0.246:0.246))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _3919_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.235:0.252:0.268) (0.258:0.261:0.265))
+    (IOPATH A2 X (0.242:0.243:0.244) (0.235:0.242:0.250))
+    (IOPATH B1 X (0.216:0.216:0.217) (0.165:0.165:0.165))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_4")
+  (INSTANCE _3920_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.209:0.210:0.212) (0.198:0.208:0.219))
+    (IOPATH A Y (0.320:0.329:0.338) (0.163:0.165:0.166))
+    (IOPATH B Y (0.205:0.205:0.205) (0.204:0.205:0.206))
+    (IOPATH B Y (0.312:0.313:0.314) (0.148:0.148:0.148))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _3921_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.318:0.318:0.318) (0.370:0.371:0.372))
+    (IOPATH B_N X (0.341:0.352:0.363) (0.382:0.383:0.384))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21boi_2")
+  (INSTANCE _3922_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.192:0.193:0.194) (0.107:0.107:0.107))
+    (IOPATH A2 Y (0.222:0.226:0.231) (0.093:0.112:0.130))
+    (IOPATH B1_N Y (0.280:0.280:0.280) (0.229:0.229:0.229))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+  (INSTANCE _3923_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.238:0.244:0.250) (0.109:0.130:0.152))
+    (IOPATH A X (0.204:0.218:0.232) (0.214:0.220:0.225))
+    (IOPATH B X (0.188:0.194:0.201) (0.114:0.115:0.115))
+    (IOPATH B X (0.214:0.214:0.215) (0.163:0.169:0.176))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _3924_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.164:0.178:0.192) (0.183:0.186:0.188))
+    (IOPATH A Y (0.274:0.276:0.278) (0.115:0.131:0.147))
+    (IOPATH B Y (0.178:0.180:0.182) (0.169:0.180:0.190))
+    (IOPATH B Y (0.241:0.250:0.259) (0.126:0.128:0.131))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3925_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.102:0.102:0.102) (0.092:0.092:0.092))
+    (IOPATH B Y (0.150:0.150:0.150) (0.120:0.120:0.120))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3926_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.177:0.177:0.177) (0.163:0.163:0.164))
+    (IOPATH A Y (0.264:0.264:0.264) (0.116:0.116:0.116))
+    (IOPATH B Y (0.169:0.173:0.176) (0.166:0.169:0.173))
+    (IOPATH B Y (0.250:0.253:0.256) (0.100:0.103:0.107))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3927_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.185:0.185:0.185) (0.155:0.157:0.158))
+    (IOPATH A Y (0.236:0.237:0.238) (0.136:0.136:0.136))
+    (IOPATH B Y (0.172:0.188:0.204) (0.175:0.179:0.184))
+    (IOPATH B Y (0.237:0.242:0.247) (0.108:0.130:0.151))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_1")
+  (INSTANCE _3928_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.172:0.172:0.172) (0.228:0.228:0.229))
+    (IOPATH A2 X (0.163:0.164:0.166) (0.211:0.215:0.218))
+    (IOPATH B1 X (0.176:0.177:0.179) (0.179:0.181:0.182))
+    (IOPATH C1 X (0.162:0.164:0.166) (0.147:0.148:0.148))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3929_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.195:0.201:0.207) (0.139:0.141:0.143))
+    (IOPATH B Y (0.167:0.169:0.170) (0.059:0.059:0.060))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_4")
+  (INSTANCE _3930_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.152:0.166:0.179) (0.173:0.177:0.182))
+    (IOPATH A Y (0.242:0.246:0.250) (0.104:0.118:0.132))
+    (IOPATH B Y (0.170:0.171:0.173) (0.150:0.158:0.166))
+    (IOPATH B Y (0.211:0.218:0.224) (0.113:0.114:0.115))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3931_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.151:0.153:0.155) (0.161:0.167:0.174))
+    (IOPATH B X (0.168:0.168:0.169) (0.170:0.171:0.172))
+    (IOPATH C X (0.153:0.153:0.153) (0.175:0.175:0.176))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3932_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.131:0.137:0.143) (0.067:0.069:0.071))
+    (IOPATH A2 Y (0.130:0.130:0.131) (0.064:0.065:0.065))
+    (IOPATH B1 Y (0.112:0.113:0.114) (0.052:0.052:0.052))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _3933_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.163:0.163:0.163) (0.272:0.272:0.273))
+    (IOPATH B X (0.172:0.174:0.177) (0.256:0.257:0.259))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3934_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.123:0.124:0.125) (0.108:0.109:0.111))
+    (IOPATH B Y (0.116:0.118:0.121) (0.112:0.128:0.144))
+    (IOPATH C Y (0.108:0.109:0.109) (0.111:0.111:0.111))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3935_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.142:0.143:0.145) (0.198:0.199:0.200))
+    (IOPATH A2 X (0.142:0.142:0.142) (0.193:0.193:0.194))
+    (IOPATH B1 X (0.114:0.125:0.135) (0.175:0.177:0.179))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3936_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.129:0.130:0.131) (0.065:0.066:0.066))
+    (IOPATH B Y (0.126:0.131:0.135) (0.079:0.080:0.081))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3937_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.181:0.183:0.184) (0.186:0.188:0.190))
+    (IOPATH A Y (0.290:0.291:0.293) (0.119:0.121:0.122))
+    (IOPATH B Y (0.187:0.187:0.188) (0.157:0.161:0.166))
+    (IOPATH B Y (0.248:0.252:0.256) (0.116:0.116:0.117))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _3938_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.140:0.140:0.140) (0.391:0.392:0.393))
+    (IOPATH B X (0.130:0.133:0.135) (0.372:0.379:0.385))
+    (IOPATH C X (0.148:0.150:0.152) (0.334:0.336:0.337))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _3939_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.177:0.178:0.179) (0.100:0.101:0.101))
+    (IOPATH A2 Y (0.168:0.170:0.172) (0.100:0.102:0.104))
+    (IOPATH B1 Y (0.114:0.122:0.131) (0.090:0.092:0.095))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3940_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.167:0.167:0.167) (0.152:0.152:0.152))
+    (IOPATH B Y (0.120:0.120:0.120) (0.114:0.114:0.114))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _3941_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.206:0.206:0.206) (0.119:0.119:0.119))
+    (IOPATH A2 Y (0.239:0.239:0.239) (0.120:0.120:0.120))
+    (IOPATH B1 Y (0.174:0.174:0.174) (0.084:0.084:0.084))
+    (IOPATH B2 Y (0.201:0.201:0.201) (0.088:0.088:0.088))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3942_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.244:0.244:0.244) (0.187:0.187:0.187))
+    (IOPATH B X (0.251:0.251:0.251) (0.222:0.222:0.222))
+    (IOPATH C X (0.244:0.244:0.244) (0.232:0.232:0.232))
+    (IOPATH D X (0.249:0.249:0.249) (0.245:0.245:0.245))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _3943_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.209:0.216:0.223) (0.106:0.108:0.109))
+    (IOPATH A2 Y (0.188:0.191:0.193) (0.107:0.109:0.111))
+    (IOPATH B1_N Y (0.148:0.148:0.148) (0.176:0.177:0.178))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3944_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.175:0.175:0.176) (0.211:0.211:0.211))
+    (IOPATH A2 X (0.195:0.200:0.204) (0.225:0.226:0.228))
+    (IOPATH B1 X (0.160:0.168:0.175) (0.204:0.206:0.207))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3945_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.161:0.161:0.161) (0.149:0.149:0.149))
+    (IOPATH B Y (0.169:0.171:0.172) (0.173:0.178:0.184))
+    (IOPATH C Y (0.166:0.167:0.169) (0.169:0.179:0.189))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _3946_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.138:0.152:0.166) (0.188:0.190:0.191))
+    (IOPATH A2 X (0.138:0.138:0.138) (0.206:0.206:0.207))
+    (IOPATH B1_N X (0.233:0.234:0.235) (0.230:0.231:0.233))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3947_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.278:0.283:0.288) (0.116:0.117:0.118))
+    (IOPATH A2 Y (0.282:0.283:0.283) (0.111:0.111:0.111))
+    (IOPATH B1 Y (0.258:0.259:0.259) (0.071:0.072:0.072))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3948_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.216:0.216:0.217) (0.193:0.198:0.203))
+    (IOPATH B X (0.213:0.213:0.213) (0.197:0.198:0.198))
+    (IOPATH C X (0.217:0.218:0.218) (0.213:0.213:0.213))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_2")
+  (INSTANCE _3949_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.232:0.232:0.232) (0.272:0.272:0.272))
+    (IOPATH A2 X (0.245:0.246:0.247) (0.246:0.253:0.261))
+    (IOPATH B1_N X (0.309:0.310:0.311) (0.218:0.218:0.218))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_4")
+  (INSTANCE _3950_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.316:0.320:0.324) (0.129:0.146:0.164))
+    (IOPATH A X (0.293:0.305:0.318) (0.228:0.232:0.237))
+    (IOPATH B X (0.292:0.293:0.295) (0.138:0.138:0.138))
+    (IOPATH B X (0.305:0.305:0.305) (0.197:0.199:0.200))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3951_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.446:0.450:0.454) (0.143:0.168:0.194))
+    (IOPATH B Y (0.430:0.432:0.433) (0.158:0.158:0.158))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3952_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.167:0.167:0.167) (0.172:0.184:0.197))
+    (IOPATH A2 X (0.192:0.193:0.194) (0.204:0.213:0.223))
+    (IOPATH B1 X (0.166:0.166:0.166) (0.206:0.210:0.213))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3953_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.195:0.206:0.218) (0.179:0.185:0.192))
+    (IOPATH A Y (0.297:0.303:0.309) (0.130:0.146:0.162))
+    (IOPATH B Y (0.185:0.186:0.186) (0.168:0.168:0.168))
+    (IOPATH B Y (0.271:0.272:0.272) (0.111:0.112:0.112))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _3954_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.104:0.117:0.130) (0.219:0.224:0.230))
+    (IOPATH B_N X (0.144:0.144:0.144) (0.221:0.221:0.221))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21boi_2")
+  (INSTANCE _3955_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.217:0.219:0.221) (0.096:0.111:0.127))
+    (IOPATH A2 Y (0.231:0.236:0.240) (0.102:0.118:0.134))
+    (IOPATH B1_N Y (0.221:0.222:0.222) (0.174:0.174:0.174))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+  (INSTANCE _3956_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.246:0.250:0.253) (0.107:0.128:0.148))
+    (IOPATH A X (0.215:0.229:0.243) (0.209:0.213:0.216))
+    (IOPATH B X (0.208:0.215:0.222) (0.127:0.127:0.127))
+    (IOPATH B X (0.235:0.235:0.235) (0.169:0.177:0.184))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _3957_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.178:0.178:0.179) (0.189:0.190:0.190))
+    (IOPATH A Y (0.269:0.270:0.270) (0.132:0.133:0.133))
+    (IOPATH B Y (0.177:0.179:0.181) (0.168:0.176:0.185))
+    (IOPATH B Y (0.230:0.237:0.245) (0.127:0.129:0.131))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _3958_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.413:0.413:0.413) (0.292:0.292:0.292))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3959_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.317:0.317:0.317) (0.227:0.227:0.227))
+    (IOPATH B X (0.300:0.300:0.300) (0.242:0.242:0.242))
+    (IOPATH C X (0.313:0.313:0.313) (0.268:0.268:0.268))
+    (IOPATH D X (0.318:0.318:0.318) (0.281:0.281:0.281))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3960_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.145:0.145:0.145) (0.139:0.139:0.139))
+    (IOPATH B Y (0.124:0.124:0.124) (0.120:0.120:0.120))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _3961_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.169:0.169:0.169) (0.108:0.108:0.108))
+    (IOPATH A2 Y (0.188:0.188:0.188) (0.115:0.115:0.115))
+    (IOPATH B1 Y (0.171:0.171:0.171) (0.097:0.097:0.097))
+    (IOPATH B2 Y (0.202:0.202:0.202) (0.115:0.115:0.115))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _3962_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.182:0.184:0.186) (0.279:0.285:0.290))
+    (IOPATH B X (0.192:0.192:0.192) (0.272:0.273:0.274))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3963_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.157:0.161:0.166) (0.068:0.069:0.070))
+    (IOPATH B Y (0.144:0.144:0.144) (0.072:0.072:0.072))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3964_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.424:0.424:0.424) (0.339:0.339:0.339))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _3965_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.241:0.241:0.241) (0.170:0.170:0.170))
+    (IOPATH A2 Y (0.271:0.271:0.271) (0.161:0.161:0.161))
+    (IOPATH B1 Y (0.178:0.178:0.178) (0.106:0.106:0.106))
+    (IOPATH B2 Y (0.211:0.211:0.211) (0.125:0.125:0.125))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3966_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.164:0.165:0.166) (0.088:0.088:0.088))
+    (IOPATH B Y (0.154:0.160:0.167) (0.083:0.085:0.086))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_2")
+  (INSTANCE _3967_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.342:0.342:0.342) (0.333:0.334:0.335))
+    (IOPATH A2 X (0.310:0.310:0.310) (0.298:0.299:0.300))
+    (IOPATH B1 X (0.331:0.331:0.331) (0.217:0.218:0.219))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3968_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.163:0.164:0.165) (0.213:0.213:0.214))
+    (IOPATH A2 X (0.143:0.144:0.144) (0.195:0.195:0.196))
+    (IOPATH B1 X (0.122:0.132:0.142) (0.177:0.179:0.181))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3969_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.196:0.198:0.200) (0.208:0.210:0.211))
+    (IOPATH B X (0.222:0.222:0.222) (0.211:0.212:0.214))
+    (IOPATH C X (0.176:0.176:0.177) (0.190:0.190:0.190))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _3970_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.141:0.141:0.142) (0.392:0.393:0.394))
+    (IOPATH B X (0.131:0.134:0.136) (0.376:0.383:0.389))
+    (IOPATH C X (0.148:0.151:0.153) (0.334:0.342:0.350))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _3971_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.189:0.190:0.191) (0.106:0.106:0.106))
+    (IOPATH A2 Y (0.178:0.187:0.196) (0.105:0.107:0.109))
+    (IOPATH B1 Y (0.125:0.134:0.143) (0.095:0.098:0.100))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3972_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.129:0.129:0.129) (0.117:0.117:0.117))
+    (IOPATH B Y (0.173:0.173:0.173) (0.154:0.154:0.154))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _3973_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.212:0.212:0.212) (0.104:0.104:0.104))
+    (IOPATH A2 Y (0.239:0.239:0.239) (0.110:0.110:0.110))
+    (IOPATH B1 Y (0.176:0.176:0.176) (0.085:0.085:0.085))
+    (IOPATH B2 Y (0.255:0.255:0.255) (0.118:0.118:0.118))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3974_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.266:0.266:0.266) (0.212:0.212:0.212))
+    (IOPATH B X (0.251:0.251:0.251) (0.225:0.225:0.225))
+    (IOPATH C X (0.257:0.257:0.257) (0.243:0.243:0.243))
+    (IOPATH D X (0.265:0.265:0.265) (0.254:0.254:0.254))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _3975_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.207:0.210:0.214) (0.103:0.105:0.108))
+    (IOPATH A2 Y (0.184:0.189:0.195) (0.105:0.108:0.111))
+    (IOPATH B1_N Y (0.151:0.151:0.151) (0.177:0.179:0.180))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3976_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.124:0.124:0.124) (0.111:0.111:0.111))
+    (IOPATH B Y (0.133:0.134:0.136) (0.137:0.142:0.148))
+    (IOPATH C Y (0.130:0.131:0.132) (0.129:0.139:0.148))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _3977_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.281:0.281:0.281) (0.176:0.176:0.176))
+    (IOPATH A2 Y (0.249:0.249:0.249) (0.138:0.138:0.138))
+    (IOPATH B1 Y (0.213:0.213:0.213) (0.129:0.129:0.129))
+    (IOPATH B2 Y (0.281:0.281:0.281) (0.152:0.152:0.152))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3978_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.298:0.298:0.298) (0.255:0.255:0.255))
+    (IOPATH B X (0.250:0.250:0.250) (0.218:0.218:0.218))
+    (IOPATH C X (0.266:0.266:0.266) (0.253:0.253:0.253))
+    (IOPATH D X (0.264:0.264:0.264) (0.254:0.254:0.254))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3979_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.151:0.157:0.163) (0.092:0.093:0.095))
+    (IOPATH B Y (0.126:0.127:0.128) (0.067:0.068:0.068))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3980_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.156:0.156:0.156) (0.156:0.156:0.156))
+    (IOPATH B Y (0.130:0.130:0.130) (0.110:0.110:0.110))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3981_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.159:0.159:0.159) (0.140:0.145:0.149))
+    (IOPATH A Y (0.206:0.211:0.215) (0.107:0.107:0.107))
+    (IOPATH B Y (0.150:0.152:0.154) (0.151:0.164:0.176))
+    (IOPATH B Y (0.201:0.212:0.224) (0.089:0.091:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3982_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.138:0.138:0.138) (0.188:0.188:0.188))
+    (IOPATH A2 X (0.160:0.165:0.170) (0.203:0.204:0.206))
+    (IOPATH B1 X (0.122:0.129:0.137) (0.179:0.181:0.182))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3983_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.155:0.157:0.159) (0.144:0.146:0.148))
+    (IOPATH B Y (0.151:0.153:0.155) (0.146:0.160:0.175))
+    (IOPATH C Y (0.142:0.142:0.143) (0.144:0.145:0.145))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3984_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.108:0.109:0.111) (0.085:0.087:0.089))
+    (IOPATH B Y (0.127:0.129:0.131) (0.094:0.095:0.097))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3985_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.145:0.146:0.147) (0.125:0.127:0.129))
+    (IOPATH B Y (0.143:0.145:0.147) (0.151:0.151:0.151))
+    (IOPATH C Y (0.105:0.106:0.106) (0.106:0.106:0.107))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3986_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.158:0.160:0.161) (0.218:0.219:0.220))
+    (IOPATH A2 X (0.137:0.138:0.138) (0.191:0.192:0.192))
+    (IOPATH B1 X (0.143:0.143:0.143) (0.199:0.201:0.202))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3987_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.190:0.191:0.193) (0.173:0.173:0.174))
+    (IOPATH B X (0.200:0.201:0.202) (0.203:0.208:0.213))
+    (IOPATH C X (0.197:0.197:0.197) (0.201:0.201:0.202))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _3988_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.173:0.175:0.177) (0.205:0.210:0.215))
+    (IOPATH A2 X (0.138:0.138:0.138) (0.192:0.201:0.210))
+    (IOPATH B1_N X (0.220:0.220:0.221) (0.157:0.157:0.158))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _3989_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.149:0.149:0.149) (0.188:0.189:0.189))
+    (IOPATH A2 X (0.141:0.141:0.142) (0.179:0.179:0.180))
+    (IOPATH B1_N X (0.221:0.222:0.223) (0.151:0.152:0.152))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3b_1")
+  (INSTANCE _3990_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.103:0.103:0.103) (0.359:0.360:0.360))
+    (IOPATH B X (0.110:0.111:0.111) (0.339:0.340:0.341))
+    (IOPATH C_N X (0.149:0.150:0.151) (0.334:0.335:0.335))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _3991_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.214:0.215:0.216) (0.197:0.197:0.197))
+    (IOPATH B X (0.145:0.145:0.145) (0.180:0.180:0.180))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _3992_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.262:0.262:0.262) (0.262:0.262:0.262))
+    (IOPATH A2 X (0.260:0.260:0.260) (0.306:0.306:0.306))
+    (IOPATH A3 X (0.209:0.209:0.210) (0.256:0.256:0.256))
+    (IOPATH B1 X (0.159:0.159:0.159) (0.221:0.221:0.222))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _3993_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.263:0.266:0.268) (0.120:0.137:0.155))
+    (IOPATH A X (0.256:0.267:0.277) (0.200:0.202:0.204))
+    (IOPATH B X (0.249:0.253:0.258) (0.124:0.145:0.165))
+    (IOPATH B X (0.262:0.270:0.279) (0.186:0.190:0.195))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3994_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.102:0.102:0.102) (0.092:0.092:0.092))
+    (IOPATH B Y (0.146:0.146:0.146) (0.117:0.117:0.117))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _3995_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.233:0.233:0.233) (0.100:0.100:0.100))
+    (IOPATH A X (0.226:0.226:0.226) (0.183:0.183:0.183))
+    (IOPATH B X (0.221:0.223:0.225) (0.092:0.095:0.099))
+    (IOPATH B X (0.228:0.230:0.232) (0.170:0.173:0.175))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3996_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.212:0.212:0.212) (0.169:0.183:0.197))
+    (IOPATH A Y (0.286:0.299:0.311) (0.155:0.155:0.155))
+    (IOPATH B Y (0.229:0.230:0.230) (0.178:0.189:0.200))
+    (IOPATH B Y (0.279:0.289:0.298) (0.172:0.173:0.174))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3997_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.140:0.145:0.149) (0.070:0.071:0.072))
+    (IOPATH A2 Y (0.142:0.143:0.143) (0.066:0.066:0.066))
+    (IOPATH B1 Y (0.123:0.123:0.123) (0.046:0.047:0.048))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3998_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.171:0.172:0.173) (0.078:0.078:0.078))
+    (IOPATH B Y (0.149:0.151:0.154) (0.071:0.073:0.076))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _3999_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.192:0.192:0.192) (0.437:0.437:0.438))
+    (IOPATH B X (0.191:0.191:0.191) (0.421:0.421:0.422))
+    (IOPATH C X (0.219:0.220:0.221) (0.373:0.380:0.386))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_2")
+  (INSTANCE _4000_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.211:0.212:0.212) (0.104:0.104:0.104))
+    (IOPATH A2 Y (0.188:0.195:0.203) (0.121:0.122:0.122))
+    (IOPATH B1 Y (0.111:0.111:0.111) (0.101:0.101:0.101))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4001_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.148:0.149:0.150) (0.129:0.132:0.134))
+    (IOPATH B Y (0.131:0.134:0.136) (0.128:0.145:0.163))
+    (IOPATH C Y (0.124:0.125:0.125) (0.127:0.127:0.127))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4002_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.166:0.168:0.170) (0.220:0.221:0.222))
+    (IOPATH A2 X (0.163:0.164:0.164) (0.209:0.209:0.210))
+    (IOPATH B1 X (0.133:0.144:0.154) (0.189:0.191:0.193))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _4003_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.259:0.264:0.268) (0.108:0.108:0.109))
+    (IOPATH A X (0.243:0.243:0.244) (0.198:0.203:0.208))
+    (IOPATH B X (0.242:0.242:0.242) (0.116:0.116:0.116))
+    (IOPATH B X (0.253:0.254:0.254) (0.182:0.182:0.182))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _4004_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.135:0.135:0.135) (0.388:0.389:0.389))
+    (IOPATH B X (0.133:0.135:0.137) (0.374:0.380:0.386))
+    (IOPATH C X (0.145:0.147:0.150) (0.331:0.333:0.335))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _4005_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.184:0.185:0.186) (0.101:0.101:0.101))
+    (IOPATH A2 Y (0.175:0.177:0.180) (0.102:0.104:0.107))
+    (IOPATH B1 Y (0.123:0.132:0.140) (0.098:0.100:0.102))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4006_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.164:0.164:0.164) (0.148:0.148:0.148))
+    (IOPATH B Y (0.121:0.121:0.121) (0.116:0.116:0.116))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _4007_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.269:0.269:0.269) (0.136:0.136:0.136))
+    (IOPATH A2 Y (0.273:0.273:0.273) (0.138:0.138:0.138))
+    (IOPATH B1 Y (0.239:0.239:0.239) (0.119:0.119:0.119))
+    (IOPATH B2 Y (0.255:0.255:0.255) (0.118:0.118:0.118))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4008_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.249:0.249:0.249) (0.199:0.199:0.199))
+    (IOPATH B X (0.247:0.247:0.247) (0.219:0.219:0.219))
+    (IOPATH C X (0.252:0.252:0.252) (0.237:0.237:0.237))
+    (IOPATH D X (0.255:0.255:0.255) (0.248:0.248:0.248))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _4009_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.197:0.203:0.210) (0.100:0.102:0.103))
+    (IOPATH A2 Y (0.186:0.187:0.188) (0.118:0.120:0.121))
+    (IOPATH B1_N Y (0.143:0.143:0.143) (0.172:0.173:0.174))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4010_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.197:0.197:0.197) (0.224:0.224:0.224))
+    (IOPATH A2 X (0.219:0.224:0.229) (0.240:0.242:0.243))
+    (IOPATH B1 X (0.180:0.187:0.195) (0.217:0.219:0.221))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4011_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.175:0.175:0.175) (0.164:0.164:0.164))
+    (IOPATH B Y (0.186:0.188:0.190) (0.191:0.197:0.203))
+    (IOPATH C Y (0.179:0.181:0.183) (0.182:0.192:0.201))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4012_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.183:0.184:0.186) (0.198:0.202:0.207))
+    (IOPATH A2 X (0.170:0.170:0.170) (0.232:0.232:0.232))
+    (IOPATH B1_N X (0.267:0.268:0.269) (0.254:0.255:0.257))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4013_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.234:0.235:0.235) (0.206:0.211:0.215))
+    (IOPATH B X (0.232:0.232:0.232) (0.208:0.208:0.209))
+    (IOPATH C X (0.237:0.237:0.237) (0.225:0.226:0.226))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4014_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.142:0.146:0.151) (0.134:0.135:0.135))
+    (IOPATH B Y (0.139:0.139:0.139) (0.134:0.135:0.135))
+    (IOPATH C Y (0.136:0.136:0.137) (0.138:0.138:0.138))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_1")
+  (INSTANCE _4015_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.233:0.234:0.235) (0.094:0.094:0.094))
+    (IOPATH B Y (0.201:0.202:0.202) (0.077:0.077:0.077))
+    (IOPATH C Y (0.170:0.171:0.171) (0.073:0.073:0.073))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4016_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.233:0.234:0.236) (0.135:0.135:0.135))
+    (IOPATH B Y (0.188:0.191:0.193) (0.106:0.106:0.106))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4017_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.169:0.170:0.171) (0.206:0.210:0.214))
+    (IOPATH A2 X (0.166:0.166:0.166) (0.211:0.211:0.211))
+    (IOPATH B1 X (0.138:0.138:0.138) (0.188:0.189:0.189))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4018_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.230:0.232:0.233) (0.210:0.211:0.211))
+    (IOPATH B X (0.256:0.256:0.256) (0.211:0.215:0.219))
+    (IOPATH C X (0.230:0.230:0.230) (0.220:0.220:0.220))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211o_2")
+  (INSTANCE _4019_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.294:0.294:0.294) (0.438:0.438:0.438))
+    (IOPATH A2 X (0.285:0.297:0.309) (0.459:0.463:0.466))
+    (IOPATH B1 X (0.273:0.273:0.273) (0.438:0.438:0.439))
+    (IOPATH C1 X (0.266:0.266:0.266) (0.396:0.396:0.397))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211ai_4")
+  (INSTANCE _4020_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.365:0.366:0.366) (0.178:0.178:0.178))
+    (IOPATH A2 Y (0.340:0.340:0.341) (0.167:0.167:0.167))
+    (IOPATH B1 Y (0.197:0.197:0.197) (0.171:0.171:0.171))
+    (IOPATH C1 Y (0.170:0.174:0.179) (0.154:0.168:0.181))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21boi_1")
+  (INSTANCE _4021_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.203:0.203:0.204) (0.121:0.121:0.121))
+    (IOPATH A2 Y (0.259:0.260:0.260) (0.138:0.139:0.139))
+    (IOPATH B1_N Y (0.268:0.281:0.293) (0.194:0.196:0.198))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4022_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.175:0.188:0.200) (0.172:0.177:0.183))
+    (IOPATH A Y (0.251:0.256:0.261) (0.121:0.139:0.156))
+    (IOPATH B Y (0.185:0.186:0.188) (0.152:0.159:0.167))
+    (IOPATH B Y (0.217:0.224:0.231) (0.124:0.127:0.129))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4023_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.106:0.110:0.115) (0.061:0.070:0.079))
+    (IOPATH B Y (0.077:0.083:0.090) (0.054:0.055:0.056))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _4024_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.193:0.194:0.196) (0.204:0.207:0.211))
+    (IOPATH A2 X (0.155:0.166:0.177) (0.194:0.200:0.206))
+    (IOPATH B1_N X (0.234:0.238:0.243) (0.162:0.162:0.163))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4025_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.211:0.212:0.213) (0.170:0.184:0.197))
+    (IOPATH A Y (0.276:0.288:0.300) (0.159:0.160:0.162))
+    (IOPATH B Y (0.178:0.178:0.179) (0.162:0.162:0.163))
+    (IOPATH B Y (0.252:0.253:0.254) (0.108:0.108:0.108))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _4026_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.199:0.200:0.201) (0.188:0.188:0.188))
+    (IOPATH B X (0.173:0.174:0.175) (0.168:0.181:0.195))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+  (INSTANCE _4027_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.219:0.219:0.220) (0.098:0.099:0.099))
+    (IOPATH A2 Y (0.233:0.238:0.243) (0.102:0.118:0.134))
+    (IOPATH B1 Y (0.186:0.186:0.186) (0.054:0.054:0.054))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4028_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.157:0.172:0.187) (0.162:0.165:0.168))
+    (IOPATH A Y (0.232:0.235:0.238) (0.104:0.123:0.142))
+    (IOPATH B Y (0.179:0.179:0.179) (0.141:0.151:0.161))
+    (IOPATH B Y (0.198:0.207:0.216) (0.121:0.121:0.121))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4029_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.149:0.149:0.150) (0.146:0.146:0.147))
+    (IOPATH A Y (0.198:0.198:0.199) (0.100:0.101:0.101))
+    (IOPATH B Y (0.151:0.164:0.177) (0.149:0.154:0.159))
+    (IOPATH B Y (0.185:0.190:0.194) (0.093:0.108:0.122))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4030_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.186:0.187:0.188) (0.154:0.159:0.163))
+    (IOPATH A Y (0.234:0.238:0.242) (0.137:0.138:0.140))
+    (IOPATH B Y (0.166:0.177:0.189) (0.157:0.163:0.170))
+    (IOPATH B Y (0.220:0.226:0.232) (0.102:0.116:0.130))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _4031_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.371:0.371:0.371) (0.294:0.294:0.294))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _4032_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.446:0.446:0.446) (0.320:0.320:0.320))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4033_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.544:0.544:0.544) (0.341:0.341:0.341))
+    (IOPATH B X (0.606:0.606:0.606) (0.427:0.427:0.427))
+    (IOPATH C X (0.569:0.569:0.569) (0.428:0.428:0.428))
+    (IOPATH D X (0.585:0.585:0.585) (0.446:0.446:0.446))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4034_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.510:0.510:0.510) (0.339:0.339:0.339))
+    (IOPATH B X (0.542:0.542:0.542) (0.426:0.426:0.426))
+    (IOPATH C X (0.549:0.549:0.550) (0.438:0.439:0.440))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4035_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.163:0.165:0.167) (0.206:0.208:0.210))
+    (IOPATH A2 X (0.157:0.157:0.157) (0.205:0.206:0.206))
+    (IOPATH B1 X (0.131:0.141:0.151) (0.185:0.187:0.189))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4036_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.195:0.197:0.199) (0.197:0.199:0.201))
+    (IOPATH B X (0.265:0.265:0.265) (0.266:0.267:0.267))
+    (IOPATH C X (0.189:0.190:0.190) (0.199:0.199:0.200))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4037_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.453:0.453:0.453) (0.336:0.336:0.336))
+    (IOPATH B X (0.399:0.399:0.399) (0.294:0.294:0.294))
+    (IOPATH C X (0.425:0.425:0.425) (0.323:0.323:0.323))
+    (IOPATH D X (0.454:0.454:0.454) (0.402:0.402:0.402))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _4038_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.423:0.423:0.423) (0.338:0.338:0.338))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _4039_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.539:0.539:0.539) (0.439:0.439:0.439))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _4040_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.237:0.237:0.237) (0.153:0.153:0.153))
+    (IOPATH A2 Y (0.200:0.200:0.200) (0.110:0.110:0.110))
+    (IOPATH B1 Y (0.180:0.180:0.180) (0.103:0.103:0.103))
+    (IOPATH B2 Y (0.248:0.248:0.248) (0.123:0.123:0.123))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4041_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.197:0.197:0.197) (0.298:0.298:0.299))
+    (IOPATH B X (0.159:0.162:0.165) (0.245:0.254:0.263))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _4042_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.136:0.136:0.136) (0.384:0.385:0.386))
+    (IOPATH B X (0.126:0.129:0.132) (0.370:0.373:0.377))
+    (IOPATH C X (0.140:0.143:0.146) (0.325:0.330:0.335))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _4043_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.160:0.161:0.162) (0.095:0.095:0.095))
+    (IOPATH A2 Y (0.149:0.154:0.160) (0.091:0.093:0.095))
+    (IOPATH B1 Y (0.108:0.113:0.117) (0.084:0.087:0.090))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4044_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.229:0.229:0.229) (0.287:0.287:0.287))
+    (IOPATH A2 X (0.188:0.188:0.188) (0.271:0.271:0.271))
+    (IOPATH B1 X (0.166:0.166:0.166) (0.213:0.213:0.213))
+    (IOPATH B2 X (0.208:0.208:0.208) (0.282:0.282:0.282))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4045_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.260:0.260:0.260) (0.234:0.234:0.234))
+    (IOPATH B X (0.213:0.213:0.213) (0.202:0.202:0.202))
+    (IOPATH C X (0.227:0.227:0.227) (0.228:0.228:0.228))
+    (IOPATH D X (0.222:0.222:0.222) (0.230:0.230:0.230))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _4046_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.184:0.184:0.184) (0.202:0.202:0.202))
+    (IOPATH A2 X (0.220:0.220:0.220) (0.277:0.277:0.277))
+    (IOPATH A3 X (0.162:0.162:0.163) (0.226:0.226:0.226))
+    (IOPATH B1 X (0.116:0.117:0.117) (0.191:0.192:0.193))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4047_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.190:0.190:0.190) (0.077:0.077:0.078))
+    (IOPATH A2 Y (0.200:0.201:0.203) (0.096:0.101:0.106))
+    (IOPATH B1 Y (0.171:0.171:0.171) (0.056:0.057:0.058))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4048_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.158:0.158:0.158) (0.164:0.164:0.165))
+    (IOPATH B X (0.178:0.182:0.187) (0.175:0.177:0.178))
+    (IOPATH C X (0.171:0.172:0.173) (0.186:0.186:0.187))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _4049_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.170:0.170:0.170) (0.211:0.211:0.211))
+    (IOPATH A2 X (0.169:0.170:0.172) (0.184:0.189:0.194))
+    (IOPATH B1_N X (0.238:0.238:0.239) (0.165:0.165:0.165))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _4050_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.055:0.055:0.056) (0.042:0.042:0.042))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4051_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.121:0.123:0.125) (0.106:0.108:0.110))
+    (IOPATH B Y (0.181:0.181:0.182) (0.168:0.168:0.168))
+    (IOPATH C Y (0.101:0.102:0.102) (0.102:0.102:0.103))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4052_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.141:0.143:0.144) (0.197:0.198:0.200))
+    (IOPATH A2 X (0.132:0.133:0.133) (0.187:0.187:0.187))
+    (IOPATH B1 X (0.148:0.148:0.148) (0.235:0.235:0.235))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4053_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.173:0.173:0.173) (0.156:0.156:0.156))
+    (IOPATH B X (0.194:0.197:0.201) (0.197:0.209:0.222))
+    (IOPATH C X (0.191:0.192:0.192) (0.196:0.197:0.197))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _4054_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.191:0.192:0.194) (0.215:0.221:0.227))
+    (IOPATH A2 X (0.151:0.152:0.154) (0.196:0.208:0.220))
+    (IOPATH B1_N X (0.243:0.244:0.245) (0.178:0.179:0.179))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _4055_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.154:0.155:0.156) (0.192:0.193:0.194))
+    (IOPATH A2 X (0.143:0.143:0.143) (0.180:0.181:0.181))
+    (IOPATH B1_N X (0.228:0.229:0.230) (0.159:0.160:0.160))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3b_1")
+  (INSTANCE _4056_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.106:0.107:0.108) (0.359:0.360:0.360))
+    (IOPATH B X (0.108:0.108:0.108) (0.337:0.338:0.339))
+    (IOPATH C_N X (0.152:0.153:0.154) (0.337:0.337:0.337))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _4057_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.218:0.219:0.220) (0.200:0.200:0.200))
+    (IOPATH B X (0.147:0.147:0.147) (0.182:0.182:0.182))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4058_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.112:0.112:0.112) (0.112:0.112:0.112))
+    (IOPATH B Y (0.140:0.140:0.140) (0.113:0.113:0.113))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4059_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.173:0.173:0.173) (0.160:0.160:0.160))
+    (IOPATH A Y (0.257:0.257:0.257) (0.112:0.112:0.112))
+    (IOPATH B Y (0.167:0.169:0.171) (0.167:0.171:0.174))
+    (IOPATH B Y (0.248:0.251:0.254) (0.098:0.100:0.102))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4060_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.236:0.255:0.273) (0.219:0.222:0.224))
+    (IOPATH B X (0.232:0.232:0.232) (0.194:0.195:0.195))
+    (IOPATH C X (0.243:0.244:0.244) (0.253:0.254:0.254))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4061_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.285:0.286:0.288) (0.143:0.163:0.183))
+    (IOPATH A2 Y (0.300:0.300:0.301) (0.154:0.154:0.155))
+    (IOPATH B1 Y (0.238:0.238:0.239) (0.101:0.102:0.102))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4062_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.148:0.149:0.150) (0.125:0.127:0.129))
+    (IOPATH B Y (0.113:0.118:0.124) (0.141:0.142:0.144))
+    (IOPATH C Y (0.124:0.124:0.125) (0.125:0.125:0.125))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4063_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.170:0.171:0.173) (0.227:0.228:0.229))
+    (IOPATH A2 X (0.170:0.170:0.170) (0.214:0.214:0.215))
+    (IOPATH B1 X (0.147:0.148:0.149) (0.179:0.184:0.189))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _4064_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.409:0.409:0.409) (0.287:0.287:0.287))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _4065_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.461:0.461:0.461) (0.358:0.358:0.358))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _4066_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.230:0.230:0.230) (0.166:0.166:0.166))
+    (IOPATH A2 Y (0.228:0.228:0.228) (0.152:0.152:0.152))
+    (IOPATH B1 Y (0.209:0.209:0.209) (0.115:0.115:0.115))
+    (IOPATH B2 Y (0.175:0.175:0.175) (0.098:0.098:0.098))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4067_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.283:0.284:0.284) (0.173:0.173:0.173))
+    (IOPATH B Y (0.208:0.218:0.228) (0.099:0.100:0.101))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _4068_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.158:0.158:0.158) (0.415:0.416:0.417))
+    (IOPATH B X (0.154:0.156:0.158) (0.400:0.406:0.412))
+    (IOPATH C X (0.187:0.188:0.189) (0.366:0.367:0.368))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _4069_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.238:0.239:0.240) (0.126:0.126:0.126))
+    (IOPATH A2 Y (0.239:0.240:0.242) (0.147:0.148:0.150))
+    (IOPATH B1 Y (0.161:0.169:0.177) (0.120:0.122:0.124))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4070_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.165:0.165:0.165) (0.166:0.166:0.166))
+    (IOPATH B Y (0.175:0.175:0.175) (0.150:0.150:0.150))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _4071_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.229:0.229:0.229) (0.117:0.117:0.117))
+    (IOPATH A2 Y (0.250:0.250:0.250) (0.126:0.126:0.126))
+    (IOPATH B1 Y (0.215:0.215:0.215) (0.111:0.111:0.111))
+    (IOPATH B2 Y (0.220:0.220:0.220) (0.096:0.096:0.096))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4072_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.254:0.254:0.254) (0.203:0.203:0.203))
+    (IOPATH B X (0.262:0.262:0.262) (0.228:0.228:0.228))
+    (IOPATH C X (0.270:0.270:0.270) (0.240:0.240:0.240))
+    (IOPATH D X (0.269:0.269:0.269) (0.246:0.246:0.246))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _4073_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.203:0.210:0.216) (0.103:0.104:0.104))
+    (IOPATH A2 Y (0.177:0.182:0.187) (0.105:0.108:0.110))
+    (IOPATH B1_N Y (0.148:0.148:0.148) (0.175:0.176:0.177))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4074_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.196:0.196:0.196) (0.224:0.225:0.225))
+    (IOPATH A2 X (0.220:0.227:0.235) (0.247:0.248:0.248))
+    (IOPATH B1 X (0.169:0.177:0.184) (0.209:0.210:0.211))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4075_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.173:0.173:0.173) (0.162:0.162:0.162))
+    (IOPATH B Y (0.192:0.193:0.194) (0.194:0.203:0.211))
+    (IOPATH C Y (0.169:0.169:0.170) (0.171:0.180:0.190))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4076_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.174:0.174:0.175) (0.193:0.207:0.220))
+    (IOPATH A2 X (0.157:0.157:0.158) (0.220:0.221:0.221))
+    (IOPATH B1_N X (0.252:0.254:0.256) (0.243:0.245:0.246))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_2")
+  (INSTANCE _4077_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.309:0.310:0.311) (0.270:0.273:0.277))
+    (IOPATH B X (0.309:0.310:0.310) (0.277:0.278:0.278))
+    (IOPATH C X (0.312:0.312:0.312) (0.291:0.291:0.291))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4078_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.153:0.153:0.153) (0.101:0.101:0.101))
+    (IOPATH A2 Y (0.220:0.220:0.220) (0.127:0.127:0.127))
+    (IOPATH B1 Y (0.195:0.195:0.196) (0.085:0.085:0.085))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4079_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.238:0.239:0.239) (0.340:0.341:0.341))
+    (IOPATH B X (0.172:0.177:0.182) (0.260:0.267:0.274))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+  (INSTANCE _4080_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.294:0.297:0.300) (0.107:0.108:0.109))
+    (IOPATH A2 Y (0.283:0.283:0.283) (0.106:0.106:0.106))
+    (IOPATH B1 Y (0.253:0.253:0.254) (0.071:0.071:0.072))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_4")
+  (INSTANCE _4081_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.347:0.347:0.348) (0.099:0.099:0.099))
+    (IOPATH B Y (0.320:0.320:0.320) (0.083:0.083:0.083))
+    (IOPATH C Y (0.264:0.269:0.274) (0.102:0.103:0.103))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_2")
+  (INSTANCE _4082_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.151:0.151:0.151) (0.146:0.148:0.150))
+    (IOPATH B Y (0.143:0.147:0.151) (0.181:0.181:0.181))
+    (IOPATH C Y (0.134:0.134:0.135) (0.149:0.150:0.150))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4083_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.246:0.247:0.249) (0.258:0.259:0.259))
+    (IOPATH A2 X (0.243:0.244:0.244) (0.256:0.257:0.257))
+    (IOPATH B1 X (0.232:0.232:0.232) (0.238:0.242:0.246))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+  (INSTANCE _4084_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.363:0.363:0.363) (0.376:0.376:0.377))
+    (IOPATH A2 X (0.377:0.377:0.377) (0.336:0.342:0.348))
+    (IOPATH B1 X (0.347:0.348:0.350) (0.255:0.257:0.260))
+    (IOPATH C1 X (0.366:0.366:0.366) (0.224:0.225:0.225))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _4085_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.085:0.088:0.091) (0.070:0.070:0.070))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4086_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.128:0.139:0.150) (0.064:0.068:0.072))
+    (IOPATH A2 Y (0.132:0.132:0.133) (0.060:0.061:0.062))
+    (IOPATH B1 Y (0.103:0.103:0.103) (0.033:0.033:0.033))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4087_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.152:0.152:0.152) (0.261:0.261:0.262))
+    (IOPATH B X (0.146:0.149:0.151) (0.233:0.236:0.240))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211oi_4")
+  (INSTANCE _4088_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.446:0.448:0.450) (0.119:0.120:0.121))
+    (IOPATH A2 Y (0.461:0.461:0.461) (0.139:0.139:0.139))
+    (IOPATH B1 Y (0.433:0.433:0.434) (0.107:0.107:0.107))
+    (IOPATH C1 Y (0.365:0.370:0.375) (0.117:0.117:0.117))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _4089_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.192:0.192:0.192) (0.426:0.429:0.431))
+    (IOPATH B X (0.151:0.151:0.151) (0.392:0.393:0.393))
+    (IOPATH C X (0.207:0.208:0.209) (0.358:0.362:0.366))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211ai_2")
+  (INSTANCE _4090_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.212:0.213:0.213) (0.125:0.125:0.126))
+    (IOPATH A2 Y (0.195:0.207:0.219) (0.133:0.134:0.135))
+    (IOPATH B1 Y (0.096:0.096:0.096) (0.108:0.108:0.108))
+    (IOPATH C1 Y (0.103:0.103:0.103) (0.106:0.107:0.107))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211o_1")
+  (INSTANCE _4091_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.155:0.155:0.155) (0.294:0.294:0.294))
+    (IOPATH A2 X (0.168:0.169:0.169) (0.343:0.344:0.344))
+    (IOPATH B1 X (0.156:0.156:0.157) (0.308:0.308:0.309))
+    (IOPATH C1 X (0.177:0.179:0.181) (0.272:0.283:0.294))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4092_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.158:0.171:0.184) (0.203:0.205:0.207))
+    (IOPATH A2 X (0.149:0.160:0.171) (0.229:0.230:0.230))
+    (IOPATH B1_N X (0.218:0.219:0.220) (0.233:0.233:0.234))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _4093_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.121:0.134:0.146) (0.236:0.240:0.244))
+    (IOPATH B_N X (0.164:0.164:0.165) (0.240:0.240:0.240))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _4094_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.208:0.208:0.208) (0.224:0.224:0.224))
+    (IOPATH A2 X (0.212:0.212:0.212) (0.274:0.274:0.274))
+    (IOPATH A3 X (0.163:0.163:0.163) (0.227:0.227:0.227))
+    (IOPATH B1 X (0.113:0.113:0.113) (0.191:0.192:0.192))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4095_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.140:0.151:0.162) (0.141:0.146:0.151))
+    (IOPATH A Y (0.188:0.193:0.197) (0.091:0.104:0.117))
+    (IOPATH B Y (0.133:0.133:0.133) (0.133:0.134:0.134))
+    (IOPATH B Y (0.165:0.166:0.166) (0.076:0.077:0.077))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4096_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.088:0.089:0.089) (0.077:0.078:0.079))
+    (IOPATH B Y (0.096:0.101:0.106) (0.082:0.094:0.106))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4097_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.222:0.236:0.249) (0.186:0.191:0.196))
+    (IOPATH B X (0.221:0.221:0.221) (0.207:0.207:0.207))
+    (IOPATH C X (0.233:0.234:0.234) (0.228:0.230:0.232))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4098_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.314:0.314:0.314) (0.266:0.266:0.266))
+    (IOPATH B X (0.264:0.264:0.264) (0.225:0.225:0.225))
+    (IOPATH C X (0.315:0.315:0.315) (0.312:0.312:0.312))
+    (IOPATH D X (0.282:0.282:0.282) (0.271:0.271:0.271))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _4099_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.625:0.625:0.625) (0.391:0.391:0.391))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _4100_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.596:0.596:0.596) (0.380:0.380:0.380))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _4101_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.228:0.228:0.228) (0.136:0.136:0.136))
+    (IOPATH A2 Y (0.329:0.329:0.329) (0.226:0.226:0.226))
+    (IOPATH B1 Y (0.204:0.204:0.204) (0.125:0.125:0.125))
+    (IOPATH B2 Y (0.303:0.303:0.303) (0.184:0.184:0.184))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4bb_1")
+  (INSTANCE _4102_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.292:0.293:0.294) (0.222:0.222:0.222))
+    (IOPATH B_N X (0.319:0.333:0.346) (0.261:0.263:0.265))
+    (IOPATH C X (0.252:0.252:0.252) (0.242:0.242:0.242))
+    (IOPATH D X (0.320:0.320:0.320) (0.349:0.349:0.349))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4103_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.231:0.232:0.233) (0.105:0.105:0.106))
+    (IOPATH B Y (0.210:0.211:0.212) (0.089:0.090:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4104_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.135:0.135:0.135) (0.121:0.121:0.121))
+    (IOPATH B Y (0.198:0.198:0.198) (0.167:0.167:0.167))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _4105_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.213:0.214:0.215) (0.200:0.201:0.201))
+    (IOPATH B X (0.154:0.154:0.155) (0.177:0.177:0.178))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4106_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.191:0.195:0.199) (0.192:0.201:0.210))
+    (IOPATH A Y (0.308:0.316:0.324) (0.127:0.131:0.136))
+    (IOPATH B Y (0.188:0.188:0.188) (0.171:0.171:0.171))
+    (IOPATH B Y (0.273:0.274:0.274) (0.115:0.115:0.115))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _4107_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.222:0.224:0.226) (0.233:0.233:0.233))
+    (IOPATH B X (0.170:0.185:0.200) (0.194:0.197:0.199))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _4108_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.523:0.523:0.523) (0.386:0.386:0.386))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4109_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.172:0.185:0.198) (0.171:0.173:0.176))
+    (IOPATH A Y (0.245:0.247:0.249) (0.120:0.138:0.156))
+    (IOPATH B Y (0.190:0.190:0.190) (0.155:0.156:0.158))
+    (IOPATH B Y (0.215:0.216:0.218) (0.134:0.134:0.134))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4110_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.197:0.197:0.197) (0.170:0.170:0.170))
+    (IOPATH B X (0.261:0.261:0.261) (0.255:0.255:0.255))
+    (IOPATH C X (0.186:0.198:0.209) (0.199:0.206:0.213))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4111_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.139:0.140:0.140) (0.061:0.061:0.061))
+    (IOPATH B Y (0.124:0.129:0.133) (0.079:0.081:0.082))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4112_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.203:0.203:0.203) (0.189:0.189:0.189))
+    (IOPATH A Y (0.319:0.319:0.319) (0.136:0.137:0.137))
+    (IOPATH B Y (0.212:0.212:0.212) (0.172:0.176:0.180))
+    (IOPATH B Y (0.288:0.291:0.295) (0.137:0.137:0.137))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _4113_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.194:0.194:0.195) (0.227:0.228:0.228))
+    (IOPATH A2 X (0.179:0.180:0.180) (0.209:0.210:0.210))
+    (IOPATH B1 X (0.211:0.227:0.243) (0.169:0.172:0.174))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4114_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.234:0.234:0.234) (0.214:0.215:0.216))
+    (IOPATH B X (0.194:0.194:0.194) (0.197:0.198:0.199))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_2")
+  (INSTANCE _4115_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.146:0.148:0.151) (0.133:0.135:0.137))
+    (IOPATH B Y (0.118:0.133:0.148) (0.159:0.160:0.160))
+    (IOPATH C Y (0.122:0.123:0.123) (0.134:0.134:0.134))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4116_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.210:0.211:0.213) (0.248:0.250:0.252))
+    (IOPATH A2 X (0.209:0.209:0.209) (0.237:0.238:0.238))
+    (IOPATH B1 X (0.190:0.190:0.190) (0.209:0.222:0.236))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4117_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.191:0.191:0.191) (0.164:0.164:0.164))
+    (IOPATH B Y (0.164:0.164:0.164) (0.161:0.161:0.161))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _4118_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.156:0.156:0.156) (0.406:0.407:0.408))
+    (IOPATH B X (0.150:0.151:0.151) (0.396:0.402:0.408))
+    (IOPATH C X (0.164:0.166:0.168) (0.348:0.352:0.357))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _4119_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.203:0.204:0.205) (0.114:0.114:0.114))
+    (IOPATH A2 Y (0.193:0.198:0.203) (0.113:0.116:0.118))
+    (IOPATH B1 Y (0.144:0.152:0.159) (0.107:0.108:0.109))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _4120_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.415:0.415:0.415) (0.348:0.348:0.348))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4121_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.192:0.192:0.192) (0.248:0.248:0.248))
+    (IOPATH A2 X (0.201:0.201:0.201) (0.267:0.267:0.267))
+    (IOPATH B1 X (0.186:0.186:0.186) (0.216:0.216:0.216))
+    (IOPATH B2 X (0.180:0.180:0.180) (0.239:0.239:0.239))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4122_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.230:0.230:0.230) (0.189:0.189:0.189))
+    (IOPATH B X (0.238:0.238:0.238) (0.215:0.215:0.215))
+    (IOPATH C X (0.248:0.248:0.248) (0.226:0.226:0.226))
+    (IOPATH D X (0.248:0.248:0.248) (0.236:0.236:0.236))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _4123_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.202:0.202:0.202) (0.213:0.213:0.213))
+    (IOPATH A2 X (0.229:0.229:0.229) (0.285:0.285:0.285))
+    (IOPATH A3 X (0.180:0.180:0.180) (0.239:0.239:0.239))
+    (IOPATH B1 X (0.138:0.138:0.138) (0.204:0.205:0.206))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+  (INSTANCE _4124_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.266:0.266:0.267) (0.095:0.095:0.095))
+    (IOPATH A2 Y (0.265:0.266:0.268) (0.116:0.122:0.128))
+    (IOPATH B1 Y (0.227:0.228:0.228) (0.069:0.070:0.071))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4125_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.230:0.230:0.231) (0.209:0.209:0.209))
+    (IOPATH B X (0.252:0.258:0.264) (0.222:0.224:0.225))
+    (IOPATH C X (0.240:0.240:0.241) (0.228:0.229:0.229))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_2")
+  (INSTANCE _4126_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.233:0.237:0.241) (0.111:0.112:0.114))
+    (IOPATH A2 Y (0.187:0.194:0.200) (0.123:0.123:0.124))
+    (IOPATH B1_N Y (0.177:0.177:0.177) (0.230:0.231:0.232))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_4")
+  (INSTANCE _4127_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.128:0.131:0.134) (0.121:0.122:0.123))
+    (IOPATH B Y (0.136:0.137:0.137) (0.137:0.137:0.137))
+    (IOPATH C Y (0.140:0.142:0.143) (0.129:0.138:0.147))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _4128_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.501:0.501:0.501) (0.378:0.378:0.378))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4129_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.209:0.210:0.211) (0.233:0.236:0.238))
+    (IOPATH A2 X (0.223:0.224:0.224) (0.245:0.245:0.245))
+    (IOPATH B1 X (0.181:0.191:0.200) (0.219:0.220:0.222))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_4")
+  (INSTANCE _4130_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.264:0.264:0.264) (0.367:0.367:0.367))
+    (IOPATH B Y (0.251:0.251:0.251) (0.299:0.299:0.299))
+    (IOPATH C Y (0.263:0.265:0.266) (0.284:0.287:0.289))
+    (IOPATH D Y (0.243:0.243:0.244) (0.298:0.298:0.298))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _4131_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.223:0.223:0.223) (0.243:0.244:0.245))
+    (IOPATH A2 X (0.219:0.220:0.220) (0.209:0.215:0.222))
+    (IOPATH B1 X (0.203:0.203:0.204) (0.156:0.156:0.156))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211oi_4")
+  (INSTANCE _4132_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.542:0.543:0.544) (0.133:0.137:0.141))
+    (IOPATH A2 Y (0.631:0.637:0.643) (0.168:0.173:0.179))
+    (IOPATH B1 Y (0.515:0.520:0.526) (0.151:0.151:0.152))
+    (IOPATH C1 Y (0.470:0.471:0.472) (0.101:0.101:0.101))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _4133_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.074:0.085:0.096) (0.085:0.085:0.085))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_1")
+  (INSTANCE _4134_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.447:0.447:0.447) (0.382:0.388:0.393))
+    (IOPATH A2 X (0.388:0.388:0.388) (0.370:0.371:0.372))
+    (IOPATH B1 X (0.394:0.398:0.401) (0.304:0.305:0.307))
+    (IOPATH C1 X (0.422:0.427:0.432) (0.364:0.370:0.376))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_1")
+  (INSTANCE _4135_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.259:0.259:0.259) (0.071:0.072:0.072))
+    (IOPATH B Y (0.244:0.244:0.245) (0.068:0.069:0.070))
+    (IOPATH C Y (0.228:0.230:0.231) (0.085:0.099:0.112))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4136_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.133:0.134:0.135) (0.073:0.073:0.073))
+    (IOPATH B Y (0.108:0.116:0.124) (0.082:0.082:0.082))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3b_2")
+  (INSTANCE _4137_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.287:0.288:0.289) (0.545:0.555:0.565))
+    (IOPATH B X (0.252:0.252:0.252) (0.566:0.568:0.569))
+    (IOPATH C_N X (0.249:0.253:0.256) (0.523:0.523:0.524))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_1")
+  (INSTANCE _4138_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.447:0.449:0.452) (0.156:0.156:0.157))
+    (IOPATH B Y (0.423:0.423:0.424) (0.102:0.102:0.102))
+    (IOPATH C Y (0.389:0.393:0.397) (0.175:0.176:0.177))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _4139_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.251:0.251:0.251) (0.257:0.260:0.263))
+    (IOPATH A2 X (0.249:0.249:0.250) (0.233:0.238:0.243))
+    (IOPATH B1 X (0.211:0.211:0.211) (0.163:0.164:0.164))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211oi_2")
+  (INSTANCE _4140_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.329:0.330:0.330) (0.088:0.090:0.092))
+    (IOPATH A2 Y (0.375:0.375:0.375) (0.114:0.115:0.116))
+    (IOPATH B1 Y (0.339:0.350:0.361) (0.139:0.139:0.139))
+    (IOPATH C1 Y (0.291:0.292:0.293) (0.083:0.083:0.083))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4141_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.127:0.128:0.128) (0.081:0.081:0.081))
+    (IOPATH B Y (0.085:0.086:0.087) (0.049:0.049:0.049))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4142_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.152:0.153:0.153) (0.258:0.258:0.258))
+    (IOPATH B X (0.134:0.135:0.136) (0.230:0.235:0.240))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_1")
+  (INSTANCE _4143_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.263:0.263:0.263) (0.254:0.266:0.277))
+    (IOPATH A2 X (0.188:0.188:0.188) (0.240:0.241:0.242))
+    (IOPATH B1 X (0.174:0.175:0.177) (0.166:0.166:0.166))
+    (IOPATH C1 X (0.187:0.188:0.188) (0.161:0.161:0.161))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_2")
+  (INSTANCE _4144_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.301:0.312:0.324) (0.123:0.124:0.126))
+    (IOPATH B Y (0.284:0.284:0.284) (0.072:0.072:0.072))
+    (IOPATH C Y (0.233:0.234:0.235) (0.064:0.065:0.066))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4145_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.141:0.142:0.142) (0.140:0.140:0.141))
+    (IOPATH B Y (0.159:0.162:0.164) (0.156:0.174:0.192))
+    (IOPATH C Y (0.158:0.159:0.159) (0.148:0.160:0.171))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4146_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.192:0.193:0.193) (0.214:0.215:0.215))
+    (IOPATH A2 X (0.195:0.207:0.219) (0.241:0.242:0.242))
+    (IOPATH B1 X (0.174:0.184:0.194) (0.214:0.216:0.218))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211ai_1")
+  (INSTANCE _4147_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.251:0.263:0.274) (0.185:0.187:0.189))
+    (IOPATH A2 Y (0.228:0.239:0.249) (0.163:0.163:0.163))
+    (IOPATH B1 Y (0.172:0.175:0.178) (0.145:0.147:0.149))
+    (IOPATH C1 Y (0.136:0.137:0.137) (0.141:0.142:0.142))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211o_1")
+  (INSTANCE _4148_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.169:0.171:0.173) (0.312:0.315:0.317))
+    (IOPATH A2 X (0.170:0.171:0.171) (0.327:0.327:0.328))
+    (IOPATH B1 X (0.180:0.181:0.183) (0.296:0.308:0.319))
+    (IOPATH C1 X (0.172:0.172:0.172) (0.249:0.258:0.266))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4149_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.146:0.146:0.146) (0.156:0.157:0.157))
+    (IOPATH B Y (0.184:0.188:0.191) (0.169:0.183:0.198))
+    (IOPATH C Y (0.158:0.158:0.159) (0.155:0.155:0.155))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4150_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.182:0.192:0.203) (0.215:0.219:0.222))
+    (IOPATH A2 X (0.169:0.169:0.170) (0.219:0.220:0.221))
+    (IOPATH B1 X (0.150:0.150:0.151) (0.194:0.195:0.195))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4151_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.437:0.437:0.437) (0.391:0.391:0.391))
+    (IOPATH A2 X (0.374:0.374:0.374) (0.379:0.379:0.379))
+    (IOPATH B1 X (0.345:0.349:0.353) (0.328:0.329:0.330))
+    (IOPATH B2 X (0.362:0.362:0.362) (0.339:0.339:0.340))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4152_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.246:0.246:0.246) (0.234:0.234:0.234))
+    (IOPATH B X (0.224:0.224:0.224) (0.254:0.254:0.254))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _4153_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.153:0.155:0.157) (0.424:0.427:0.431))
+    (IOPATH B X (0.162:0.162:0.162) (0.390:0.391:0.391))
+    (IOPATH C X (0.180:0.181:0.181) (0.344:0.350:0.355))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _4154_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.212:0.213:0.214) (0.123:0.123:0.123))
+    (IOPATH A2 Y (0.189:0.196:0.203) (0.130:0.131:0.131))
+    (IOPATH B1 Y (0.151:0.155:0.160) (0.110:0.111:0.113))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4155_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.120:0.120:0.120) (0.123:0.123:0.123))
+    (IOPATH B Y (0.172:0.172:0.172) (0.144:0.144:0.144))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _4156_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.225:0.226:0.228) (0.211:0.211:0.211))
+    (IOPATH B X (0.165:0.165:0.165) (0.185:0.186:0.186))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _4157_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.318:0.321:0.323) (0.334:0.335:0.336))
+    (IOPATH A Y (0.660:0.661:0.662) (0.260:0.262:0.264))
+    (IOPATH B Y (0.313:0.313:0.313) (0.311:0.311:0.312))
+    (IOPATH B Y (0.620:0.621:0.621) (0.248:0.248:0.248))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _4158_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.272:0.272:0.272) (0.234:0.234:0.234))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _4159_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.453:0.453:0.453) (0.315:0.315:0.315))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _4160_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.251:0.251:0.251) (0.223:0.223:0.223))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _4161_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.381:0.381:0.381) (0.281:0.281:0.281))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4162_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.755:0.755:0.755) (0.468:0.468:0.468))
+    (IOPATH B X (0.705:0.705:0.705) (0.447:0.447:0.447))
+    (IOPATH C X (0.735:0.735:0.735) (0.495:0.495:0.495))
+    (IOPATH D X (0.745:0.745:0.745) (0.534:0.534:0.534))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4163_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.224:0.249:0.274) (0.262:0.264:0.266))
+    (IOPATH B X (0.266:0.266:0.266) (0.309:0.309:0.310))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4164_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.395:0.396:0.396) (0.336:0.336:0.336))
+    (IOPATH A2 X (0.416:0.421:0.427) (0.354:0.355:0.356))
+    (IOPATH B1 X (0.374:0.375:0.376) (0.321:0.321:0.322))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_2")
+  (INSTANCE _4165_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.249:0.249:0.249) (0.267:0.267:0.267))
+    (IOPATH B Y (0.259:0.260:0.261) (0.297:0.305:0.312))
+    (IOPATH C Y (0.237:0.238:0.238) (0.284:0.284:0.285))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4166_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.161:0.161:0.161) (0.200:0.200:0.200))
+    (IOPATH A2 X (0.215:0.215:0.215) (0.284:0.284:0.284))
+    (IOPATH B1_N X (0.326:0.326:0.326) (0.275:0.276:0.277))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_2")
+  (INSTANCE _4167_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.359:0.366:0.372) (0.377:0.385:0.393))
+    (IOPATH B X (0.385:0.385:0.385) (0.338:0.338:0.339))
+    (IOPATH C X (0.324:0.324:0.325) (0.301:0.301:0.302))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4168_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.087:0.087:0.087) (0.087:0.087:0.087))
+    (IOPATH B Y (0.150:0.150:0.150) (0.132:0.132:0.132))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4169_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.184:0.187:0.191) (0.179:0.188:0.196))
+    (IOPATH A Y (0.296:0.304:0.311) (0.121:0.125:0.129))
+    (IOPATH B Y (0.195:0.206:0.218) (0.179:0.186:0.192))
+    (IOPATH B Y (0.280:0.286:0.292) (0.123:0.139:0.155))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o2bb2a_1")
+  (INSTANCE _4170_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1_N X (0.268:0.268:0.268) (0.235:0.235:0.235))
+    (IOPATH A2_N X (0.342:0.342:0.342) (0.279:0.279:0.279))
+    (IOPATH B1 X (0.164:0.164:0.164) (0.293:0.294:0.294))
+    (IOPATH B2 X (0.165:0.168:0.171) (0.290:0.303:0.316))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4171_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.386:0.387:0.388) (0.136:0.137:0.138))
+    (IOPATH B Y (0.366:0.368:0.370) (0.111:0.111:0.111))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _4172_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.431:0.431:0.431) (0.362:0.362:0.362))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _4173_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.518:0.518:0.518) (0.422:0.422:0.422))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4174_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.311:0.311:0.311) (0.224:0.224:0.224))
+    (IOPATH B X (0.357:0.357:0.357) (0.310:0.310:0.310))
+    (IOPATH C X (0.344:0.344:0.344) (0.310:0.310:0.310))
+    (IOPATH D X (0.366:0.366:0.366) (0.375:0.375:0.375))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4175_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.242:0.242:0.242) (0.191:0.192:0.193))
+    (IOPATH B X (0.197:0.197:0.197) (0.201:0.202:0.203))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4176_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.184:0.202:0.219) (0.181:0.184:0.187))
+    (IOPATH B X (0.173:0.174:0.174) (0.181:0.182:0.182))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4177_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.114:0.116:0.119) (0.064:0.074:0.084))
+    (IOPATH B Y (0.084:0.084:0.084) (0.047:0.048:0.048))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4178_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.148:0.148:0.148) (0.258:0.258:0.258))
+    (IOPATH B X (0.137:0.137:0.138) (0.231:0.237:0.243))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+  (INSTANCE _4179_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.431:0.438:0.445) (0.163:0.170:0.176))
+    (IOPATH A2 Y (0.379:0.380:0.380) (0.189:0.189:0.190))
+    (IOPATH B1 Y (0.305:0.306:0.306) (0.088:0.088:0.089))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_1")
+  (INSTANCE _4180_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.309:0.310:0.310) (0.113:0.113:0.113))
+    (IOPATH B Y (0.283:0.283:0.283) (0.075:0.075:0.075))
+    (IOPATH C Y (0.246:0.257:0.268) (0.120:0.121:0.122))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _4181_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.245:0.256:0.267) (0.212:0.213:0.214))
+    (IOPATH A2 Y (0.282:0.284:0.285) (0.162:0.162:0.162))
+    (IOPATH B1_N Y (0.170:0.170:0.170) (0.188:0.192:0.196))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211ai_2")
+  (INSTANCE _4182_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.264:0.264:0.265) (0.160:0.160:0.160))
+    (IOPATH A2 Y (0.218:0.227:0.236) (0.157:0.157:0.157))
+    (IOPATH B1 Y (0.140:0.140:0.140) (0.144:0.144:0.145))
+    (IOPATH C1 Y (0.118:0.129:0.140) (0.136:0.152:0.168))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211o_1")
+  (INSTANCE _4183_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.158:0.159:0.160) (0.302:0.302:0.302))
+    (IOPATH A2 X (0.162:0.175:0.187) (0.326:0.335:0.345))
+    (IOPATH B1 X (0.159:0.159:0.159) (0.309:0.309:0.310))
+    (IOPATH C1 X (0.166:0.166:0.166) (0.246:0.255:0.263))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4184_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.120:0.120:0.121) (0.127:0.127:0.127))
+    (IOPATH B Y (0.161:0.165:0.170) (0.137:0.153:0.168))
+    (IOPATH C Y (0.134:0.135:0.136) (0.128:0.129:0.129))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _4185_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.217:0.218:0.220) (0.214:0.225:0.237))
+    (IOPATH A2 X (0.153:0.153:0.154) (0.193:0.194:0.196))
+    (IOPATH B1 X (0.165:0.165:0.165) (0.136:0.136:0.136))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211oi_2")
+  (INSTANCE _4186_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.327:0.330:0.333) (0.108:0.126:0.143))
+    (IOPATH A2 Y (0.364:0.366:0.369) (0.114:0.116:0.118))
+    (IOPATH B1 Y (0.322:0.323:0.324) (0.074:0.075:0.075))
+    (IOPATH C1 Y (0.270:0.279:0.287) (0.104:0.104:0.104))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4187_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.205:0.207:0.209) (0.102:0.104:0.105))
+    (IOPATH A2 Y (0.191:0.191:0.191) (0.094:0.094:0.094))
+    (IOPATH B1 Y (0.160:0.169:0.178) (0.096:0.097:0.098))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _4188_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.166:0.167:0.167) (0.410:0.410:0.410))
+    (IOPATH B X (0.132:0.132:0.132) (0.373:0.374:0.374))
+    (IOPATH C X (0.183:0.184:0.185) (0.336:0.347:0.358))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _4189_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.199:0.199:0.200) (0.127:0.127:0.127))
+    (IOPATH A2 Y (0.172:0.184:0.196) (0.133:0.134:0.134))
+    (IOPATH B1 Y (0.116:0.116:0.116) (0.093:0.093:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4190_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.229:0.229:0.229) (0.180:0.181:0.183))
+    (IOPATH B Y (0.134:0.134:0.134) (0.135:0.136:0.136))
+    (IOPATH C Y (0.205:0.206:0.206) (0.200:0.200:0.200))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4191_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.209:0.210:0.211) (0.290:0.291:0.291))
+    (IOPATH A2 X (0.235:0.235:0.235) (0.277:0.277:0.278))
+    (IOPATH B1 X (0.146:0.146:0.147) (0.191:0.192:0.192))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _4192_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.181:0.181:0.182) (0.123:0.123:0.123))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4193_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.198:0.214:0.230) (0.247:0.249:0.251))
+    (IOPATH A Y (0.315:0.316:0.318) (0.156:0.184:0.212))
+    (IOPATH B Y (0.165:0.165:0.165) (0.181:0.181:0.181))
+    (IOPATH B Y (0.239:0.239:0.239) (0.102:0.102:0.102))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4194_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.278:0.278:0.278) (0.238:0.238:0.238))
+    (IOPATH B X (0.257:0.257:0.257) (0.264:0.264:0.264))
+    (IOPATH C X (0.206:0.218:0.230) (0.211:0.231:0.252))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4195_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.288:0.290:0.293) (0.279:0.286:0.292))
+    (IOPATH A2 X (0.286:0.286:0.287) (0.283:0.283:0.283))
+    (IOPATH B1 X (0.254:0.254:0.255) (0.255:0.256:0.256))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4196_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.127:0.127:0.128) (0.098:0.098:0.098))
+    (IOPATH B Y (0.105:0.106:0.107) (0.061:0.062:0.062))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4197_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.198:0.198:0.198) (0.085:0.085:0.086))
+    (IOPATH B Y (0.179:0.186:0.194) (0.072:0.073:0.073))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_2")
+  (INSTANCE _4198_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.178:0.186:0.193) (0.186:0.190:0.193))
+    (IOPATH B Y (0.171:0.171:0.171) (0.191:0.191:0.192))
+    (IOPATH C Y (0.161:0.162:0.163) (0.188:0.188:0.189))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4199_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.182:0.182:0.182) (0.222:0.223:0.223))
+    (IOPATH A2 X (0.170:0.170:0.171) (0.211:0.212:0.214))
+    (IOPATH B1_N X (0.263:0.264:0.265) (0.245:0.246:0.247))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4200_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.216:0.217:0.217) (0.199:0.199:0.199))
+    (IOPATH B X (0.238:0.243:0.248) (0.214:0.219:0.225))
+    (IOPATH C X (0.229:0.229:0.229) (0.223:0.223:0.223))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4201_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.121:0.122:0.122) (0.177:0.177:0.177))
+    (IOPATH A2 X (0.141:0.146:0.151) (0.192:0.197:0.201))
+    (IOPATH B1 X (0.100:0.100:0.101) (0.163:0.163:0.163))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4202_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.147:0.148:0.148) (0.117:0.119:0.120))
+    (IOPATH B Y (0.143:0.143:0.143) (0.144:0.144:0.144))
+    (IOPATH C Y (0.102:0.103:0.105) (0.129:0.130:0.130))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4203_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.149:0.150:0.151) (0.219:0.219:0.220))
+    (IOPATH A2 X (0.174:0.174:0.174) (0.220:0.220:0.220))
+    (IOPATH B1 X (0.125:0.125:0.125) (0.163:0.164:0.165))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4204_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.138:0.138:0.138) (0.132:0.132:0.132))
+    (IOPATH B Y (0.151:0.151:0.151) (0.122:0.122:0.122))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4205_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.209:0.220:0.232) (0.189:0.209:0.229))
+    (IOPATH A Y (0.326:0.344:0.361) (0.141:0.158:0.174))
+    (IOPATH B Y (0.204:0.205:0.205) (0.195:0.203:0.210))
+    (IOPATH B Y (0.315:0.322:0.328) (0.127:0.128:0.128))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4206_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.491:0.491:0.491) (0.443:0.443:0.443))
+    (IOPATH A2 X (0.480:0.480:0.480) (0.434:0.434:0.434))
+    (IOPATH B1 X (0.482:0.482:0.482) (0.407:0.407:0.407))
+    (IOPATH B2 X (0.481:0.481:0.481) (0.421:0.421:0.421))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4207_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.368:0.368:0.368) (0.275:0.275:0.275))
+    (IOPATH B X (0.337:0.337:0.337) (0.287:0.287:0.287))
+    (IOPATH C X (0.299:0.299:0.299) (0.283:0.283:0.283))
+    (IOPATH D X (0.352:0.353:0.353) (0.335:0.335:0.335))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4208_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.159:0.172:0.184) (0.165:0.170:0.174))
+    (IOPATH A Y (0.217:0.221:0.225) (0.112:0.129:0.146))
+    (IOPATH B Y (0.162:0.162:0.162) (0.153:0.154:0.155))
+    (IOPATH B Y (0.191:0.192:0.193) (0.106:0.106:0.106))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _4209_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.228:0.228:0.228) (0.148:0.148:0.148))
+    (IOPATH A2 Y (0.240:0.240:0.240) (0.144:0.144:0.144))
+    (IOPATH B1 Y (0.236:0.236:0.236) (0.126:0.126:0.126))
+    (IOPATH B2 Y (0.171:0.171:0.171) (0.091:0.091:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4210_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.152:0.152:0.152) (0.256:0.257:0.258))
+    (IOPATH B X (0.140:0.141:0.143) (0.226:0.238:0.250))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4211_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.161:0.168:0.174) (0.068:0.081:0.094))
+    (IOPATH B Y (0.148:0.148:0.148) (0.059:0.059:0.059))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4212_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.208:0.223:0.237) (0.227:0.231:0.234))
+    (IOPATH A2 X (0.215:0.215:0.215) (0.241:0.241:0.242))
+    (IOPATH B1 X (0.177:0.177:0.177) (0.198:0.202:0.206))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4213_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.220:0.221:0.222) (0.199:0.202:0.205))
+    (IOPATH B X (0.216:0.216:0.216) (0.199:0.199:0.199))
+    (IOPATH C X (0.239:0.239:0.239) (0.232:0.232:0.232))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3b_1")
+  (INSTANCE _4214_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.279:0.280:0.281) (0.230:0.230:0.230))
+    (IOPATH B X (0.214:0.214:0.214) (0.195:0.195:0.196))
+    (IOPATH C X (0.238:0.238:0.238) (0.224:0.225:0.226))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4215_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.114:0.127:0.139) (0.172:0.176:0.179))
+    (IOPATH A2 X (0.106:0.107:0.107) (0.173:0.173:0.174))
+    (IOPATH B1 X (0.086:0.087:0.087) (0.146:0.147:0.147))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4216_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.225:0.227:0.229) (0.214:0.217:0.220))
+    (IOPATH B X (0.200:0.200:0.201) (0.194:0.194:0.195))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _4217_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.208:0.209:0.210) (0.203:0.203:0.203))
+    (IOPATH B X (0.129:0.129:0.130) (0.158:0.158:0.158))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4218_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.196:0.199:0.201) (0.089:0.090:0.092))
+    (IOPATH A2 Y (0.195:0.195:0.196) (0.082:0.082:0.083))
+    (IOPATH B1 Y (0.184:0.185:0.185) (0.077:0.077:0.078))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4219_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.124:0.137:0.150) (0.136:0.144:0.152))
+    (IOPATH B X (0.123:0.123:0.123) (0.157:0.157:0.157))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4220_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.227:0.232:0.236) (0.113:0.113:0.113))
+    (IOPATH B Y (0.210:0.210:0.211) (0.071:0.072:0.072))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _4221_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.490:0.490:0.490) (0.351:0.351:0.351))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _4222_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.230:0.230:0.230) (0.167:0.167:0.167))
+    (IOPATH A2 Y (0.248:0.248:0.248) (0.147:0.147:0.147))
+    (IOPATH B1 Y (0.181:0.181:0.181) (0.082:0.082:0.082))
+    (IOPATH B2 Y (0.232:0.233:0.233) (0.132:0.132:0.132))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4223_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.299:0.300:0.301) (0.136:0.136:0.137))
+    (IOPATH B Y (0.275:0.286:0.297) (0.123:0.126:0.129))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4224_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.332:0.332:0.332) (0.257:0.257:0.257))
+    (IOPATH B X (0.330:0.330:0.330) (0.277:0.277:0.277))
+    (IOPATH C X (0.319:0.319:0.319) (0.324:0.324:0.324))
+    (IOPATH D X (0.302:0.302:0.302) (0.306:0.306:0.306))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4225_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.145:0.145:0.145) (0.127:0.127:0.127))
+    (IOPATH B Y (0.160:0.160:0.160) (0.130:0.130:0.130))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4226_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.189:0.189:0.189) (0.160:0.162:0.164))
+    (IOPATH A Y (0.222:0.224:0.225) (0.148:0.148:0.148))
+    (IOPATH B Y (0.159:0.160:0.160) (0.146:0.147:0.148))
+    (IOPATH B Y (0.194:0.195:0.196) (0.099:0.099:0.100))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4227_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.168:0.170:0.172) (0.071:0.072:0.073))
+    (IOPATH B Y (0.141:0.148:0.154) (0.064:0.075:0.087))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4228_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.200:0.200:0.200) (0.198:0.199:0.201))
+    (IOPATH A2 X (0.169:0.169:0.170) (0.210:0.211:0.211))
+    (IOPATH B1 X (0.140:0.140:0.140) (0.176:0.180:0.183))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4229_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.118:0.122:0.126) (0.152:0.152:0.152))
+    (IOPATH B Y (0.123:0.123:0.123) (0.105:0.106:0.106))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_1")
+  (INSTANCE _4230_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.322:0.323:0.323) (0.102:0.102:0.102))
+    (IOPATH B Y (0.305:0.306:0.308) (0.103:0.105:0.108))
+    (IOPATH C Y (0.278:0.283:0.287) (0.087:0.087:0.088))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4231_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.206:0.206:0.206) (0.182:0.182:0.182))
+    (IOPATH B X (0.268:0.268:0.268) (0.210:0.213:0.216))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4232_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.139:0.140:0.140) (0.077:0.077:0.077))
+    (IOPATH B Y (0.122:0.123:0.123) (0.068:0.068:0.068))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4233_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.214:0.214:0.214) (0.189:0.189:0.190))
+    (IOPATH A Y (0.317:0.317:0.317) (0.150:0.150:0.150))
+    (IOPATH B Y (0.205:0.205:0.205) (0.170:0.171:0.171))
+    (IOPATH B Y (0.284:0.285:0.285) (0.128:0.128:0.128))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _4234_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.218:0.218:0.219) (0.112:0.112:0.112))
+    (IOPATH A2 Y (0.249:0.249:0.250) (0.124:0.124:0.124))
+    (IOPATH B1 Y (0.190:0.190:0.190) (0.098:0.098:0.098))
+    (IOPATH B2 Y (0.220:0.223:0.226) (0.098:0.112:0.126))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_1")
+  (INSTANCE _4235_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.218:0.218:0.218) (0.230:0.240:0.249))
+    (IOPATH A2 X (0.157:0.157:0.157) (0.217:0.218:0.219))
+    (IOPATH B1 X (0.175:0.177:0.179) (0.165:0.168:0.171))
+    (IOPATH C1 X (0.166:0.182:0.197) (0.146:0.150:0.154))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4bb_1")
+  (INSTANCE _4236_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.316:0.327:0.339) (0.263:0.265:0.266))
+    (IOPATH B_N X (0.327:0.328:0.330) (0.247:0.247:0.248))
+    (IOPATH C X (0.279:0.279:0.279) (0.242:0.243:0.243))
+    (IOPATH D X (0.280:0.280:0.280) (0.250:0.251:0.252))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o2bb2a_1")
+  (INSTANCE _4237_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1_N X (0.245:0.245:0.246) (0.227:0.227:0.227))
+    (IOPATH A2_N X (0.235:0.235:0.235) (0.232:0.232:0.232))
+    (IOPATH B1 X (0.141:0.141:0.142) (0.280:0.282:0.283))
+    (IOPATH B2 X (0.183:0.184:0.186) (0.274:0.284:0.294))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4238_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.172:0.172:0.172) (0.271:0.272:0.273))
+    (IOPATH B X (0.141:0.142:0.142) (0.242:0.244:0.246))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211ai_1")
+  (INSTANCE _4239_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.173:0.183:0.192) (0.142:0.143:0.145))
+    (IOPATH A2 Y (0.164:0.165:0.166) (0.097:0.097:0.097))
+    (IOPATH B1 Y (0.134:0.136:0.139) (0.110:0.112:0.114))
+    (IOPATH C1 Y (0.087:0.087:0.087) (0.095:0.096:0.096))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o31a_1")
+  (INSTANCE _4240_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.271:0.273:0.274) (0.371:0.376:0.382))
+    (IOPATH A2 X (0.274:0.276:0.278) (0.357:0.362:0.366))
+    (IOPATH A3 X (0.243:0.243:0.243) (0.321:0.322:0.322))
+    (IOPATH B1 X (0.249:0.259:0.270) (0.167:0.174:0.180))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4241_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.094:0.095:0.096) (0.216:0.217:0.217))
+    (IOPATH B X (0.094:0.106:0.118) (0.198:0.203:0.207))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4242_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.220:0.221:0.222) (0.195:0.197:0.199))
+    (IOPATH B X (0.208:0.208:0.208) (0.205:0.205:0.205))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4243_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.224:0.236:0.249) (0.210:0.213:0.217))
+    (IOPATH B X (0.227:0.229:0.231) (0.247:0.250:0.252))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+  (INSTANCE _4244_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.246:0.246:0.246) (0.124:0.124:0.124))
+    (IOPATH A X (0.228:0.228:0.228) (0.209:0.209:0.209))
+    (IOPATH B X (0.216:0.216:0.217) (0.117:0.117:0.117))
+    (IOPATH B X (0.230:0.230:0.230) (0.178:0.178:0.179))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _4245_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.148:0.148:0.148) (0.254:0.254:0.254))
+    (IOPATH B_N X (0.193:0.193:0.194) (0.269:0.269:0.269))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _4246_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.209:0.212:0.215) (0.131:0.131:0.131))
+    (IOPATH A2 Y (0.179:0.185:0.191) (0.113:0.115:0.117))
+    (IOPATH B1 Y (0.122:0.122:0.122) (0.092:0.092:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4247_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.158:0.158:0.158) (0.192:0.192:0.192))
+    (IOPATH A2 X (0.171:0.172:0.172) (0.218:0.220:0.222))
+    (IOPATH B1 X (0.139:0.149:0.159) (0.190:0.194:0.199))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _4248_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.213:0.216:0.218) (0.204:0.209:0.213))
+    (IOPATH B X (0.149:0.149:0.149) (0.172:0.172:0.172))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _4249_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.134:0.149:0.163) (0.393:0.397:0.401))
+    (IOPATH B X (0.145:0.145:0.145) (0.373:0.373:0.374))
+    (IOPATH C X (0.117:0.117:0.117) (0.325:0.325:0.325))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _4250_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.172:0.175:0.177) (0.090:0.108:0.126))
+    (IOPATH A2 Y (0.143:0.152:0.161) (0.099:0.099:0.099))
+    (IOPATH B1 Y (0.108:0.108:0.108) (0.075:0.075:0.075))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4251_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.144:0.148:0.151) (0.066:0.082:0.099))
+    (IOPATH B Y (0.118:0.125:0.133) (0.075:0.075:0.075))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+  (INSTANCE _4252_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.224:0.224:0.225) (0.108:0.108:0.109))
+    (IOPATH A2 Y (0.218:0.227:0.235) (0.115:0.117:0.119))
+    (IOPATH B1 Y (0.184:0.189:0.195) (0.070:0.070:0.071))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _4253_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.254:0.254:0.254) (0.258:0.258:0.258))
+    (IOPATH A2 X (0.253:0.253:0.253) (0.302:0.302:0.302))
+    (IOPATH A3 X (0.214:0.214:0.214) (0.261:0.261:0.261))
+    (IOPATH B1 X (0.151:0.151:0.151) (0.216:0.216:0.217))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4254_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.126:0.131:0.136) (0.064:0.077:0.090))
+    (IOPATH B Y (0.089:0.095:0.102) (0.059:0.059:0.060))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4255_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.157:0.173:0.189) (0.193:0.195:0.197))
+    (IOPATH A2 X (0.183:0.186:0.188) (0.207:0.217:0.226))
+    (IOPATH B1 X (0.132:0.132:0.133) (0.181:0.186:0.192))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4256_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.119:0.119:0.119) (0.120:0.120:0.120))
+    (IOPATH B Y (0.112:0.112:0.112) (0.095:0.095:0.095))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _4257_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.496:0.496:0.496) (0.383:0.383:0.383))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4258_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.278:0.278:0.278) (0.227:0.227:0.227))
+    (IOPATH B X (0.255:0.255:0.255) (0.246:0.246:0.246))
+    (IOPATH C X (0.236:0.236:0.236) (0.221:0.221:0.221))
+    (IOPATH D X (0.274:0.274:0.274) (0.286:0.286:0.286))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4259_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.129:0.130:0.130) (0.244:0.245:0.246))
+    (IOPATH B X (0.147:0.147:0.147) (0.238:0.239:0.239))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4260_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.216:0.221:0.227) (0.102:0.132:0.162))
+    (IOPATH A2 Y (0.233:0.238:0.243) (0.100:0.101:0.103))
+    (IOPATH B1 Y (0.200:0.207:0.214) (0.090:0.091:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4261_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.157:0.157:0.157) (0.157:0.157:0.157))
+    (IOPATH A Y (0.235:0.235:0.235) (0.101:0.101:0.102))
+    (IOPATH B Y (0.183:0.185:0.186) (0.155:0.167:0.179))
+    (IOPATH B Y (0.218:0.228:0.239) (0.124:0.126:0.128))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4262_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.162:0.162:0.162) (0.159:0.167:0.175))
+    (IOPATH A Y (0.245:0.252:0.259) (0.104:0.105:0.105))
+    (IOPATH B Y (0.170:0.181:0.193) (0.160:0.163:0.166))
+    (IOPATH B Y (0.230:0.232:0.235) (0.104:0.119:0.133))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4263_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.272:0.275:0.277) (0.206:0.218:0.230))
+    (IOPATH B X (0.272:0.281:0.291) (0.233:0.240:0.248))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4264_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.212:0.222:0.231) (0.128:0.130:0.133))
+    (IOPATH A2 Y (0.248:0.261:0.274) (0.173:0.174:0.176))
+    (IOPATH B1 Y (0.226:0.226:0.226) (0.102:0.102:0.102))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4265_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.316:0.317:0.318) (0.165:0.165:0.165))
+    (IOPATH A2 Y (0.335:0.336:0.336) (0.144:0.145:0.146))
+    (IOPATH B1 Y (0.319:0.321:0.323) (0.166:0.166:0.166))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _4266_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.329:0.329:0.330) (0.269:0.269:0.269))
+    (IOPATH B X (0.264:0.264:0.264) (0.243:0.243:0.244))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _4267_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.416:0.416:0.416) (0.313:0.313:0.313))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4268_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.136:0.136:0.136) (0.140:0.140:0.140))
+    (IOPATH B Y (0.123:0.123:0.123) (0.119:0.119:0.119))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _4269_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.287:0.287:0.287) (0.202:0.202:0.202))
+    (IOPATH A2 Y (0.294:0.294:0.294) (0.168:0.168:0.168))
+    (IOPATH B1 Y (0.266:0.266:0.266) (0.175:0.175:0.175))
+    (IOPATH B2 Y (0.273:0.273:0.273) (0.147:0.147:0.147))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4270_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.355:0.355:0.355) (0.269:0.269:0.269))
+    (IOPATH B X (0.332:0.332:0.332) (0.291:0.291:0.291))
+    (IOPATH C X (0.346:0.346:0.346) (0.309:0.309:0.309))
+    (IOPATH D X (0.347:0.347:0.347) (0.369:0.369:0.369))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4271_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.166:0.176:0.186) (0.101:0.102:0.103))
+    (IOPATH B Y (0.144:0.144:0.145) (0.081:0.081:0.082))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4272_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.163:0.164:0.164) (0.167:0.174:0.181))
+    (IOPATH A Y (0.248:0.255:0.261) (0.107:0.108:0.108))
+    (IOPATH B Y (0.175:0.176:0.176) (0.153:0.156:0.159))
+    (IOPATH B Y (0.220:0.223:0.226) (0.112:0.112:0.112))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _4273_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.218:0.218:0.218) (0.247:0.247:0.247))
+    (IOPATH A2 X (0.195:0.195:0.195) (0.227:0.228:0.228))
+    (IOPATH B1 X (0.185:0.199:0.213) (0.146:0.148:0.150))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_1")
+  (INSTANCE _4274_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.211:0.211:0.211) (0.092:0.092:0.092))
+    (IOPATH B Y (0.193:0.193:0.193) (0.081:0.081:0.081))
+    (IOPATH C Y (0.142:0.144:0.146) (0.056:0.064:0.073))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4275_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.170:0.171:0.172) (0.082:0.082:0.082))
+    (IOPATH B Y (0.145:0.150:0.155) (0.085:0.085:0.085))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4276_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.214:0.215:0.216) (0.193:0.194:0.196))
+    (IOPATH A Y (0.283:0.284:0.286) (0.172:0.174:0.175))
+    (IOPATH B Y (0.188:0.188:0.188) (0.157:0.158:0.159))
+    (IOPATH B Y (0.235:0.236:0.237) (0.124:0.124:0.124))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+  (INSTANCE _4277_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.206:0.206:0.206) (0.084:0.084:0.085))
+    (IOPATH A2 Y (0.206:0.210:0.214) (0.124:0.124:0.124))
+    (IOPATH B1 Y (0.171:0.172:0.173) (0.064:0.064:0.064))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+  (INSTANCE _4278_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.187:0.187:0.187) (0.159:0.159:0.159))
+    (IOPATH B Y (0.151:0.151:0.151) (0.138:0.138:0.138))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _4279_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.385:0.385:0.385) (0.311:0.311:0.311))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4280_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.278:0.278:0.278) (0.295:0.295:0.295))
+    (IOPATH A2 X (0.253:0.253:0.253) (0.302:0.302:0.302))
+    (IOPATH B1 X (0.264:0.264:0.264) (0.300:0.300:0.300))
+    (IOPATH B2 X (0.220:0.220:0.220) (0.264:0.264:0.264))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_2")
+  (INSTANCE _4281_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.232:0.233:0.234) (0.106:0.107:0.108))
+    (IOPATH A2 Y (0.206:0.212:0.217) (0.094:0.095:0.096))
+    (IOPATH B1 Y (0.110:0.110:0.110) (0.103:0.104:0.104))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4282_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.232:0.232:0.232) (0.264:0.264:0.264))
+    (IOPATH A2 X (0.208:0.208:0.208) (0.273:0.273:0.273))
+    (IOPATH B1 X (0.205:0.205:0.205) (0.247:0.247:0.247))
+    (IOPATH B2 X (0.212:0.212:0.212) (0.278:0.278:0.278))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4283_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.154:0.154:0.154) (0.137:0.137:0.137))
+    (IOPATH B Y (0.120:0.120:0.120) (0.116:0.116:0.116))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4284_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.170:0.172:0.173) (0.285:0.290:0.295))
+    (IOPATH B X (0.169:0.171:0.172) (0.267:0.272:0.278))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4285_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.084:0.084:0.084) (0.075:0.075:0.076))
+    (IOPATH B Y (0.104:0.104:0.104) (0.089:0.089:0.089))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _4286_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.254:0.254:0.254) (0.253:0.253:0.253))
+    (IOPATH A2 X (0.239:0.239:0.239) (0.266:0.266:0.266))
+    (IOPATH A3 X (0.231:0.233:0.235) (0.255:0.261:0.266))
+    (IOPATH B1 X (0.166:0.166:0.166) (0.223:0.224:0.225))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _4287_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.223:0.223:0.223) (0.091:0.092:0.094))
+    (IOPATH A X (0.210:0.211:0.212) (0.181:0.182:0.182))
+    (IOPATH B X (0.210:0.211:0.211) (0.107:0.107:0.108))
+    (IOPATH B X (0.228:0.228:0.229) (0.169:0.169:0.170))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4288_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.203:0.203:0.204) (0.183:0.184:0.185))
+    (IOPATH A Y (0.293:0.294:0.295) (0.146:0.146:0.146))
+    (IOPATH B Y (0.209:0.210:0.211) (0.168:0.173:0.178))
+    (IOPATH B Y (0.264:0.269:0.273) (0.144:0.145:0.147))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _4289_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.158:0.168:0.177) (0.173:0.176:0.178))
+    (IOPATH A Y (0.247:0.249:0.251) (0.112:0.123:0.133))
+    (IOPATH B Y (0.163:0.176:0.188) (0.179:0.182:0.186))
+    (IOPATH B Y (0.231:0.234:0.237) (0.112:0.126:0.141))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _4290_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.192:0.192:0.193) (0.185:0.192:0.199))
+    (IOPATH A Y (0.288:0.294:0.300) (0.147:0.148:0.148))
+    (IOPATH B Y (0.170:0.185:0.199) (0.191:0.195:0.200))
+    (IOPATH B Y (0.274:0.277:0.281) (0.118:0.136:0.153))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4291_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.204:0.216:0.228) (0.187:0.196:0.204))
+    (IOPATH A Y (0.311:0.319:0.326) (0.139:0.157:0.174))
+    (IOPATH B Y (0.203:0.219:0.236) (0.194:0.197:0.200))
+    (IOPATH B Y (0.302:0.304:0.307) (0.128:0.153:0.178))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _4292_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.239:0.247:0.254) (0.131:0.133:0.135))
+    (IOPATH A X (0.244:0.246:0.247) (0.193:0.201:0.208))
+    (IOPATH B X (0.228:0.232:0.237) (0.118:0.137:0.156))
+    (IOPATH B X (0.239:0.247:0.256) (0.182:0.187:0.192))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4293_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.203:0.215:0.226) (0.188:0.191:0.194))
+    (IOPATH A Y (0.312:0.314:0.317) (0.139:0.156:0.173))
+    (IOPATH B Y (0.221:0.223:0.224) (0.178:0.191:0.204))
+    (IOPATH B Y (0.287:0.299:0.311) (0.157:0.158:0.160))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4294_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.181:0.182:0.182) (0.168:0.168:0.169))
+    (IOPATH A Y (0.271:0.272:0.272) (0.121:0.121:0.122))
+    (IOPATH B Y (0.195:0.208:0.221) (0.185:0.189:0.194))
+    (IOPATH B Y (0.270:0.274:0.278) (0.128:0.147:0.166))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _4295_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.196:0.197:0.197) (0.205:0.206:0.206))
+    (IOPATH A Y (0.331:0.331:0.332) (0.147:0.148:0.148))
+    (IOPATH B Y (0.196:0.208:0.220) (0.207:0.213:0.218))
+    (IOPATH B Y (0.312:0.317:0.322) (0.141:0.158:0.174))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4296_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.182:0.182:0.182) (0.151:0.157:0.164))
+    (IOPATH A Y (0.230:0.235:0.240) (0.133:0.133:0.133))
+    (IOPATH B Y (0.172:0.188:0.204) (0.178:0.180:0.183))
+    (IOPATH B Y (0.237:0.240:0.243) (0.109:0.131:0.152))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4297_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.288:0.292:0.296) (0.240:0.244:0.248))
+    (IOPATH A Y (0.477:0.481:0.485) (0.200:0.205:0.210))
+    (IOPATH B Y (0.287:0.299:0.311) (0.245:0.252:0.259))
+    (IOPATH B Y (0.464:0.471:0.477) (0.187:0.205:0.223))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _4298_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.230:0.230:0.230) (0.195:0.195:0.195))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _4299_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.267:0.267:0.267) (0.211:0.211:0.211))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _4300_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.423:0.423:0.423) (0.329:0.329:0.329))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _4301_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.232:0.232:0.232) (0.193:0.193:0.193))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_1")
+  (INSTANCE _4302_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.195:0.195:0.195) (0.221:0.221:0.221))
+    (IOPATH B Y (0.155:0.155:0.155) (0.180:0.180:0.180))
+    (IOPATH C Y (0.173:0.173:0.173) (0.176:0.176:0.176))
+    (IOPATH D Y (0.166:0.166:0.166) (0.178:0.178:0.178))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4303_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.261:0.261:0.261) (0.303:0.303:0.303))
+    (IOPATH A2 X (0.219:0.219:0.219) (0.281:0.281:0.281))
+    (IOPATH B1 X (0.207:0.207:0.207) (0.229:0.229:0.229))
+    (IOPATH B2 X (0.204:0.204:0.204) (0.247:0.247:0.247))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _4304_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.274:0.274:0.274) (0.217:0.217:0.217))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4305_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.231:0.231:0.231) (0.202:0.202:0.202))
+    (IOPATH B X (0.209:0.209:0.209) (0.214:0.214:0.214))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4306_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.125:0.134:0.142) (0.105:0.106:0.107))
+    (IOPATH B Y (0.107:0.107:0.107) (0.104:0.104:0.105))
+    (IOPATH C Y (0.107:0.108:0.108) (0.112:0.112:0.112))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4307_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.144:0.144:0.145) (0.202:0.211:0.219))
+    (IOPATH A2 X (0.139:0.140:0.140) (0.194:0.194:0.194))
+    (IOPATH B1 X (0.117:0.117:0.117) (0.171:0.171:0.172))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _4308_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.207:0.207:0.207) (0.123:0.123:0.123))
+    (IOPATH A2 Y (0.242:0.242:0.242) (0.121:0.121:0.121))
+    (IOPATH B1 Y (0.181:0.181:0.181) (0.091:0.091:0.091))
+    (IOPATH B2 Y (0.203:0.203:0.203) (0.102:0.102:0.102))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _4309_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.295:0.295:0.295) (0.236:0.236:0.236))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4310_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.175:0.175:0.175) (0.147:0.147:0.147))
+    (IOPATH B Y (0.126:0.126:0.126) (0.123:0.123:0.123))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4311_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.219:0.219:0.219) (0.176:0.176:0.176))
+    (IOPATH B X (0.244:0.244:0.244) (0.213:0.213:0.213))
+    (IOPATH C X (0.270:0.270:0.270) (0.242:0.242:0.242))
+    (IOPATH D X (0.268:0.268:0.268) (0.260:0.260:0.260))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _4312_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.173:0.175:0.176) (0.105:0.107:0.110))
+    (IOPATH A2 Y (0.164:0.171:0.178) (0.079:0.081:0.084))
+    (IOPATH B1_N Y (0.135:0.135:0.135) (0.165:0.166:0.167))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4313_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.241:0.243:0.244) (0.206:0.208:0.209))
+    (IOPATH B X (0.239:0.239:0.240) (0.212:0.212:0.212))
+    (IOPATH C X (0.249:0.256:0.263) (0.230:0.233:0.237))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4314_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.213:0.215:0.216) (0.094:0.096:0.097))
+    (IOPATH A2 Y (0.218:0.218:0.218) (0.090:0.090:0.091))
+    (IOPATH B1 Y (0.195:0.198:0.201) (0.066:0.075:0.084))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _4315_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.238:0.238:0.238) (0.197:0.197:0.197))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _4316_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.259:0.259:0.259) (0.181:0.181:0.181))
+    (IOPATH A2 Y (0.244:0.244:0.244) (0.138:0.138:0.138))
+    (IOPATH B1 Y (0.206:0.206:0.206) (0.125:0.125:0.125))
+    (IOPATH B2 Y (0.268:0.268:0.268) (0.144:0.144:0.144))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4317_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.260:0.260:0.260) (0.223:0.223:0.223))
+    (IOPATH B X (0.234:0.234:0.234) (0.204:0.204:0.204))
+    (IOPATH C X (0.240:0.240:0.240) (0.224:0.224:0.224))
+    (IOPATH D X (0.243:0.243:0.243) (0.255:0.255:0.255))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4318_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.146:0.153:0.161) (0.088:0.089:0.091))
+    (IOPATH B Y (0.115:0.115:0.116) (0.054:0.054:0.054))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4319_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.132:0.132:0.132) (0.127:0.127:0.127))
+    (IOPATH B Y (0.121:0.121:0.121) (0.117:0.117:0.117))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4320_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.166:0.166:0.166) (0.142:0.148:0.155))
+    (IOPATH A Y (0.218:0.224:0.229) (0.113:0.113:0.113))
+    (IOPATH B Y (0.158:0.158:0.158) (0.160:0.164:0.168))
+    (IOPATH B Y (0.219:0.222:0.225) (0.096:0.096:0.096))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3b_1")
+  (INSTANCE _4321_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.152:0.152:0.152) (0.399:0.400:0.401))
+    (IOPATH B X (0.155:0.157:0.159) (0.365:0.369:0.373))
+    (IOPATH C_N X (0.181:0.183:0.186) (0.370:0.379:0.388))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _4322_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.405:0.405:0.405) (0.314:0.314:0.314))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _4323_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.266:0.266:0.266) (0.220:0.220:0.220))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4324_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.307:0.307:0.307) (0.262:0.262:0.262))
+    (IOPATH B X (0.308:0.308:0.308) (0.283:0.283:0.283))
+    (IOPATH C X (0.279:0.279:0.279) (0.244:0.244:0.244))
+    (IOPATH D X (0.330:0.330:0.330) (0.333:0.333:0.333))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _4325_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.551:0.551:0.551) (0.438:0.438:0.438))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _4326_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.254:0.254:0.254) (0.215:0.215:0.215))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_1")
+  (INSTANCE _4327_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.174:0.174:0.174) (0.204:0.204:0.204))
+    (IOPATH B Y (0.204:0.204:0.204) (0.216:0.216:0.216))
+    (IOPATH C Y (0.177:0.177:0.177) (0.183:0.183:0.183))
+    (IOPATH D Y (0.158:0.158:0.158) (0.183:0.183:0.183))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4328_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.227:0.227:0.227) (0.273:0.273:0.273))
+    (IOPATH A2 X (0.193:0.193:0.193) (0.270:0.270:0.270))
+    (IOPATH B1 X (0.179:0.179:0.179) (0.210:0.210:0.210))
+    (IOPATH B2 X (0.201:0.201:0.201) (0.258:0.258:0.258))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_1")
+  (INSTANCE _4329_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.222:0.222:0.222) (0.226:0.226:0.226))
+    (IOPATH B Y (0.164:0.164:0.164) (0.176:0.176:0.176))
+    (IOPATH C Y (0.182:0.187:0.191) (0.157:0.159:0.161))
+    (IOPATH D Y (0.130:0.130:0.130) (0.148:0.148:0.149))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4330_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.236:0.236:0.236) (0.285:0.285:0.285))
+    (IOPATH A2 X (0.194:0.194:0.194) (0.271:0.271:0.271))
+    (IOPATH B1 X (0.162:0.164:0.166) (0.230:0.234:0.237))
+    (IOPATH B2 X (0.148:0.148:0.149) (0.211:0.211:0.212))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4331_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.143:0.143:0.143) (0.134:0.134:0.134))
+    (IOPATH B Y (0.118:0.118:0.118) (0.111:0.111:0.111))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _4332_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.278:0.278:0.278) (0.223:0.223:0.223))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _4333_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.225:0.225:0.225) (0.192:0.192:0.192))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _4334_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.272:0.272:0.272) (0.213:0.213:0.213))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _4335_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.277:0.277:0.277) (0.163:0.163:0.163))
+    (IOPATH A2 Y (0.322:0.322:0.322) (0.182:0.182:0.182))
+    (IOPATH B1 Y (0.274:0.274:0.274) (0.156:0.156:0.156))
+    (IOPATH B2 Y (0.259:0.259:0.259) (0.137:0.137:0.137))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _4336_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.247:0.247:0.247) (0.206:0.206:0.206))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4337_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.233:0.233:0.233) (0.182:0.182:0.182))
+    (IOPATH B X (0.244:0.244:0.244) (0.210:0.210:0.210))
+    (IOPATH C X (0.281:0.281:0.281) (0.267:0.267:0.267))
+    (IOPATH D X (0.284:0.284:0.284) (0.290:0.290:0.290))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _4338_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.269:0.275:0.280) (0.130:0.130:0.131))
+    (IOPATH A2 Y (0.265:0.269:0.274) (0.156:0.157:0.158))
+    (IOPATH B1_N Y (0.178:0.178:0.179) (0.201:0.202:0.203))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4339_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.173:0.174:0.176) (0.218:0.230:0.242))
+    (IOPATH A2 X (0.160:0.161:0.162) (0.208:0.209:0.209))
+    (IOPATH B1 X (0.148:0.158:0.167) (0.206:0.207:0.209))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4340_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.136:0.150:0.163) (0.119:0.122:0.124))
+    (IOPATH B Y (0.142:0.143:0.144) (0.131:0.146:0.162))
+    (IOPATH C Y (0.114:0.114:0.114) (0.113:0.114:0.114))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4341_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.298:0.299:0.299) (0.284:0.285:0.286))
+    (IOPATH A2 X (0.292:0.292:0.292) (0.307:0.307:0.307))
+    (IOPATH B1_N X (0.367:0.372:0.377) (0.322:0.324:0.326))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _4342_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.184:0.185:0.186) (0.112:0.112:0.112))
+    (IOPATH A2 Y (0.156:0.161:0.166) (0.103:0.105:0.106))
+    (IOPATH B1_N Y (0.135:0.149:0.162) (0.172:0.175:0.177))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4343_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.223:0.223:0.223) (0.201:0.201:0.201))
+    (IOPATH B X (0.286:0.286:0.286) (0.257:0.257:0.258))
+    (IOPATH C X (0.239:0.247:0.254) (0.231:0.232:0.233))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _4344_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.161:0.161:0.161) (0.416:0.417:0.418))
+    (IOPATH B X (0.170:0.172:0.175) (0.397:0.399:0.400))
+    (IOPATH C X (0.149:0.153:0.156) (0.364:0.370:0.377))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _4345_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.217:0.218:0.219) (0.119:0.119:0.119))
+    (IOPATH A2 Y (0.209:0.211:0.213) (0.115:0.117:0.120))
+    (IOPATH B1 Y (0.148:0.156:0.165) (0.109:0.112:0.116))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _4346_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.215:0.215:0.215) (0.133:0.133:0.133))
+    (IOPATH A2 Y (0.244:0.244:0.244) (0.122:0.122:0.122))
+    (IOPATH B1 Y (0.184:0.184:0.184) (0.092:0.092:0.092))
+    (IOPATH B2 Y (0.204:0.204:0.204) (0.102:0.102:0.102))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4347_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.112:0.112:0.112) (0.114:0.114:0.114))
+    (IOPATH B Y (0.181:0.181:0.181) (0.144:0.144:0.144))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4348_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.260:0.260:0.260) (0.198:0.198:0.198))
+    (IOPATH B X (0.260:0.260:0.260) (0.219:0.219:0.219))
+    (IOPATH C X (0.259:0.259:0.259) (0.234:0.234:0.234))
+    (IOPATH D X (0.258:0.258:0.258) (0.255:0.255:0.255))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _4349_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.192:0.195:0.198) (0.114:0.117:0.119))
+    (IOPATH A2 Y (0.184:0.187:0.190) (0.084:0.088:0.091))
+    (IOPATH B1_N Y (0.141:0.142:0.142) (0.170:0.172:0.173))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4350_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.152:0.152:0.152) (0.139:0.139:0.139))
+    (IOPATH B Y (0.160:0.162:0.165) (0.164:0.172:0.179))
+    (IOPATH C Y (0.144:0.148:0.152) (0.148:0.157:0.166))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _4351_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.255:0.255:0.255) (0.205:0.205:0.205))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _4352_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.256:0.256:0.256) (0.179:0.179:0.179))
+    (IOPATH A2 Y (0.238:0.238:0.238) (0.131:0.131:0.131))
+    (IOPATH B1 Y (0.195:0.195:0.195) (0.110:0.110:0.110))
+    (IOPATH B2 Y (0.265:0.265:0.265) (0.142:0.142:0.142))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4353_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.270:0.270:0.270) (0.229:0.229:0.229))
+    (IOPATH B X (0.238:0.238:0.238) (0.206:0.206:0.206))
+    (IOPATH C X (0.245:0.245:0.245) (0.226:0.226:0.226))
+    (IOPATH D X (0.254:0.254:0.254) (0.262:0.262:0.262))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4354_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.143:0.151:0.159) (0.087:0.088:0.090))
+    (IOPATH B Y (0.115:0.116:0.117) (0.056:0.057:0.057))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _4355_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.231:0.231:0.231) (0.195:0.195:0.195))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4356_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.157:0.157:0.157) (0.159:0.159:0.159))
+    (IOPATH B Y (0.120:0.120:0.120) (0.114:0.114:0.114))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4357_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.166:0.167:0.167) (0.143:0.148:0.154))
+    (IOPATH A Y (0.221:0.226:0.231) (0.112:0.112:0.112))
+    (IOPATH B Y (0.160:0.162:0.163) (0.159:0.171:0.182))
+    (IOPATH B Y (0.220:0.231:0.242) (0.097:0.098:0.099))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4358_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.141:0.141:0.141) (0.190:0.191:0.191))
+    (IOPATH A2 X (0.160:0.166:0.172) (0.204:0.206:0.208))
+    (IOPATH B1 X (0.115:0.122:0.130) (0.171:0.174:0.178))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4359_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.193:0.195:0.197) (0.179:0.182:0.184))
+    (IOPATH B Y (0.181:0.183:0.186) (0.176:0.192:0.209))
+    (IOPATH C Y (0.164:0.165:0.165) (0.169:0.169:0.169))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4360_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.239:0.239:0.239) (0.096:0.096:0.096))
+    (IOPATH A2 Y (0.252:0.253:0.254) (0.107:0.118:0.128))
+    (IOPATH B1 Y (0.261:0.261:0.261) (0.122:0.122:0.123))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211oi_1")
+  (INSTANCE _4361_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.401:0.402:0.404) (0.130:0.132:0.135))
+    (IOPATH A2 Y (0.444:0.445:0.446) (0.143:0.145:0.147))
+    (IOPATH B1 Y (0.392:0.393:0.393) (0.104:0.104:0.104))
+    (IOPATH C1 Y (0.355:0.357:0.360) (0.120:0.122:0.124))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _4362_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.177:0.179:0.181) (0.206:0.214:0.221))
+    (IOPATH A2 X (0.139:0.139:0.139) (0.190:0.194:0.197))
+    (IOPATH B1_N X (0.222:0.223:0.224) (0.158:0.158:0.158))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _4363_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.172:0.172:0.172) (0.204:0.205:0.206))
+    (IOPATH A2 X (0.187:0.188:0.189) (0.189:0.195:0.202))
+    (IOPATH B1_N X (0.226:0.227:0.228) (0.156:0.156:0.156))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3b_1")
+  (INSTANCE _4364_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.136:0.136:0.137) (0.383:0.384:0.384))
+    (IOPATH B X (0.174:0.175:0.177) (0.359:0.364:0.369))
+    (IOPATH C_N X (0.158:0.159:0.160) (0.348:0.348:0.349))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _4365_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.225:0.226:0.227) (0.206:0.206:0.207))
+    (IOPATH B X (0.157:0.158:0.159) (0.191:0.191:0.191))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _4366_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.305:0.305:0.305) (0.292:0.292:0.292))
+    (IOPATH A2 X (0.304:0.304:0.304) (0.341:0.341:0.341))
+    (IOPATH A3 X (0.248:0.248:0.248) (0.278:0.279:0.279))
+    (IOPATH B1 X (0.197:0.197:0.198) (0.242:0.242:0.243))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4367_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.161:0.165:0.169) (0.120:0.122:0.124))
+    (IOPATH B Y (0.159:0.173:0.186) (0.118:0.121:0.123))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _4368_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.264:0.264:0.264) (0.210:0.210:0.210))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _4369_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.389:0.389:0.389) (0.269:0.269:0.269))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4370_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.233:0.233:0.233) (0.285:0.285:0.285))
+    (IOPATH A2 X (0.204:0.204:0.204) (0.270:0.270:0.270))
+    (IOPATH B1 X (0.225:0.225:0.225) (0.251:0.251:0.251))
+    (IOPATH B2 X (0.217:0.217:0.217) (0.285:0.285:0.285))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_1")
+  (INSTANCE _4371_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.171:0.171:0.171) (0.179:0.179:0.179))
+    (IOPATH B Y (0.183:0.183:0.183) (0.178:0.178:0.178))
+    (IOPATH C Y (0.141:0.141:0.141) (0.153:0.153:0.153))
+    (IOPATH D Y (0.173:0.173:0.173) (0.183:0.183:0.183))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _4372_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.352:0.352:0.352) (0.252:0.252:0.252))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4373_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.279:0.279:0.279) (0.206:0.206:0.206))
+    (IOPATH B X (0.304:0.304:0.304) (0.258:0.258:0.258))
+    (IOPATH C X (0.323:0.323:0.323) (0.288:0.288:0.288))
+    (IOPATH D X (0.278:0.278:0.278) (0.254:0.254:0.254))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _4374_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.262:0.262:0.262) (0.166:0.166:0.166))
+    (IOPATH A2 Y (0.264:0.264:0.264) (0.170:0.170:0.170))
+    (IOPATH B1 Y (0.227:0.227:0.227) (0.133:0.133:0.133))
+    (IOPATH B2 Y (0.208:0.208:0.208) (0.111:0.111:0.111))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4bb_1")
+  (INSTANCE _4375_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.313:0.315:0.316) (0.233:0.234:0.234))
+    (IOPATH B_N X (0.334:0.342:0.349) (0.271:0.272:0.273))
+    (IOPATH C X (0.293:0.293:0.293) (0.276:0.276:0.276))
+    (IOPATH D X (0.283:0.283:0.283) (0.259:0.259:0.259))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211o_1")
+  (INSTANCE _4376_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.174:0.175:0.175) (0.300:0.300:0.300))
+    (IOPATH A2 X (0.182:0.183:0.184) (0.356:0.362:0.367))
+    (IOPATH B1 X (0.169:0.170:0.170) (0.316:0.317:0.318))
+    (IOPATH C1 X (0.161:0.161:0.161) (0.272:0.273:0.274))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_1")
+  (INSTANCE _4377_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.224:0.224:0.224) (0.267:0.268:0.269))
+    (IOPATH A2 X (0.200:0.200:0.200) (0.248:0.249:0.250))
+    (IOPATH B1 X (0.202:0.203:0.204) (0.164:0.164:0.164))
+    (IOPATH C1 X (0.203:0.204:0.205) (0.172:0.178:0.185))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+  (INSTANCE _4378_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.426:0.428:0.429) (0.157:0.159:0.160))
+    (IOPATH A2 Y (0.413:0.414:0.415) (0.153:0.154:0.154))
+    (IOPATH B1 Y (0.379:0.380:0.382) (0.108:0.108:0.109))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _4379_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.405:0.405:0.405) (0.312:0.312:0.312))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4380_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.117:0.117:0.117) (0.101:0.101:0.101))
+    (IOPATH B Y (0.133:0.133:0.133) (0.098:0.098:0.098))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _4381_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.287:0.287:0.287) (0.224:0.224:0.224))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4382_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.144:0.144:0.144) (0.147:0.147:0.147))
+    (IOPATH B Y (0.226:0.226:0.226) (0.188:0.188:0.188))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4383_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.253:0.253:0.253) (0.193:0.193:0.193))
+    (IOPATH B X (0.288:0.288:0.288) (0.248:0.248:0.248))
+    (IOPATH C X (0.255:0.255:0.255) (0.232:0.232:0.232))
+    (IOPATH D X (0.272:0.272:0.272) (0.297:0.297:0.297))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4384_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.207:0.213:0.219) (0.090:0.091:0.092))
+    (IOPATH A2 Y (0.244:0.248:0.252) (0.111:0.114:0.117))
+    (IOPATH B1 Y (0.192:0.193:0.194) (0.070:0.071:0.071))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _4385_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.437:0.437:0.437) (0.338:0.338:0.338))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4386_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.128:0.128:0.128) (0.122:0.122:0.122))
+    (IOPATH B Y (0.164:0.164:0.164) (0.123:0.123:0.123))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4387_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.187:0.188:0.190) (0.155:0.161:0.167))
+    (IOPATH A Y (0.241:0.247:0.252) (0.135:0.138:0.140))
+    (IOPATH B Y (0.162:0.165:0.167) (0.169:0.173:0.177))
+    (IOPATH B Y (0.238:0.241:0.245) (0.097:0.100:0.102))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4388_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.184:0.184:0.184) (0.164:0.164:0.164))
+    (IOPATH B Y (0.200:0.200:0.200) (0.166:0.166:0.166))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4389_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.211:0.211:0.211) (0.178:0.178:0.178))
+    (IOPATH B Y (0.240:0.240:0.240) (0.210:0.210:0.210))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4390_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.264:0.264:0.264) (0.305:0.305:0.305))
+    (IOPATH A2 X (0.254:0.254:0.254) (0.337:0.337:0.337))
+    (IOPATH B1 X (0.239:0.239:0.239) (0.288:0.288:0.288))
+    (IOPATH B2 X (0.234:0.234:0.234) (0.301:0.301:0.301))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _4391_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.154:0.154:0.155) (0.218:0.220:0.222))
+    (IOPATH A2 X (0.140:0.141:0.143) (0.216:0.220:0.223))
+    (IOPATH B1 X (0.145:0.145:0.145) (0.120:0.120:0.120))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21boi_1")
+  (INSTANCE _4392_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.265:0.265:0.265) (0.120:0.120:0.121))
+    (IOPATH A2 Y (0.288:0.288:0.288) (0.132:0.132:0.132))
+    (IOPATH B1_N Y (0.312:0.313:0.314) (0.202:0.212:0.222))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4393_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.154:0.154:0.154) (0.147:0.148:0.149))
+    (IOPATH A Y (0.227:0.228:0.230) (0.098:0.098:0.098))
+    (IOPATH B Y (0.198:0.199:0.201) (0.158:0.165:0.171))
+    (IOPATH B Y (0.222:0.228:0.234) (0.142:0.144:0.147))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4394_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.167:0.179:0.190) (0.160:0.165:0.170))
+    (IOPATH A Y (0.241:0.245:0.249) (0.111:0.127:0.142))
+    (IOPATH B Y (0.166:0.178:0.189) (0.157:0.162:0.167))
+    (IOPATH B Y (0.222:0.226:0.231) (0.101:0.115:0.130))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4395_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.163:0.172:0.181) (0.130:0.131:0.132))
+    (IOPATH B Y (0.139:0.143:0.147) (0.068:0.079:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3b_1")
+  (INSTANCE _4396_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.281:0.282:0.282) (0.091:0.091:0.091))
+    (IOPATH B Y (0.254:0.258:0.262) (0.093:0.095:0.096))
+    (IOPATH C_N Y (0.267:0.277:0.288) (0.157:0.159:0.162))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _4397_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.224:0.234:0.244) (0.161:0.162:0.164))
+    (IOPATH A X (0.246:0.247:0.247) (0.192:0.202:0.211))
+    (IOPATH B X (0.195:0.199:0.204) (0.096:0.111:0.126))
+    (IOPATH B X (0.211:0.219:0.227) (0.164:0.168:0.173))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _4398_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.190:0.190:0.190) (0.224:0.224:0.225))
+    (IOPATH A2 X (0.189:0.189:0.189) (0.193:0.198:0.202))
+    (IOPATH B1 X (0.189:0.190:0.192) (0.129:0.144:0.159))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31oi_1")
+  (INSTANCE _4399_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.222:0.222:0.222) (0.168:0.168:0.168))
+    (IOPATH A2 Y (0.287:0.287:0.287) (0.174:0.174:0.174))
+    (IOPATH A3 Y (0.206:0.211:0.216) (0.131:0.133:0.135))
+    (IOPATH B1 Y (0.181:0.182:0.183) (0.062:0.063:0.063))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _4400_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.196:0.196:0.196) (0.209:0.221:0.233))
+    (IOPATH A2 X (0.171:0.171:0.171) (0.199:0.200:0.201))
+    (IOPATH B1_N X (0.254:0.274:0.293) (0.195:0.199:0.202))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3b_1")
+  (INSTANCE _4401_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.130:0.130:0.130) (0.368:0.378:0.389))
+    (IOPATH B X (0.114:0.114:0.115) (0.347:0.348:0.349))
+    (IOPATH C_N X (0.157:0.175:0.194) (0.360:0.362:0.365))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _4402_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.244:0.245:0.246) (0.222:0.222:0.222))
+    (IOPATH B X (0.168:0.168:0.168) (0.196:0.196:0.196))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4403_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.130:0.130:0.130) (0.124:0.124:0.124))
+    (IOPATH B Y (0.166:0.166:0.166) (0.125:0.125:0.125))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4404_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.173:0.173:0.173) (0.161:0.162:0.162))
+    (IOPATH A Y (0.249:0.249:0.249) (0.116:0.116:0.116))
+    (IOPATH B Y (0.164:0.166:0.169) (0.170:0.174:0.178))
+    (IOPATH B Y (0.240:0.244:0.248) (0.098:0.101:0.103))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _4405_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.057:0.058:0.058) (0.042:0.042:0.043))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4406_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.237:0.239:0.241) (0.097:0.098:0.098))
+    (IOPATH B Y (0.235:0.239:0.242) (0.094:0.096:0.097))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4407_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.081:0.085:0.090) (0.075:0.087:0.099))
+    (IOPATH B Y (0.088:0.093:0.098) (0.076:0.087:0.099))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o31ai_2")
+  (INSTANCE _4408_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.349:0.349:0.349) (0.092:0.092:0.092))
+    (IOPATH A2 Y (0.341:0.341:0.342) (0.129:0.130:0.130))
+    (IOPATH A3 Y (0.306:0.311:0.315) (0.130:0.132:0.133))
+    (IOPATH B1 Y (0.094:0.100:0.106) (0.090:0.091:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _4409_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.371:0.371:0.371) (0.323:0.323:0.323))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _4410_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.395:0.395:0.395) (0.310:0.310:0.310))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4411_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.288:0.288:0.288) (0.231:0.231:0.231))
+    (IOPATH B X (0.322:0.322:0.322) (0.268:0.268:0.268))
+    (IOPATH C X (0.310:0.310:0.310) (0.304:0.304:0.304))
+    (IOPATH D X (0.313:0.313:0.313) (0.297:0.297:0.297))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _4412_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.350:0.350:0.350) (0.279:0.279:0.279))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _4413_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.252:0.252:0.252) (0.161:0.161:0.161))
+    (IOPATH A2 Y (0.258:0.258:0.258) (0.169:0.169:0.169))
+    (IOPATH B1 Y (0.217:0.217:0.217) (0.128:0.128:0.128))
+    (IOPATH B2 Y (0.250:0.250:0.250) (0.135:0.135:0.135))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4414_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.359:0.359:0.359) (0.270:0.270:0.270))
+    (IOPATH B X (0.372:0.372:0.372) (0.307:0.307:0.307))
+    (IOPATH C X (0.347:0.347:0.347) (0.285:0.285:0.285))
+    (IOPATH D X (0.351:0.351:0.351) (0.299:0.299:0.299))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4415_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.144:0.145:0.147) (0.253:0.255:0.257))
+    (IOPATH B X (0.146:0.146:0.146) (0.238:0.239:0.240))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4416_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.196:0.196:0.196) (0.251:0.251:0.251))
+    (IOPATH A2 X (0.241:0.241:0.241) (0.303:0.303:0.303))
+    (IOPATH B1 X (0.225:0.225:0.225) (0.251:0.251:0.251))
+    (IOPATH B2 X (0.232:0.232:0.232) (0.283:0.283:0.283))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4417_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.221:0.221:0.221) (0.184:0.184:0.184))
+    (IOPATH B X (0.254:0.254:0.254) (0.230:0.230:0.230))
+    (IOPATH C X (0.272:0.272:0.272) (0.260:0.260:0.260))
+    (IOPATH D X (0.275:0.275:0.275) (0.285:0.285:0.285))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _4418_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.208:0.208:0.208) (0.247:0.247:0.247))
+    (IOPATH A2 X (0.208:0.208:0.208) (0.267:0.267:0.267))
+    (IOPATH A3 X (0.165:0.166:0.166) (0.230:0.230:0.231))
+    (IOPATH B1 X (0.119:0.119:0.120) (0.193:0.194:0.195))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _4419_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.222:0.222:0.222) (0.196:0.196:0.196))
+    (IOPATH B X (0.153:0.154:0.155) (0.174:0.174:0.175))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _4420_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.186:0.186:0.187) (0.175:0.176:0.177))
+    (IOPATH B X (0.121:0.121:0.121) (0.157:0.157:0.157))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4421_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.221:0.221:0.221) (0.086:0.086:0.086))
+    (IOPATH B Y (0.199:0.199:0.199) (0.067:0.067:0.067))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4422_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.402:0.402:0.402) (0.322:0.323:0.324))
+    (IOPATH A2 X (0.428:0.429:0.429) (0.342:0.343:0.344))
+    (IOPATH B1 X (0.361:0.361:0.361) (0.316:0.316:0.316))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4423_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.255:0.255:0.255) (0.255:0.255:0.255))
+    (IOPATH B X (0.257:0.257:0.257) (0.254:0.254:0.254))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _4424_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.125:0.125:0.125) (0.095:0.095:0.095))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _4425_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.433:0.433:0.433) (0.364:0.364:0.364))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4426_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.196:0.196:0.196) (0.239:0.239:0.239))
+    (IOPATH A2 X (0.240:0.240:0.240) (0.304:0.304:0.304))
+    (IOPATH B1 X (0.216:0.216:0.216) (0.278:0.278:0.278))
+    (IOPATH B2 X (0.203:0.203:0.203) (0.275:0.275:0.275))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _4427_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.158:0.160:0.163) (0.220:0.224:0.228))
+    (IOPATH A2 X (0.141:0.141:0.141) (0.187:0.187:0.187))
+    (IOPATH B1 X (0.137:0.138:0.138) (0.114:0.115:0.115))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4428_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.145:0.145:0.145) (0.146:0.146:0.146))
+    (IOPATH B Y (0.166:0.166:0.166) (0.136:0.136:0.136))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4429_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.167:0.167:0.167) (0.155:0.157:0.158))
+    (IOPATH A Y (0.252:0.253:0.254) (0.107:0.108:0.108))
+    (IOPATH B Y (0.175:0.176:0.177) (0.177:0.184:0.190))
+    (IOPATH B Y (0.256:0.261:0.267) (0.107:0.108:0.109))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4430_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.284:0.284:0.284) (0.284:0.284:0.284))
+    (IOPATH B X (0.318:0.318:0.318) (0.334:0.334:0.334))
+    (IOPATH C X (0.216:0.217:0.217) (0.239:0.242:0.244))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4431_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.181:0.193:0.205) (0.173:0.176:0.178))
+    (IOPATH A Y (0.266:0.268:0.270) (0.124:0.141:0.158))
+    (IOPATH B Y (0.178:0.179:0.180) (0.163:0.163:0.164))
+    (IOPATH B Y (0.240:0.241:0.241) (0.111:0.112:0.114))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4432_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.212:0.212:0.212) (0.226:0.227:0.227))
+    (IOPATH A Y (0.301:0.301:0.301) (0.176:0.176:0.176))
+    (IOPATH B Y (0.171:0.183:0.195) (0.163:0.168:0.174))
+    (IOPATH B Y (0.227:0.232:0.237) (0.108:0.123:0.139))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4433_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.164:0.184:0.203) (0.164:0.166:0.167))
+    (IOPATH A Y (0.244:0.245:0.247) (0.109:0.134:0.160))
+    (IOPATH B Y (0.167:0.178:0.190) (0.158:0.168:0.177))
+    (IOPATH B Y (0.223:0.231:0.240) (0.102:0.117:0.131))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _4434_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.252:0.252:0.252) (0.212:0.212:0.212))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _4435_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.255:0.255:0.255) (0.227:0.227:0.227))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4436_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.212:0.212:0.212) (0.252:0.252:0.252))
+    (IOPATH A2 X (0.238:0.238:0.238) (0.318:0.318:0.318))
+    (IOPATH B1 X (0.218:0.218:0.218) (0.258:0.258:0.258))
+    (IOPATH B2 X (0.187:0.187:0.187) (0.244:0.244:0.244))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_1")
+  (INSTANCE _4437_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.132:0.132:0.132) (0.165:0.165:0.165))
+    (IOPATH B Y (0.152:0.152:0.152) (0.160:0.160:0.160))
+    (IOPATH C Y (0.210:0.210:0.210) (0.197:0.197:0.197))
+    (IOPATH D Y (0.190:0.190:0.190) (0.190:0.190:0.190))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _4438_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.239:0.239:0.239) (0.214:0.214:0.214))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4439_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.222:0.222:0.222) (0.215:0.215:0.215))
+    (IOPATH B X (0.196:0.196:0.196) (0.209:0.209:0.209))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4440_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.137:0.138:0.138) (0.174:0.174:0.175))
+    (IOPATH A2 X (0.145:0.149:0.153) (0.223:0.225:0.228))
+    (IOPATH B1 X (0.115:0.115:0.115) (0.170:0.170:0.170))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4441_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.096:0.096:0.097) (0.100:0.100:0.100))
+    (IOPATH B Y (0.143:0.146:0.149) (0.111:0.114:0.118))
+    (IOPATH C Y (0.107:0.107:0.107) (0.111:0.111:0.111))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4442_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.076:0.076:0.076) (0.075:0.075:0.075))
+    (IOPATH B Y (0.135:0.135:0.135) (0.097:0.097:0.097))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4443_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.184:0.184:0.184) (0.175:0.175:0.175))
+    (IOPATH B X (0.220:0.220:0.220) (0.229:0.229:0.229))
+    (IOPATH C X (0.169:0.173:0.177) (0.192:0.195:0.198))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4444_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.234:0.235:0.235) (0.193:0.193:0.194))
+    (IOPATH B X (0.246:0.248:0.251) (0.230:0.231:0.232))
+    (IOPATH C X (0.247:0.248:0.248) (0.228:0.229:0.230))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4445_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.266:0.266:0.266) (0.212:0.212:0.212))
+    (IOPATH B X (0.281:0.281:0.281) (0.238:0.238:0.238))
+    (IOPATH C X (0.283:0.283:0.283) (0.255:0.255:0.255))
+    (IOPATH D X (0.282:0.282:0.282) (0.256:0.256:0.256))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _4446_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.245:0.245:0.245) (0.211:0.211:0.211))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _4447_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.251:0.251:0.251) (0.210:0.210:0.210))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4448_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.258:0.258:0.258) (0.206:0.206:0.206))
+    (IOPATH B X (0.260:0.260:0.260) (0.226:0.226:0.226))
+    (IOPATH C X (0.282:0.282:0.282) (0.298:0.298:0.298))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4449_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.185:0.185:0.185) (0.232:0.232:0.232))
+    (IOPATH A2 X (0.223:0.223:0.223) (0.315:0.315:0.315))
+    (IOPATH B1 X (0.198:0.198:0.198) (0.250:0.250:0.250))
+    (IOPATH B2 X (0.171:0.171:0.171) (0.222:0.222:0.222))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4450_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.183:0.183:0.183) (0.255:0.255:0.255))
+    (IOPATH A2 X (0.146:0.146:0.146) (0.211:0.211:0.212))
+    (IOPATH B1_N X (0.189:0.190:0.190) (0.210:0.211:0.211))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _4451_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.255:0.255:0.255) (0.227:0.227:0.227))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _4452_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.251:0.251:0.251) (0.212:0.212:0.212))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4453_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.090:0.090:0.090) (0.091:0.091:0.091))
+    (IOPATH B Y (0.128:0.128:0.128) (0.105:0.105:0.105))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _4454_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.273:0.273:0.273) (0.109:0.110:0.111))
+    (IOPATH A X (0.263:0.264:0.264) (0.193:0.194:0.194))
+    (IOPATH B X (0.264:0.265:0.265) (0.107:0.109:0.112))
+    (IOPATH B X (0.269:0.271:0.273) (0.184:0.184:0.184))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _4455_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.181:0.182:0.183) (0.112:0.112:0.112))
+    (IOPATH A2 Y (0.164:0.165:0.166) (0.094:0.094:0.094))
+    (IOPATH B1 Y (0.110:0.116:0.122) (0.137:0.138:0.139))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _4456_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.161:0.162:0.163) (0.371:0.375:0.380))
+    (IOPATH B X (0.132:0.132:0.132) (0.352:0.353:0.353))
+    (IOPATH C X (0.124:0.124:0.124) (0.310:0.311:0.312))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4457_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.124:0.134:0.143) (0.117:0.122:0.128))
+    (IOPATH B Y (0.134:0.135:0.135) (0.096:0.096:0.096))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4458_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.155:0.155:0.156) (0.201:0.201:0.201))
+    (IOPATH A2 X (0.160:0.160:0.160) (0.222:0.222:0.222))
+    (IOPATH B1_N X (0.250:0.253:0.256) (0.242:0.245:0.249))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4459_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.121:0.121:0.121) (0.099:0.099:0.099))
+    (IOPATH B Y (0.124:0.124:0.124) (0.100:0.100:0.100))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _4460_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.266:0.266:0.266) (0.220:0.220:0.220))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4461_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.163:0.163:0.163) (0.142:0.142:0.142))
+    (IOPATH B Y (0.119:0.119:0.119) (0.117:0.117:0.117))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4462_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.323:0.323:0.323) (0.265:0.265:0.265))
+    (IOPATH B X (0.324:0.324:0.324) (0.286:0.286:0.286))
+    (IOPATH C X (0.305:0.305:0.305) (0.260:0.260:0.260))
+    (IOPATH D X (0.293:0.293:0.293) (0.270:0.270:0.270))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4463_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.151:0.151:0.152) (0.190:0.194:0.197))
+    (IOPATH A2 X (0.160:0.163:0.165) (0.218:0.225:0.232))
+    (IOPATH B1 X (0.144:0.144:0.144) (0.192:0.193:0.193))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4464_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.148:0.148:0.148) (0.146:0.146:0.147))
+    (IOPATH A Y (0.208:0.209:0.209) (0.096:0.096:0.096))
+    (IOPATH B Y (0.148:0.148:0.148) (0.142:0.143:0.143))
+    (IOPATH B Y (0.189:0.190:0.190) (0.088:0.088:0.088))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4465_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.114:0.115:0.116) (0.072:0.072:0.072))
+    (IOPATH B Y (0.081:0.083:0.085) (0.043:0.050:0.056))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4466_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.436:0.436:0.436) (0.308:0.309:0.311))
+    (IOPATH B X (0.404:0.416:0.429) (0.306:0.308:0.310))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4467_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.158:0.159:0.159) (0.262:0.266:0.270))
+    (IOPATH B X (0.222:0.222:0.222) (0.315:0.316:0.316))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _4468_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.276:0.276:0.277) (0.287:0.289:0.291))
+    (IOPATH A Y (0.530:0.532:0.534) (0.221:0.222:0.222))
+    (IOPATH B Y (0.270:0.271:0.271) (0.277:0.277:0.277))
+    (IOPATH B Y (0.501:0.501:0.501) (0.210:0.210:0.210))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4469_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.168:0.169:0.169) (0.077:0.077:0.078))
+    (IOPATH A2 Y (0.201:0.202:0.203) (0.087:0.089:0.092))
+    (IOPATH B1 Y (0.161:0.161:0.162) (0.057:0.057:0.058))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _4470_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.228:0.229:0.230) (0.210:0.210:0.211))
+    (IOPATH B X (0.171:0.172:0.172) (0.188:0.189:0.189))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4471_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.161:0.161:0.161) (0.145:0.145:0.145))
+    (IOPATH B Y (0.158:0.158:0.158) (0.138:0.138:0.138))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _4472_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.151:0.151:0.151) (0.164:0.164:0.164))
+    (IOPATH A Y (0.228:0.228:0.229) (0.104:0.104:0.104))
+    (IOPATH B Y (0.149:0.149:0.149) (0.173:0.177:0.181))
+    (IOPATH B Y (0.216:0.219:0.223) (0.097:0.097:0.097))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _4473_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.192:0.192:0.192) (0.103:0.103:0.103))
+    (IOPATH A2 Y (0.209:0.209:0.209) (0.112:0.112:0.112))
+    (IOPATH B1 Y (0.201:0.201:0.201) (0.125:0.125:0.125))
+    (IOPATH B2 Y (0.178:0.178:0.178) (0.099:0.099:0.099))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4474_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.170:0.170:0.170) (0.271:0.272:0.273))
+    (IOPATH B X (0.168:0.169:0.170) (0.251:0.261:0.271))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4475_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.173:0.173:0.173) (0.175:0.175:0.175))
+    (IOPATH B X (0.217:0.217:0.217) (0.238:0.238:0.238))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4476_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.198:0.198:0.198) (0.255:0.255:0.255))
+    (IOPATH A2 X (0.208:0.208:0.208) (0.281:0.281:0.281))
+    (IOPATH B1 X (0.194:0.194:0.194) (0.230:0.230:0.230))
+    (IOPATH B2 X (0.193:0.193:0.193) (0.246:0.246:0.246))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_1")
+  (INSTANCE _4477_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.136:0.136:0.136) (0.147:0.147:0.147))
+    (IOPATH B Y (0.152:0.152:0.152) (0.174:0.174:0.174))
+    (IOPATH C Y (0.164:0.164:0.164) (0.168:0.168:0.168))
+    (IOPATH D Y (0.157:0.157:0.157) (0.169:0.169:0.169))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4478_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.151:0.151:0.152) (0.196:0.196:0.197))
+    (IOPATH A2 X (0.158:0.158:0.159) (0.225:0.226:0.226))
+    (IOPATH B1_N X (0.251:0.252:0.253) (0.244:0.246:0.249))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4479_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.193:0.193:0.193) (0.182:0.182:0.183))
+    (IOPATH A Y (0.297:0.297:0.297) (0.130:0.130:0.130))
+    (IOPATH B Y (0.188:0.188:0.188) (0.172:0.172:0.173))
+    (IOPATH B Y (0.272:0.272:0.273) (0.115:0.116:0.116))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _4480_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.103:0.103:0.103) (0.222:0.222:0.222))
+    (IOPATH B_N X (0.148:0.149:0.149) (0.224:0.224:0.224))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21boi_1")
+  (INSTANCE _4481_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.225:0.227:0.229) (0.099:0.119:0.139))
+    (IOPATH A2 Y (0.255:0.257:0.259) (0.122:0.141:0.160))
+    (IOPATH B1_N Y (0.241:0.241:0.241) (0.149:0.149:0.149))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _4482_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.163:0.163:0.163) (0.170:0.171:0.172))
+    (IOPATH A Y (0.238:0.239:0.240) (0.115:0.116:0.116))
+    (IOPATH B Y (0.176:0.176:0.176) (0.159:0.161:0.162))
+    (IOPATH B Y (0.208:0.210:0.211) (0.125:0.125:0.125))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4483_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.144:0.144:0.144) (0.251:0.251:0.252))
+    (IOPATH B X (0.138:0.140:0.141) (0.216:0.220:0.223))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4484_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.182:0.182:0.182) (0.172:0.172:0.172))
+    (IOPATH A Y (0.290:0.290:0.290) (0.116:0.116:0.116))
+    (IOPATH B Y (0.216:0.218:0.219) (0.171:0.181:0.190))
+    (IOPATH B Y (0.273:0.282:0.291) (0.149:0.152:0.155))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o32a_2")
+  (INSTANCE _4485_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.336:0.336:0.336) (0.517:0.517:0.518))
+    (IOPATH A2 X (0.328:0.330:0.333) (0.481:0.484:0.487))
+    (IOPATH A3 X (0.332:0.335:0.337) (0.448:0.455:0.463))
+    (IOPATH B1 X (0.305:0.328:0.350) (0.312:0.315:0.317))
+    (IOPATH B2 X (0.311:0.332:0.352) (0.304:0.307:0.310))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4486_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.195:0.212:0.230) (0.223:0.226:0.228))
+    (IOPATH A Y (0.301:0.303:0.305) (0.147:0.176:0.206))
+    (IOPATH B Y (0.195:0.195:0.195) (0.189:0.190:0.191))
+    (IOPATH B Y (0.256:0.256:0.257) (0.137:0.137:0.138))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4487_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.250:0.262:0.273) (0.218:0.226:0.234))
+    (IOPATH A Y (0.407:0.414:0.420) (0.172:0.189:0.206))
+    (IOPATH B Y (0.251:0.263:0.275) (0.219:0.233:0.248))
+    (IOPATH B Y (0.390:0.404:0.418) (0.162:0.181:0.199))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_1")
+  (INSTANCE _4488_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.196:0.196:0.197) (0.079:0.079:0.079))
+    (IOPATH B Y (0.169:0.173:0.177) (0.086:0.086:0.086))
+    (IOPATH C Y (0.134:0.146:0.157) (0.066:0.067:0.068))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4489_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.191:0.192:0.193) (0.082:0.082:0.082))
+    (IOPATH B Y (0.173:0.176:0.179) (0.092:0.092:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+  (INSTANCE _4490_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.491:0.493:0.496) (0.193:0.213:0.234))
+    (IOPATH A X (0.459:0.473:0.486) (0.267:0.270:0.273))
+    (IOPATH B X (0.471:0.474:0.477) (0.207:0.232:0.258))
+    (IOPATH B X (0.478:0.487:0.495) (0.247:0.250:0.253))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _4491_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.211:0.212:0.214) (0.200:0.200:0.200))
+    (IOPATH B X (0.149:0.149:0.150) (0.180:0.181:0.181))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4492_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.202:0.203:0.204) (0.189:0.191:0.192))
+    (IOPATH A Y (0.310:0.311:0.313) (0.136:0.138:0.139))
+    (IOPATH B Y (0.185:0.185:0.185) (0.166:0.166:0.166))
+    (IOPATH B Y (0.274:0.274:0.274) (0.109:0.109:0.109))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _4493_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.206:0.221:0.235) (0.223:0.225:0.228))
+    (IOPATH A Y (0.380:0.382:0.384) (0.155:0.172:0.189))
+    (IOPATH B Y (0.218:0.230:0.243) (0.227:0.229:0.232))
+    (IOPATH B Y (0.363:0.365:0.367) (0.162:0.180:0.197))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o2bb2a_1")
+  (INSTANCE _4494_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1_N X (0.307:0.307:0.307) (0.258:0.258:0.258))
+    (IOPATH A2_N X (0.280:0.280:0.280) (0.267:0.267:0.267))
+    (IOPATH B1 X (0.164:0.165:0.165) (0.292:0.293:0.294))
+    (IOPATH B2 X (0.164:0.165:0.166) (0.285:0.291:0.298))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4495_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.202:0.203:0.204) (0.093:0.093:0.093))
+    (IOPATH B Y (0.177:0.180:0.182) (0.061:0.061:0.061))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4496_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.134:0.135:0.136) (0.112:0.115:0.118))
+    (IOPATH B Y (0.110:0.111:0.111) (0.109:0.110:0.110))
+    (IOPATH C Y (0.115:0.116:0.116) (0.116:0.116:0.116))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4497_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.191:0.191:0.191) (0.248:0.248:0.248))
+    (IOPATH A2 X (0.218:0.218:0.218) (0.297:0.297:0.297))
+    (IOPATH B1 X (0.168:0.171:0.174) (0.233:0.234:0.235))
+    (IOPATH B2 X (0.166:0.166:0.167) (0.225:0.225:0.225))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4498_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.163:0.163:0.163) (0.166:0.166:0.166))
+    (IOPATH B Y (0.164:0.164:0.164) (0.160:0.160:0.160))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _4499_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.211:0.211:0.211) (0.110:0.110:0.110))
+    (IOPATH A2 Y (0.239:0.239:0.239) (0.116:0.116:0.116))
+    (IOPATH B1 Y (0.178:0.178:0.178) (0.087:0.087:0.087))
+    (IOPATH B2 Y (0.202:0.202:0.202) (0.088:0.088:0.088))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4500_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.241:0.241:0.241) (0.195:0.195:0.195))
+    (IOPATH B X (0.251:0.251:0.251) (0.222:0.222:0.222))
+    (IOPATH C X (0.250:0.250:0.250) (0.234:0.234:0.234))
+    (IOPATH D X (0.254:0.254:0.254) (0.247:0.247:0.247))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _4501_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.212:0.216:0.220) (0.106:0.106:0.106))
+    (IOPATH A2 Y (0.179:0.181:0.183) (0.103:0.106:0.108))
+    (IOPATH B1_N Y (0.145:0.146:0.146) (0.174:0.175:0.176))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4502_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.199:0.200:0.201) (0.223:0.224:0.225))
+    (IOPATH A2 X (0.200:0.200:0.200) (0.233:0.233:0.233))
+    (IOPATH B1 X (0.172:0.180:0.188) (0.211:0.213:0.214))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4503_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.158:0.159:0.160) (0.151:0.152:0.153))
+    (IOPATH B Y (0.161:0.161:0.162) (0.156:0.156:0.156))
+    (IOPATH C Y (0.157:0.159:0.160) (0.160:0.170:0.179))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4504_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.189:0.189:0.189) (0.200:0.203:0.205))
+    (IOPATH A2 X (0.178:0.179:0.179) (0.237:0.237:0.238))
+    (IOPATH B1_N X (0.265:0.266:0.267) (0.256:0.257:0.258))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+  (INSTANCE _4505_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.258:0.261:0.263) (0.120:0.143:0.166))
+    (IOPATH A X (0.218:0.232:0.246) (0.227:0.229:0.232))
+    (IOPATH B X (0.202:0.202:0.202) (0.099:0.099:0.099))
+    (IOPATH B X (0.214:0.215:0.215) (0.170:0.170:0.171))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _4506_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.142:0.160:0.179) (0.269:0.272:0.275))
+    (IOPATH B_N X (0.174:0.175:0.175) (0.251:0.251:0.252))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _4507_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.206:0.222:0.239) (0.240:0.243:0.245))
+    (IOPATH A2 X (0.195:0.197:0.199) (0.209:0.221:0.232))
+    (IOPATH B1 X (0.177:0.178:0.178) (0.145:0.145:0.145))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _4508_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.335:0.336:0.337) (0.307:0.326:0.344))
+    (IOPATH A Y (0.557:0.573:0.590) (0.325:0.328:0.330))
+    (IOPATH B Y (0.277:0.277:0.277) (0.275:0.276:0.277))
+    (IOPATH B Y (0.509:0.510:0.511) (0.216:0.216:0.216))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _4509_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.225:0.225:0.226) (0.311:0.312:0.313))
+    (IOPATH B_N X (0.293:0.310:0.326) (0.361:0.362:0.363))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21boi_1")
+  (INSTANCE _4510_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.268:0.270:0.271) (0.153:0.153:0.153))
+    (IOPATH A2 Y (0.349:0.357:0.365) (0.170:0.208:0.245))
+    (IOPATH B1_N Y (0.331:0.331:0.331) (0.198:0.198:0.198))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _4511_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.263:0.267:0.270) (0.134:0.152:0.171))
+    (IOPATH A X (0.244:0.254:0.263) (0.218:0.222:0.225))
+    (IOPATH B X (0.215:0.234:0.253) (0.149:0.151:0.153))
+    (IOPATH B X (0.251:0.252:0.252) (0.171:0.191:0.211))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4512_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.192:0.204:0.215) (0.179:0.181:0.184))
+    (IOPATH A Y (0.289:0.291:0.293) (0.131:0.147:0.164))
+    (IOPATH B Y (0.209:0.211:0.212) (0.169:0.183:0.197))
+    (IOPATH B Y (0.265:0.277:0.290) (0.145:0.147:0.149))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4513_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.125:0.125:0.125) (0.113:0.113:0.113))
+    (IOPATH B Y (0.158:0.158:0.158) (0.122:0.122:0.122))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4514_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.170:0.170:0.170) (0.159:0.159:0.159))
+    (IOPATH A Y (0.249:0.249:0.249) (0.113:0.113:0.113))
+    (IOPATH B Y (0.165:0.167:0.169) (0.169:0.170:0.171))
+    (IOPATH B Y (0.242:0.243:0.244) (0.098:0.101:0.103))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4515_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.231:0.231:0.231) (0.187:0.189:0.191))
+    (IOPATH A Y (0.328:0.330:0.332) (0.171:0.171:0.171))
+    (IOPATH B Y (0.242:0.260:0.278) (0.251:0.259:0.267))
+    (IOPATH B Y (0.377:0.385:0.394) (0.179:0.216:0.253))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_1")
+  (INSTANCE _4516_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.184:0.185:0.185) (0.238:0.239:0.240))
+    (IOPATH A2 X (0.178:0.180:0.181) (0.224:0.227:0.230))
+    (IOPATH B1 X (0.174:0.176:0.178) (0.169:0.171:0.173))
+    (IOPATH C1 X (0.178:0.180:0.182) (0.165:0.166:0.168))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4517_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.182:0.188:0.193) (0.135:0.137:0.139))
+    (IOPATH B Y (0.154:0.155:0.156) (0.058:0.059:0.059))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _4518_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.170:0.183:0.197) (0.186:0.189:0.191))
+    (IOPATH A Y (0.260:0.263:0.265) (0.123:0.139:0.155))
+    (IOPATH B Y (0.171:0.173:0.175) (0.162:0.174:0.187))
+    (IOPATH B Y (0.218:0.229:0.240) (0.119:0.121:0.123))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4519_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.158:0.158:0.158) (0.144:0.145:0.146))
+    (IOPATH B X (0.153:0.155:0.157) (0.178:0.183:0.187))
+    (IOPATH C X (0.156:0.156:0.157) (0.178:0.178:0.178))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4520_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.135:0.140:0.144) (0.069:0.071:0.073))
+    (IOPATH A2 Y (0.140:0.140:0.140) (0.073:0.073:0.073))
+    (IOPATH B1 Y (0.115:0.115:0.116) (0.053:0.053:0.053))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4521_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.162:0.162:0.162) (0.271:0.272:0.272))
+    (IOPATH B X (0.173:0.176:0.179) (0.257:0.258:0.259))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4522_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.147:0.148:0.149) (0.129:0.131:0.133))
+    (IOPATH B Y (0.118:0.121:0.124) (0.148:0.148:0.149))
+    (IOPATH C Y (0.131:0.132:0.132) (0.134:0.134:0.134))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4523_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.160:0.161:0.163) (0.213:0.214:0.215))
+    (IOPATH A2 X (0.163:0.163:0.163) (0.209:0.209:0.210))
+    (IOPATH B1 X (0.139:0.140:0.140) (0.171:0.174:0.176))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4524_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.136:0.137:0.138) (0.066:0.067:0.067))
+    (IOPATH B Y (0.140:0.145:0.149) (0.090:0.091:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4525_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.181:0.182:0.182) (0.175:0.182:0.188))
+    (IOPATH A Y (0.280:0.286:0.291) (0.119:0.120:0.121))
+    (IOPATH B Y (0.190:0.190:0.190) (0.157:0.164:0.170))
+    (IOPATH B Y (0.248:0.254:0.260) (0.119:0.120:0.120))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _4526_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.147:0.147:0.147) (0.401:0.402:0.402))
+    (IOPATH B X (0.147:0.147:0.147) (0.396:0.400:0.405))
+    (IOPATH C X (0.155:0.158:0.160) (0.343:0.344:0.346))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _4527_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.205:0.206:0.207) (0.112:0.112:0.112))
+    (IOPATH A2 Y (0.195:0.197:0.199) (0.111:0.114:0.116))
+    (IOPATH B1 Y (0.152:0.157:0.162) (0.111:0.111:0.111))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4528_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.146:0.146:0.146) (0.135:0.135:0.135))
+    (IOPATH B Y (0.129:0.129:0.129) (0.127:0.127:0.127))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _4529_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.212:0.212:0.212) (0.110:0.110:0.110))
+    (IOPATH A2 Y (0.240:0.240:0.240) (0.116:0.116:0.116))
+    (IOPATH B1 Y (0.232:0.232:0.232) (0.114:0.114:0.114))
+    (IOPATH B2 Y (0.207:0.207:0.207) (0.092:0.092:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4530_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.234:0.234:0.234) (0.191:0.191:0.191))
+    (IOPATH B X (0.244:0.244:0.244) (0.219:0.219:0.219))
+    (IOPATH C X (0.245:0.245:0.245) (0.234:0.234:0.234))
+    (IOPATH D X (0.281:0.281:0.281) (0.278:0.278:0.278))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _4531_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.200:0.203:0.206) (0.100:0.101:0.102))
+    (IOPATH A2 Y (0.178:0.187:0.196) (0.103:0.105:0.107))
+    (IOPATH B1_N Y (0.142:0.142:0.142) (0.171:0.172:0.173))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4532_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.184:0.184:0.184) (0.217:0.217:0.218))
+    (IOPATH A2 X (0.206:0.212:0.218) (0.233:0.235:0.237))
+    (IOPATH B1 X (0.163:0.171:0.178) (0.205:0.207:0.208))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4533_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.159:0.159:0.159) (0.147:0.147:0.147))
+    (IOPATH B Y (0.170:0.172:0.174) (0.175:0.182:0.189))
+    (IOPATH C Y (0.159:0.160:0.161) (0.162:0.171:0.180))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4534_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.154:0.168:0.182) (0.199:0.201:0.203))
+    (IOPATH A2 X (0.155:0.155:0.156) (0.219:0.219:0.220))
+    (IOPATH B1_N X (0.246:0.248:0.249) (0.241:0.242:0.243))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+  (INSTANCE _4535_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.267:0.268:0.270) (0.102:0.103:0.104))
+    (IOPATH A2 Y (0.252:0.252:0.252) (0.096:0.096:0.096))
+    (IOPATH B1 Y (0.223:0.224:0.224) (0.065:0.065:0.066))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4536_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.253:0.254:0.255) (0.220:0.222:0.224))
+    (IOPATH B X (0.248:0.249:0.249) (0.218:0.219:0.219))
+    (IOPATH C X (0.252:0.252:0.252) (0.234:0.235:0.235))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _4537_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.209:0.209:0.209) (0.234:0.234:0.234))
+    (IOPATH A2 X (0.213:0.213:0.214) (0.204:0.210:0.216))
+    (IOPATH B1_N X (0.288:0.289:0.289) (0.207:0.207:0.207))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+  (INSTANCE _4538_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.418:0.424:0.429) (0.168:0.190:0.211))
+    (IOPATH A X (0.387:0.401:0.414) (0.251:0.257:0.262))
+    (IOPATH B X (0.386:0.387:0.388) (0.174:0.174:0.174))
+    (IOPATH B X (0.402:0.402:0.402) (0.218:0.219:0.220))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4539_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.450:0.455:0.459) (0.147:0.173:0.200))
+    (IOPATH B Y (0.431:0.432:0.433) (0.155:0.155:0.155))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4540_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.167:0.167:0.167) (0.173:0.186:0.199))
+    (IOPATH A2 X (0.213:0.215:0.216) (0.220:0.232:0.244))
+    (IOPATH B1 X (0.170:0.170:0.170) (0.209:0.213:0.217))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4541_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.183:0.196:0.209) (0.182:0.196:0.210))
+    (IOPATH A Y (0.265:0.278:0.291) (0.130:0.149:0.167))
+    (IOPATH B Y (0.162:0.163:0.163) (0.152:0.152:0.153))
+    (IOPATH B Y (0.223:0.223:0.224) (0.096:0.097:0.097))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _4542_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.118:0.132:0.146) (0.233:0.245:0.258))
+    (IOPATH B_N X (0.143:0.144:0.144) (0.220:0.220:0.220))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4543_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.151:0.164:0.177) (0.198:0.200:0.202))
+    (IOPATH A2 X (0.151:0.164:0.177) (0.220:0.227:0.234))
+    (IOPATH B1_N X (0.199:0.199:0.199) (0.209:0.210:0.211))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4544_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.203:0.215:0.228) (0.188:0.193:0.198))
+    (IOPATH A Y (0.306:0.310:0.315) (0.139:0.159:0.178))
+    (IOPATH B Y (0.186:0.186:0.186) (0.169:0.169:0.170))
+    (IOPATH B Y (0.273:0.273:0.274) (0.111:0.111:0.112))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _4545_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.212:0.217:0.222) (0.199:0.212:0.226))
+    (IOPATH B X (0.130:0.130:0.131) (0.160:0.160:0.161))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4546_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.221:0.221:0.222) (0.226:0.227:0.228))
+    (IOPATH A2 X (0.218:0.234:0.251) (0.247:0.253:0.258))
+    (IOPATH B1 X (0.162:0.162:0.162) (0.203:0.203:0.203))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31oi_2")
+  (INSTANCE _4547_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.273:0.273:0.273) (0.214:0.214:0.214))
+    (IOPATH A2 Y (0.341:0.341:0.341) (0.202:0.202:0.202))
+    (IOPATH A3 Y (0.276:0.276:0.276) (0.142:0.142:0.142))
+    (IOPATH B1 Y (0.236:0.237:0.238) (0.072:0.072:0.072))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4548_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.136:0.139:0.142) (0.077:0.087:0.097))
+    (IOPATH B Y (0.096:0.115:0.133) (0.071:0.071:0.072))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4549_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.217:0.219:0.221) (0.108:0.125:0.142))
+    (IOPATH A2 Y (0.228:0.241:0.254) (0.133:0.135:0.137))
+    (IOPATH B1 Y (0.202:0.207:0.212) (0.068:0.071:0.073))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _4550_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.316:0.316:0.316) (0.284:0.284:0.284))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4551_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.130:0.130:0.130) (0.123:0.123:0.123))
+    (IOPATH B Y (0.106:0.106:0.106) (0.089:0.089:0.089))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4552_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.127:0.131:0.135) (0.063:0.065:0.067))
+    (IOPATH B Y (0.096:0.096:0.096) (0.054:0.054:0.054))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _4553_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.239:0.239:0.239) (0.250:0.250:0.250))
+    (IOPATH A2 X (0.202:0.202:0.202) (0.252:0.252:0.252))
+    (IOPATH A3 X (0.182:0.182:0.182) (0.240:0.240:0.240))
+    (IOPATH B1 X (0.139:0.139:0.139) (0.204:0.205:0.206))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _4554_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.202:0.207:0.213) (0.192:0.204:0.216))
+    (IOPATH B X (0.186:0.186:0.186) (0.233:0.233:0.234))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4555_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.154:0.176:0.198) (0.191:0.192:0.194))
+    (IOPATH A2 X (0.160:0.174:0.188) (0.209:0.218:0.227))
+    (IOPATH B1 X (0.113:0.113:0.114) (0.171:0.172:0.172))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _4556_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.209:0.210:0.210) (0.093:0.094:0.095))
+    (IOPATH A X (0.202:0.203:0.204) (0.178:0.178:0.179))
+    (IOPATH B X (0.190:0.190:0.191) (0.089:0.089:0.090))
+    (IOPATH B X (0.206:0.207:0.207) (0.158:0.159:0.159))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _4557_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.208:0.219:0.230) (0.087:0.089:0.090))
+    (IOPATH A X (0.196:0.198:0.200) (0.177:0.188:0.198))
+    (IOPATH B X (0.188:0.191:0.194) (0.115:0.117:0.118))
+    (IOPATH B X (0.221:0.221:0.222) (0.157:0.160:0.163))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4558_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.144:0.165:0.187) (0.284:0.286:0.288))
+    (IOPATH B X (0.139:0.139:0.140) (0.241:0.242:0.243))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _4559_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.216:0.222:0.229) (0.114:0.129:0.144))
+    (IOPATH A2 Y (0.205:0.218:0.231) (0.102:0.116:0.130))
+    (IOPATH B1 Y (0.128:0.128:0.128) (0.089:0.090:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4560_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.318:0.320:0.322) (0.147:0.169:0.191))
+    (IOPATH A2 Y (0.330:0.331:0.331) (0.145:0.147:0.148))
+    (IOPATH B1 Y (0.306:0.307:0.308) (0.139:0.140:0.140))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _4561_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.451:0.451:0.451) (0.337:0.337:0.337))
+    (IOPATH B X (0.388:0.388:0.388) (0.312:0.313:0.313))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _4562_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.293:0.293:0.293) (0.225:0.225:0.225))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4563_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.120:0.120:0.120) (0.125:0.125:0.125))
+    (IOPATH B Y (0.158:0.158:0.158) (0.152:0.152:0.152))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4564_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.297:0.297:0.297) (0.175:0.175:0.175))
+    (IOPATH A2 Y (0.302:0.302:0.302) (0.173:0.173:0.173))
+    (IOPATH B1 Y (0.200:0.200:0.200) (0.083:0.083:0.083))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4565_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.250:0.250:0.250) (0.263:0.263:0.263))
+    (IOPATH B X (0.243:0.243:0.243) (0.278:0.278:0.278))
+    (IOPATH C X (0.187:0.187:0.187) (0.198:0.198:0.198))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4566_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.151:0.165:0.180) (0.096:0.097:0.099))
+    (IOPATH B Y (0.130:0.130:0.130) (0.057:0.058:0.059))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4567_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.154:0.156:0.158) (0.167:0.170:0.173))
+    (IOPATH A Y (0.235:0.238:0.241) (0.100:0.102:0.104))
+    (IOPATH B Y (0.166:0.166:0.166) (0.138:0.144:0.151))
+    (IOPATH B Y (0.194:0.200:0.205) (0.105:0.105:0.105))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _4568_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.233:0.233:0.233) (0.272:0.272:0.272))
+    (IOPATH A2 X (0.209:0.209:0.209) (0.262:0.262:0.262))
+    (IOPATH B1 X (0.169:0.183:0.196) (0.135:0.138:0.140))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_1")
+  (INSTANCE _4569_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.259:0.259:0.259) (0.121:0.121:0.121))
+    (IOPATH B Y (0.249:0.249:0.249) (0.108:0.108:0.108))
+    (IOPATH C Y (0.159:0.161:0.162) (0.058:0.067:0.077))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4570_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.184:0.185:0.186) (0.081:0.081:0.082))
+    (IOPATH B Y (0.162:0.172:0.183) (0.096:0.096:0.097))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4571_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.212:0.212:0.213) (0.182:0.191:0.199))
+    (IOPATH A Y (0.271:0.279:0.286) (0.169:0.170:0.172))
+    (IOPATH B Y (0.191:0.191:0.191) (0.157:0.160:0.163))
+    (IOPATH B Y (0.232:0.235:0.238) (0.128:0.128:0.128))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _4572_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.203:0.204:0.204) (0.240:0.242:0.244))
+    (IOPATH A2 X (0.186:0.186:0.187) (0.222:0.222:0.222))
+    (IOPATH B1 X (0.195:0.200:0.205) (0.144:0.154:0.164))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _4573_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.272:0.272:0.272) (0.213:0.213:0.213))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _4574_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.264:0.264:0.264) (0.209:0.209:0.209))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4575_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.193:0.193:0.193) (0.238:0.238:0.238))
+    (IOPATH A2 X (0.205:0.205:0.205) (0.287:0.287:0.287))
+    (IOPATH B1 X (0.202:0.202:0.202) (0.255:0.255:0.255))
+    (IOPATH B2 X (0.176:0.176:0.176) (0.227:0.227:0.227))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4576_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.179:0.179:0.179) (0.167:0.167:0.167))
+    (IOPATH B Y (0.145:0.146:0.146) (0.134:0.134:0.134))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4577_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.078:0.078:0.078) (0.068:0.069:0.069))
+    (IOPATH B Y (0.110:0.115:0.120) (0.089:0.089:0.090))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4578_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.212:0.212:0.212) (0.263:0.263:0.263))
+    (IOPATH A2 X (0.253:0.253:0.253) (0.332:0.332:0.332))
+    (IOPATH B1 X (0.243:0.243:0.243) (0.264:0.264:0.264))
+    (IOPATH B2 X (0.212:0.212:0.212) (0.253:0.253:0.253))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4579_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.138:0.138:0.138) (0.125:0.125:0.125))
+    (IOPATH B Y (0.190:0.190:0.190) (0.164:0.164:0.164))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4580_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.186:0.189:0.192) (0.294:0.300:0.307))
+    (IOPATH B X (0.193:0.195:0.197) (0.283:0.286:0.290))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4581_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.085:0.085:0.086) (0.080:0.080:0.081))
+    (IOPATH B Y (0.105:0.105:0.105) (0.090:0.090:0.090))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a32o_1")
+  (INSTANCE _4582_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.242:0.242:0.242) (0.268:0.268:0.268))
+    (IOPATH A2 X (0.264:0.264:0.264) (0.327:0.327:0.327))
+    (IOPATH A3 X (0.216:0.217:0.217) (0.287:0.287:0.287))
+    (IOPATH B1 X (0.196:0.196:0.196) (0.245:0.245:0.246))
+    (IOPATH B2 X (0.242:0.242:0.242) (0.328:0.328:0.328))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _4583_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.236:0.236:0.236) (0.095:0.096:0.097))
+    (IOPATH A X (0.222:0.223:0.224) (0.185:0.185:0.186))
+    (IOPATH B X (0.221:0.222:0.222) (0.109:0.109:0.110))
+    (IOPATH B X (0.239:0.240:0.240) (0.171:0.171:0.172))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4584_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.178:0.178:0.178) (0.165:0.166:0.167))
+    (IOPATH A Y (0.247:0.248:0.249) (0.125:0.126:0.126))
+    (IOPATH B Y (0.190:0.191:0.192) (0.155:0.160:0.165))
+    (IOPATH B Y (0.223:0.227:0.232) (0.132:0.133:0.135))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4585_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.184:0.186:0.187) (0.172:0.174:0.175))
+    (IOPATH A Y (0.290:0.292:0.293) (0.120:0.122:0.124))
+    (IOPATH B Y (0.197:0.208:0.220) (0.180:0.183:0.186))
+    (IOPATH B Y (0.281:0.284:0.287) (0.124:0.141:0.158))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _4586_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.189:0.189:0.189) (0.198:0.199:0.200))
+    (IOPATH A Y (0.306:0.307:0.308) (0.141:0.141:0.141))
+    (IOPATH B Y (0.188:0.200:0.213) (0.203:0.206:0.209))
+    (IOPATH B Y (0.290:0.293:0.296) (0.136:0.153:0.170))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4587_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.202:0.214:0.225) (0.187:0.196:0.204))
+    (IOPATH A Y (0.308:0.315:0.322) (0.139:0.157:0.174))
+    (IOPATH B Y (0.203:0.219:0.235) (0.197:0.201:0.205))
+    (IOPATH B Y (0.301:0.304:0.308) (0.131:0.156:0.181))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4588_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.187:0.192:0.196) (0.168:0.174:0.180))
+    (IOPATH A Y (0.263:0.268:0.273) (0.131:0.138:0.145))
+    (IOPATH B Y (0.188:0.201:0.213) (0.179:0.184:0.190))
+    (IOPATH B Y (0.256:0.261:0.267) (0.122:0.141:0.159))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _4589_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.348:0.355:0.362) (0.169:0.171:0.172))
+    (IOPATH A X (0.362:0.363:0.364) (0.213:0.220:0.227))
+    (IOPATH B X (0.342:0.347:0.352) (0.150:0.172:0.194))
+    (IOPATH B X (0.355:0.363:0.371) (0.206:0.211:0.217))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _4590_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.264:0.275:0.285) (0.144:0.146:0.148))
+    (IOPATH A X (0.280:0.282:0.283) (0.192:0.202:0.212))
+    (IOPATH B X (0.261:0.272:0.283) (0.182:0.183:0.184))
+    (IOPATH B X (0.296:0.296:0.296) (0.189:0.200:0.212))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _4591_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.202:0.207:0.211) (0.191:0.214:0.238))
+    (IOPATH A Y (0.302:0.323:0.344) (0.158:0.164:0.169))
+    (IOPATH B Y (0.208:0.209:0.210) (0.190:0.206:0.221))
+    (IOPATH B Y (0.281:0.296:0.310) (0.161:0.162:0.164))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4592_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.206:0.206:0.206) (0.188:0.189:0.189))
+    (IOPATH A Y (0.307:0.307:0.307) (0.145:0.145:0.145))
+    (IOPATH B Y (0.201:0.217:0.233) (0.194:0.198:0.202))
+    (IOPATH B Y (0.296:0.300:0.303) (0.128:0.152:0.177))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _4593_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.447:0.447:0.447) (0.299:0.299:0.299))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _4594_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.502:0.502:0.502) (0.391:0.391:0.391))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4595_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.291:0.291:0.291) (0.213:0.213:0.213))
+    (IOPATH B X (0.295:0.295:0.295) (0.237:0.237:0.237))
+    (IOPATH C X (0.337:0.337:0.337) (0.298:0.298:0.298))
+    (IOPATH D X (0.339:0.339:0.339) (0.322:0.322:0.322))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _4596_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.191:0.191:0.191) (0.125:0.125:0.125))
+    (IOPATH A2 Y (0.242:0.242:0.242) (0.146:0.146:0.146))
+    (IOPATH B1 Y (0.193:0.193:0.193) (0.112:0.112:0.112))
+    (IOPATH B2 Y (0.174:0.174:0.174) (0.095:0.095:0.095))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4597_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.172:0.173:0.175) (0.270:0.276:0.282))
+    (IOPATH B X (0.171:0.171:0.172) (0.257:0.258:0.259))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4598_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.156:0.156:0.156) (0.136:0.136:0.136))
+    (IOPATH B Y (0.119:0.119:0.119) (0.114:0.114:0.114))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4599_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.201:0.201:0.201) (0.086:0.086:0.086))
+    (IOPATH B Y (0.186:0.192:0.198) (0.074:0.077:0.079))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _4600_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.364:0.364:0.364) (0.289:0.289:0.289))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _4601_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.237:0.237:0.237) (0.152:0.152:0.152))
+    (IOPATH A2 Y (0.239:0.239:0.239) (0.142:0.142:0.142))
+    (IOPATH B1 Y (0.230:0.230:0.230) (0.139:0.139:0.139))
+    (IOPATH B2 Y (0.235:0.235:0.235) (0.123:0.123:0.123))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4602_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.150:0.151:0.152) (0.079:0.079:0.079))
+    (IOPATH B Y (0.142:0.154:0.166) (0.078:0.079:0.080))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_2")
+  (INSTANCE _4603_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.430:0.430:0.430) (0.376:0.377:0.378))
+    (IOPATH A2 X (0.421:0.421:0.421) (0.350:0.351:0.352))
+    (IOPATH B1 X (0.423:0.423:0.424) (0.260:0.262:0.263))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4604_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.164:0.165:0.167) (0.212:0.214:0.216))
+    (IOPATH A2 X (0.148:0.148:0.149) (0.199:0.199:0.199))
+    (IOPATH B1 X (0.128:0.138:0.148) (0.183:0.185:0.187))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4605_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.212:0.213:0.215) (0.217:0.219:0.221))
+    (IOPATH B X (0.260:0.260:0.261) (0.250:0.252:0.253))
+    (IOPATH C X (0.194:0.194:0.194) (0.202:0.202:0.203))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _4606_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.135:0.135:0.135) (0.387:0.388:0.389))
+    (IOPATH B X (0.148:0.150:0.153) (0.370:0.373:0.376))
+    (IOPATH C X (0.124:0.129:0.133) (0.338:0.341:0.343))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _4607_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.174:0.175:0.176) (0.097:0.098:0.098))
+    (IOPATH A2 Y (0.168:0.171:0.174) (0.098:0.100:0.102))
+    (IOPATH B1 Y (0.120:0.124:0.127) (0.088:0.093:0.098))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4608_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.129:0.129:0.129) (0.131:0.131:0.131))
+    (IOPATH B Y (0.205:0.205:0.205) (0.171:0.171:0.171))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _4609_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.228:0.228:0.228) (0.125:0.125:0.125))
+    (IOPATH A2 Y (0.244:0.244:0.244) (0.129:0.129:0.129))
+    (IOPATH B1 Y (0.192:0.192:0.192) (0.099:0.099:0.099))
+    (IOPATH B2 Y (0.217:0.217:0.217) (0.099:0.099:0.099))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4610_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.260:0.260:0.260) (0.198:0.198:0.198))
+    (IOPATH B X (0.266:0.266:0.266) (0.223:0.223:0.223))
+    (IOPATH C X (0.264:0.264:0.264) (0.237:0.237:0.237))
+    (IOPATH D X (0.265:0.265:0.265) (0.259:0.259:0.259))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _4611_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.226:0.230:0.234) (0.114:0.116:0.119))
+    (IOPATH A2 Y (0.201:0.204:0.206) (0.113:0.115:0.117))
+    (IOPATH B1_N Y (0.155:0.155:0.155) (0.181:0.183:0.184))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4612_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.129:0.129:0.129) (0.116:0.116:0.117))
+    (IOPATH B Y (0.136:0.138:0.140) (0.140:0.145:0.151))
+    (IOPATH C Y (0.139:0.140:0.142) (0.138:0.149:0.159))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _4613_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.274:0.274:0.274) (0.190:0.190:0.190))
+    (IOPATH A2 Y (0.254:0.254:0.254) (0.136:0.136:0.136))
+    (IOPATH B1 Y (0.213:0.213:0.213) (0.120:0.120:0.120))
+    (IOPATH B2 Y (0.300:0.300:0.300) (0.163:0.163:0.163))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4614_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.277:0.277:0.277) (0.233:0.233:0.233))
+    (IOPATH B X (0.229:0.229:0.229) (0.204:0.204:0.204))
+    (IOPATH C X (0.246:0.246:0.246) (0.226:0.226:0.226))
+    (IOPATH D X (0.273:0.273:0.273) (0.299:0.299:0.299))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4615_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.144:0.152:0.160) (0.090:0.092:0.093))
+    (IOPATH B Y (0.115:0.116:0.117) (0.058:0.058:0.058))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4616_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.153:0.153:0.153) (0.154:0.154:0.154))
+    (IOPATH B Y (0.158:0.158:0.158) (0.140:0.140:0.140))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4617_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.161:0.161:0.162) (0.140:0.146:0.152))
+    (IOPATH A Y (0.212:0.217:0.223) (0.108:0.108:0.108))
+    (IOPATH B Y (0.157:0.158:0.158) (0.164:0.171:0.178))
+    (IOPATH B Y (0.218:0.225:0.232) (0.095:0.095:0.096))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4618_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.130:0.130:0.130) (0.182:0.182:0.182))
+    (IOPATH A2 X (0.151:0.155:0.160) (0.196:0.197:0.199))
+    (IOPATH B1 X (0.118:0.126:0.134) (0.177:0.179:0.180))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4619_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.183:0.185:0.186) (0.172:0.174:0.176))
+    (IOPATH B Y (0.178:0.180:0.182) (0.173:0.188:0.204))
+    (IOPATH C Y (0.163:0.163:0.163) (0.167:0.168:0.168))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4620_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.110:0.112:0.113) (0.086:0.088:0.090))
+    (IOPATH B Y (0.140:0.141:0.143) (0.099:0.101:0.103))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4621_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.155:0.157:0.159) (0.137:0.139:0.141))
+    (IOPATH B Y (0.183:0.185:0.187) (0.189:0.189:0.189))
+    (IOPATH C Y (0.117:0.118:0.118) (0.119:0.119:0.119))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4622_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.164:0.166:0.167) (0.221:0.223:0.224))
+    (IOPATH A2 X (0.145:0.146:0.146) (0.198:0.198:0.198))
+    (IOPATH B1 X (0.159:0.159:0.159) (0.229:0.230:0.232))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4623_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.195:0.197:0.199) (0.176:0.178:0.179))
+    (IOPATH B X (0.209:0.211:0.213) (0.213:0.223:0.233))
+    (IOPATH C X (0.204:0.204:0.205) (0.207:0.207:0.208))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _4624_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.172:0.174:0.175) (0.202:0.210:0.218))
+    (IOPATH A2 X (0.136:0.137:0.138) (0.186:0.197:0.208))
+    (IOPATH B1_N X (0.220:0.221:0.222) (0.158:0.158:0.159))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _4625_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.153:0.154:0.154) (0.192:0.192:0.193))
+    (IOPATH A2 X (0.142:0.142:0.142) (0.179:0.180:0.181))
+    (IOPATH B1_N X (0.220:0.221:0.222) (0.151:0.151:0.151))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3b_1")
+  (INSTANCE _4626_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.112:0.113:0.114) (0.366:0.367:0.367))
+    (IOPATH B X (0.115:0.115:0.115) (0.344:0.345:0.345))
+    (IOPATH C_N X (0.151:0.152:0.153) (0.338:0.338:0.338))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _4627_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.217:0.218:0.219) (0.199:0.199:0.199))
+    (IOPATH B X (0.149:0.149:0.149) (0.184:0.184:0.184))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _4628_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.263:0.263:0.263) (0.269:0.269:0.269))
+    (IOPATH A2 X (0.263:0.263:0.263) (0.321:0.321:0.321))
+    (IOPATH A3 X (0.204:0.204:0.204) (0.253:0.253:0.253))
+    (IOPATH B1 X (0.152:0.152:0.152) (0.217:0.218:0.218))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _4629_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.286:0.288:0.290) (0.126:0.143:0.160))
+    (IOPATH A X (0.279:0.289:0.299) (0.204:0.207:0.209))
+    (IOPATH B X (0.266:0.273:0.280) (0.123:0.141:0.160))
+    (IOPATH B X (0.281:0.289:0.297) (0.185:0.192:0.199))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4630_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.120:0.120:0.120) (0.106:0.106:0.106))
+    (IOPATH B Y (0.157:0.157:0.157) (0.117:0.117:0.117))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _4631_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.264:0.264:0.264) (0.112:0.112:0.112))
+    (IOPATH A X (0.258:0.258:0.258) (0.192:0.193:0.193))
+    (IOPATH B X (0.257:0.258:0.258) (0.105:0.108:0.111))
+    (IOPATH B X (0.259:0.261:0.263) (0.185:0.186:0.186))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4632_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.221:0.221:0.221) (0.176:0.191:0.206))
+    (IOPATH A Y (0.310:0.323:0.336) (0.159:0.159:0.159))
+    (IOPATH B Y (0.254:0.255:0.256) (0.202:0.215:0.229))
+    (IOPATH B Y (0.318:0.331:0.345) (0.210:0.212:0.214))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4633_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.173:0.181:0.189) (0.086:0.088:0.091))
+    (IOPATH A2 Y (0.171:0.172:0.172) (0.079:0.079:0.080))
+    (IOPATH B1 Y (0.150:0.151:0.152) (0.053:0.055:0.057))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4634_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.177:0.178:0.179) (0.081:0.081:0.082))
+    (IOPATH B Y (0.155:0.159:0.163) (0.082:0.084:0.086))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _4635_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.205:0.205:0.205) (0.446:0.446:0.447))
+    (IOPATH B X (0.194:0.194:0.194) (0.424:0.424:0.424))
+    (IOPATH C X (0.215:0.215:0.216) (0.372:0.377:0.382))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_2")
+  (INSTANCE _4636_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.219:0.219:0.220) (0.113:0.113:0.113))
+    (IOPATH A2 Y (0.183:0.189:0.194) (0.115:0.115:0.115))
+    (IOPATH B1 Y (0.110:0.111:0.111) (0.100:0.100:0.101))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4637_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.192:0.194:0.196) (0.178:0.180:0.183))
+    (IOPATH B Y (0.181:0.183:0.185) (0.178:0.198:0.217))
+    (IOPATH C Y (0.171:0.171:0.172) (0.179:0.179:0.179))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4638_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.217:0.219:0.220) (0.251:0.252:0.254))
+    (IOPATH A2 X (0.217:0.217:0.218) (0.244:0.244:0.244))
+    (IOPATH B1 X (0.185:0.196:0.206) (0.223:0.224:0.226))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _4639_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.264:0.264:0.264) (0.114:0.114:0.114))
+    (IOPATH A X (0.253:0.253:0.253) (0.199:0.199:0.199))
+    (IOPATH B X (0.245:0.251:0.257) (0.106:0.108:0.110))
+    (IOPATH B X (0.253:0.254:0.256) (0.180:0.186:0.192))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _4640_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.148:0.149:0.149) (0.404:0.405:0.406))
+    (IOPATH B X (0.146:0.146:0.147) (0.393:0.396:0.399))
+    (IOPATH C X (0.160:0.162:0.165) (0.349:0.356:0.364))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _4641_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.214:0.215:0.216) (0.114:0.115:0.115))
+    (IOPATH A2 Y (0.207:0.216:0.224) (0.116:0.119:0.121))
+    (IOPATH B1 Y (0.148:0.152:0.156) (0.109:0.110:0.111))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4642_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.113:0.113:0.113) (0.115:0.115:0.115))
+    (IOPATH B Y (0.169:0.169:0.169) (0.161:0.161:0.161))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _4643_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.230:0.230:0.230) (0.119:0.119:0.119))
+    (IOPATH A2 Y (0.249:0.249:0.249) (0.127:0.127:0.127))
+    (IOPATH B1 Y (0.223:0.223:0.223) (0.126:0.126:0.126))
+    (IOPATH B2 Y (0.221:0.221:0.221) (0.098:0.098:0.098))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4644_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.252:0.252:0.252) (0.200:0.200:0.200))
+    (IOPATH B X (0.262:0.262:0.262) (0.229:0.229:0.229))
+    (IOPATH C X (0.272:0.272:0.272) (0.241:0.241:0.241))
+    (IOPATH D X (0.303:0.303:0.303) (0.292:0.292:0.292))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _4645_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.191:0.196:0.201) (0.096:0.098:0.100))
+    (IOPATH A2 Y (0.171:0.181:0.190) (0.102:0.104:0.106))
+    (IOPATH B1_N Y (0.145:0.145:0.145) (0.172:0.173:0.174))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4646_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.172:0.172:0.172) (0.211:0.211:0.211))
+    (IOPATH A2 X (0.195:0.201:0.207) (0.227:0.229:0.230))
+    (IOPATH B1 X (0.147:0.155:0.163) (0.197:0.197:0.198))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4647_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.146:0.146:0.146) (0.134:0.134:0.134))
+    (IOPATH B Y (0.157:0.159:0.161) (0.162:0.169:0.176))
+    (IOPATH C Y (0.144:0.145:0.145) (0.144:0.153:0.162))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4648_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.210:0.211:0.212) (0.215:0.219:0.224))
+    (IOPATH A2 X (0.185:0.185:0.186) (0.240:0.240:0.241))
+    (IOPATH B1_N X (0.272:0.274:0.276) (0.261:0.263:0.264))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4649_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.247:0.248:0.250) (0.233:0.235:0.238))
+    (IOPATH B X (0.247:0.247:0.247) (0.221:0.221:0.222))
+    (IOPATH C X (0.240:0.240:0.240) (0.230:0.231:0.231))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4650_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.168:0.171:0.174) (0.150:0.152:0.154))
+    (IOPATH B Y (0.152:0.152:0.153) (0.152:0.153:0.153))
+    (IOPATH C Y (0.141:0.142:0.142) (0.144:0.144:0.145))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_1")
+  (INSTANCE _4651_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.233:0.234:0.235) (0.088:0.088:0.089))
+    (IOPATH B Y (0.210:0.210:0.211) (0.089:0.089:0.089))
+    (IOPATH C Y (0.173:0.174:0.175) (0.072:0.072:0.073))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4652_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.245:0.247:0.248) (0.150:0.150:0.150))
+    (IOPATH B Y (0.179:0.181:0.184) (0.104:0.104:0.104))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4653_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.188:0.189:0.190) (0.234:0.237:0.239))
+    (IOPATH A2 X (0.189:0.190:0.190) (0.227:0.227:0.228))
+    (IOPATH B1 X (0.152:0.152:0.152) (0.198:0.198:0.198))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4654_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.240:0.241:0.242) (0.215:0.217:0.219))
+    (IOPATH B X (0.263:0.263:0.264) (0.216:0.225:0.234))
+    (IOPATH C X (0.241:0.241:0.241) (0.227:0.227:0.227))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211o_2")
+  (INSTANCE _4655_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.321:0.321:0.321) (0.453:0.453:0.453))
+    (IOPATH A2 X (0.311:0.323:0.335) (0.476:0.477:0.479))
+    (IOPATH B1 X (0.297:0.297:0.297) (0.452:0.452:0.453))
+    (IOPATH C1 X (0.295:0.295:0.295) (0.413:0.413:0.414))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211ai_4")
+  (INSTANCE _4656_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.412:0.412:0.413) (0.197:0.197:0.197))
+    (IOPATH A2 Y (0.390:0.391:0.391) (0.191:0.191:0.191))
+    (IOPATH B1 Y (0.222:0.223:0.223) (0.193:0.193:0.193))
+    (IOPATH C1 Y (0.198:0.200:0.202) (0.174:0.188:0.202))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21boi_1")
+  (INSTANCE _4657_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.193:0.195:0.196) (0.118:0.118:0.118))
+    (IOPATH A2 Y (0.253:0.254:0.255) (0.142:0.143:0.143))
+    (IOPATH B1_N Y (0.263:0.275:0.287) (0.203:0.204:0.206))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4658_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.184:0.196:0.209) (0.180:0.189:0.198))
+    (IOPATH A Y (0.266:0.274:0.283) (0.129:0.147:0.166))
+    (IOPATH B Y (0.187:0.189:0.191) (0.154:0.162:0.170))
+    (IOPATH B Y (0.227:0.235:0.242) (0.124:0.127:0.129))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4659_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.120:0.127:0.134) (0.068:0.079:0.089))
+    (IOPATH B Y (0.085:0.093:0.100) (0.060:0.061:0.062))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _4660_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.203:0.205:0.206) (0.208:0.213:0.217))
+    (IOPATH A2 X (0.159:0.170:0.181) (0.197:0.203:0.210))
+    (IOPATH B1_N X (0.237:0.243:0.249) (0.166:0.167:0.168))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4661_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.201:0.202:0.203) (0.164:0.175:0.186))
+    (IOPATH A Y (0.248:0.258:0.268) (0.155:0.157:0.158))
+    (IOPATH B Y (0.163:0.163:0.164) (0.152:0.153:0.154))
+    (IOPATH B Y (0.221:0.222:0.223) (0.098:0.099:0.099))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _4662_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.194:0.195:0.196) (0.186:0.186:0.186))
+    (IOPATH B X (0.175:0.176:0.177) (0.169:0.180:0.191))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4663_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.184:0.185:0.185) (0.201:0.202:0.202))
+    (IOPATH A2 X (0.186:0.200:0.214) (0.225:0.230:0.236))
+    (IOPATH B1 X (0.139:0.140:0.140) (0.188:0.188:0.188))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+  (INSTANCE _4664_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.265:0.266:0.266) (0.132:0.133:0.133))
+    (IOPATH A X (0.245:0.245:0.246) (0.217:0.217:0.218))
+    (IOPATH B X (0.237:0.242:0.248) (0.121:0.141:0.161))
+    (IOPATH B X (0.246:0.254:0.261) (0.188:0.193:0.199))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4665_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.383:0.383:0.383) (0.284:0.284:0.284))
+    (IOPATH B X (0.360:0.360:0.360) (0.300:0.300:0.300))
+    (IOPATH C X (0.328:0.328:0.328) (0.272:0.272:0.272))
+    (IOPATH D X (0.366:0.366:0.366) (0.368:0.368:0.368))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4666_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.224:0.224:0.224) (0.181:0.181:0.181))
+    (IOPATH B X (0.251:0.251:0.251) (0.227:0.227:0.227))
+    (IOPATH C X (0.250:0.250:0.250) (0.228:0.228:0.228))
+    (IOPATH D X (0.257:0.257:0.257) (0.244:0.244:0.244))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _4667_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.252:0.252:0.252) (0.161:0.161:0.161))
+    (IOPATH A2 Y (0.219:0.219:0.219) (0.123:0.123:0.123))
+    (IOPATH B1 Y (0.236:0.236:0.236) (0.132:0.132:0.132))
+    (IOPATH B2 Y (0.188:0.188:0.188) (0.090:0.090:0.090))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4bb_1")
+  (INSTANCE _4668_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.279:0.280:0.281) (0.209:0.209:0.209))
+    (IOPATH B_N X (0.301:0.314:0.326) (0.254:0.255:0.255))
+    (IOPATH C X (0.274:0.274:0.274) (0.269:0.269:0.269))
+    (IOPATH D X (0.280:0.280:0.280) (0.311:0.311:0.311))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4669_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.214:0.215:0.216) (0.089:0.089:0.090))
+    (IOPATH B Y (0.199:0.200:0.201) (0.084:0.084:0.085))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4670_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.090:0.090:0.090) (0.091:0.091:0.091))
+    (IOPATH B Y (0.196:0.196:0.196) (0.151:0.151:0.151))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4671_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.263:0.263:0.263) (0.200:0.200:0.200))
+    (IOPATH B X (0.239:0.239:0.239) (0.209:0.209:0.209))
+    (IOPATH C X (0.265:0.265:0.265) (0.249:0.249:0.249))
+    (IOPATH D X (0.243:0.243:0.243) (0.230:0.230:0.230))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4672_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.175:0.175:0.175) (0.235:0.235:0.235))
+    (IOPATH A2 X (0.205:0.205:0.205) (0.270:0.270:0.270))
+    (IOPATH B1 X (0.190:0.190:0.190) (0.218:0.218:0.218))
+    (IOPATH B2 X (0.192:0.192:0.192) (0.239:0.239:0.239))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _4673_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.204:0.205:0.206) (0.199:0.199:0.199))
+    (IOPATH B X (0.140:0.140:0.140) (0.168:0.168:0.169))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4674_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.162:0.169:0.176) (0.164:0.178:0.193))
+    (IOPATH A Y (0.253:0.266:0.278) (0.103:0.111:0.119))
+    (IOPATH B Y (0.159:0.159:0.159) (0.150:0.151:0.151))
+    (IOPATH B Y (0.225:0.225:0.225) (0.093:0.093:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _4675_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.218:0.219:0.219) (0.229:0.229:0.229))
+    (IOPATH B X (0.160:0.174:0.187) (0.182:0.185:0.188))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _4676_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.491:0.491:0.491) (0.345:0.345:0.345))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4677_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.192:0.204:0.215) (0.179:0.182:0.184))
+    (IOPATH A Y (0.288:0.290:0.292) (0.131:0.148:0.165))
+    (IOPATH B Y (0.213:0.213:0.214) (0.171:0.172:0.173))
+    (IOPATH B Y (0.265:0.265:0.266) (0.153:0.153:0.153))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4678_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.258:0.258:0.258) (0.232:0.232:0.232))
+    (IOPATH B X (0.250:0.250:0.250) (0.281:0.281:0.281))
+    (IOPATH C X (0.194:0.208:0.222) (0.209:0.214:0.220))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _4679_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.225:0.225:0.225) (0.166:0.166:0.166))
+    (IOPATH A2 Y (0.231:0.231:0.231) (0.143:0.143:0.143))
+    (IOPATH B1 Y (0.154:0.154:0.154) (0.091:0.091:0.091))
+    (IOPATH B2 Y (0.244:0.244:0.244) (0.125:0.125:0.125))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4680_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.170:0.170:0.170) (0.272:0.273:0.274))
+    (IOPATH B X (0.155:0.158:0.160) (0.245:0.254:0.263))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _4681_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.136:0.136:0.136) (0.388:0.388:0.389))
+    (IOPATH B X (0.136:0.139:0.142) (0.380:0.383:0.387))
+    (IOPATH C X (0.146:0.148:0.151) (0.332:0.335:0.337))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _4682_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.190:0.191:0.192) (0.106:0.106:0.107))
+    (IOPATH A2 Y (0.184:0.186:0.189) (0.107:0.110:0.112))
+    (IOPATH B1 Y (0.137:0.141:0.146) (0.105:0.109:0.112))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _4683_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.176:0.176:0.176) (0.197:0.197:0.197))
+    (IOPATH A2 X (0.258:0.258:0.258) (0.331:0.331:0.331))
+    (IOPATH A3 X (0.160:0.160:0.160) (0.225:0.225:0.226))
+    (IOPATH B1 X (0.123:0.123:0.123) (0.194:0.195:0.196))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4684_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.162:0.162:0.162) (0.167:0.167:0.167))
+    (IOPATH B X (0.188:0.193:0.199) (0.183:0.186:0.188))
+    (IOPATH C X (0.172:0.174:0.175) (0.186:0.187:0.188))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4685_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.219:0.219:0.219) (0.089:0.089:0.090))
+    (IOPATH A2 Y (0.233:0.235:0.237) (0.115:0.121:0.126))
+    (IOPATH B1 Y (0.197:0.198:0.199) (0.061:0.063:0.064))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4686_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.135:0.135:0.136) (0.060:0.060:0.061))
+    (IOPATH B Y (0.122:0.128:0.135) (0.083:0.084:0.086))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4687_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.174:0.174:0.174) (0.170:0.170:0.170))
+    (IOPATH A Y (0.265:0.265:0.265) (0.115:0.115:0.115))
+    (IOPATH B Y (0.184:0.184:0.184) (0.153:0.159:0.164))
+    (IOPATH B Y (0.234:0.238:0.243) (0.117:0.117:0.117))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _4688_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.231:0.231:0.231) (0.248:0.248:0.248))
+    (IOPATH A2 X (0.216:0.217:0.218) (0.230:0.231:0.231))
+    (IOPATH B1 X (0.240:0.255:0.270) (0.178:0.181:0.183))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4689_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.237:0.237:0.237) (0.204:0.205:0.206))
+    (IOPATH B X (0.233:0.233:0.233) (0.223:0.224:0.225))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _4690_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.151:0.151:0.151) (0.400:0.401:0.402))
+    (IOPATH B X (0.138:0.141:0.144) (0.385:0.390:0.394))
+    (IOPATH C X (0.158:0.160:0.162) (0.343:0.351:0.359))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _4691_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.179:0.180:0.181) (0.104:0.105:0.105))
+    (IOPATH A2 Y (0.170:0.179:0.188) (0.103:0.105:0.107))
+    (IOPATH B1 Y (0.120:0.126:0.133) (0.092:0.095:0.098))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4692_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.179:0.179:0.179) (0.168:0.168:0.168))
+    (IOPATH B Y (0.169:0.169:0.169) (0.147:0.147:0.147))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _4693_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.216:0.216:0.216) (0.112:0.112:0.112))
+    (IOPATH A2 Y (0.232:0.232:0.232) (0.106:0.106:0.106))
+    (IOPATH B1 Y (0.178:0.178:0.178) (0.094:0.094:0.094))
+    (IOPATH B2 Y (0.204:0.204:0.204) (0.089:0.089:0.089))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4694_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.262:0.262:0.262) (0.206:0.206:0.206))
+    (IOPATH B X (0.265:0.265:0.265) (0.230:0.230:0.230))
+    (IOPATH C X (0.259:0.259:0.259) (0.235:0.235:0.235))
+    (IOPATH D X (0.270:0.270:0.270) (0.255:0.255:0.255))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _4695_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.249:0.252:0.254) (0.132:0.133:0.133))
+    (IOPATH A2 Y (0.211:0.214:0.217) (0.118:0.120:0.123))
+    (IOPATH B1_N Y (0.168:0.168:0.168) (0.190:0.192:0.193))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4696_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.138:0.138:0.138) (0.125:0.125:0.125))
+    (IOPATH B Y (0.143:0.145:0.146) (0.145:0.151:0.156))
+    (IOPATH C Y (0.146:0.149:0.151) (0.146:0.157:0.168))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4697_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.318:0.318:0.318) (0.228:0.228:0.228))
+    (IOPATH B X (0.325:0.325:0.325) (0.254:0.254:0.254))
+    (IOPATH C X (0.361:0.361:0.361) (0.344:0.344:0.344))
+    (IOPATH D X (0.347:0.347:0.347) (0.337:0.337:0.337))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _4698_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.245:0.245:0.245) (0.157:0.157:0.157))
+    (IOPATH A2 Y (0.272:0.272:0.272) (0.162:0.162:0.162))
+    (IOPATH B1 Y (0.220:0.220:0.220) (0.125:0.125:0.125))
+    (IOPATH B2 Y (0.191:0.191:0.191) (0.105:0.105:0.105))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4699_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.202:0.203:0.204) (0.105:0.106:0.106))
+    (IOPATH B Y (0.181:0.187:0.194) (0.094:0.095:0.096))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4700_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.167:0.167:0.167) (0.207:0.207:0.207))
+    (IOPATH A2 X (0.184:0.189:0.193) (0.219:0.220:0.221))
+    (IOPATH B1 X (0.154:0.161:0.169) (0.202:0.204:0.206))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4701_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.173:0.174:0.176) (0.160:0.162:0.164))
+    (IOPATH B Y (0.165:0.166:0.168) (0.185:0.185:0.185))
+    (IOPATH C Y (0.159:0.159:0.160) (0.164:0.165:0.165))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4702_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.199:0.200:0.202) (0.231:0.232:0.234))
+    (IOPATH A2 X (0.199:0.199:0.199) (0.230:0.230:0.231))
+    (IOPATH B1 X (0.181:0.181:0.181) (0.206:0.207:0.208))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4703_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.174:0.174:0.174) (0.161:0.161:0.161))
+    (IOPATH B Y (0.212:0.212:0.212) (0.176:0.176:0.176))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _4704_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.161:0.161:0.161) (0.411:0.412:0.413))
+    (IOPATH B X (0.168:0.168:0.169) (0.410:0.413:0.415))
+    (IOPATH C X (0.164:0.167:0.169) (0.351:0.353:0.356))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _4705_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.228:0.229:0.230) (0.125:0.125:0.126))
+    (IOPATH A2 Y (0.215:0.218:0.221) (0.120:0.123:0.126))
+    (IOPATH B1 Y (0.174:0.176:0.179) (0.135:0.136:0.136))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4706_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.214:0.214:0.214) (0.263:0.263:0.263))
+    (IOPATH A2 X (0.201:0.201:0.201) (0.279:0.279:0.279))
+    (IOPATH B1 X (0.195:0.195:0.195) (0.223:0.223:0.223))
+    (IOPATH B2 X (0.189:0.189:0.189) (0.245:0.245:0.245))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4707_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.240:0.240:0.240) (0.193:0.193:0.193))
+    (IOPATH B X (0.261:0.261:0.261) (0.230:0.230:0.230))
+    (IOPATH C X (0.253:0.253:0.253) (0.238:0.238:0.238))
+    (IOPATH D X (0.249:0.249:0.249) (0.237:0.237:0.237))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _4708_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.196:0.196:0.196) (0.218:0.218:0.218))
+    (IOPATH A2 X (0.218:0.218:0.218) (0.271:0.271:0.271))
+    (IOPATH A3 X (0.181:0.181:0.181) (0.240:0.240:0.240))
+    (IOPATH B1 X (0.139:0.139:0.139) (0.205:0.206:0.207))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+  (INSTANCE _4709_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.251:0.251:0.251) (0.092:0.092:0.092))
+    (IOPATH A2 Y (0.253:0.257:0.261) (0.116:0.123:0.130))
+    (IOPATH B1 Y (0.211:0.211:0.212) (0.065:0.065:0.066))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4710_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.233:0.233:0.233) (0.211:0.211:0.211))
+    (IOPATH B X (0.259:0.266:0.273) (0.227:0.231:0.235))
+    (IOPATH C X (0.240:0.240:0.241) (0.228:0.228:0.229))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_2")
+  (INSTANCE _4711_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.229:0.230:0.230) (0.111:0.113:0.114))
+    (IOPATH A2 Y (0.177:0.184:0.192) (0.115:0.115:0.116))
+    (IOPATH B1_N Y (0.173:0.173:0.174) (0.227:0.228:0.228))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_4")
+  (INSTANCE _4712_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.162:0.163:0.165) (0.154:0.157:0.159))
+    (IOPATH B Y (0.151:0.152:0.152) (0.154:0.154:0.154))
+    (IOPATH C Y (0.155:0.156:0.157) (0.145:0.154:0.163))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4713_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.261:0.262:0.264) (0.273:0.274:0.276))
+    (IOPATH A2 X (0.261:0.261:0.261) (0.267:0.268:0.268))
+    (IOPATH B1 X (0.218:0.227:0.237) (0.241:0.242:0.243))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_4")
+  (INSTANCE _4714_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.309:0.309:0.309) (0.438:0.438:0.438))
+    (IOPATH B Y (0.318:0.318:0.318) (0.387:0.387:0.387))
+    (IOPATH C Y (0.345:0.347:0.349) (0.381:0.383:0.386))
+    (IOPATH D Y (0.320:0.321:0.321) (0.399:0.399:0.399))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4715_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.145:0.147:0.149) (0.130:0.132:0.134))
+    (IOPATH B Y (0.131:0.136:0.141) (0.164:0.166:0.167))
+    (IOPATH C Y (0.130:0.130:0.130) (0.133:0.133:0.133))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4716_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.160:0.161:0.162) (0.209:0.211:0.213))
+    (IOPATH A2 X (0.159:0.159:0.159) (0.205:0.205:0.206))
+    (IOPATH B1 X (0.148:0.149:0.150) (0.179:0.184:0.189))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4717_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.173:0.173:0.173) (0.198:0.199:0.200))
+    (IOPATH A2 X (0.158:0.158:0.158) (0.221:0.221:0.222))
+    (IOPATH B1_N X (0.241:0.243:0.245) (0.241:0.243:0.244))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_2")
+  (INSTANCE _4718_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.315:0.317:0.318) (0.279:0.282:0.286))
+    (IOPATH B X (0.310:0.310:0.310) (0.278:0.278:0.279))
+    (IOPATH C X (0.315:0.315:0.315) (0.294:0.295:0.295))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4719_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.788:0.788:0.788) (0.479:0.479:0.479))
+    (IOPATH B X (0.851:0.851:0.851) (0.576:0.576:0.576))
+    (IOPATH C X (0.781:0.781:0.781) (0.513:0.514:0.515))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4720_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.131:0.131:0.131) (0.087:0.087:0.087))
+    (IOPATH A2 Y (0.202:0.202:0.202) (0.126:0.126:0.126))
+    (IOPATH B1 Y (0.115:0.116:0.117) (0.052:0.052:0.052))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4721_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.271:0.271:0.271) (0.410:0.411:0.411))
+    (IOPATH B X (0.169:0.173:0.178) (0.256:0.264:0.271))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+  (INSTANCE _4722_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.306:0.309:0.312) (0.114:0.115:0.117))
+    (IOPATH A2 Y (0.287:0.287:0.287) (0.107:0.107:0.107))
+    (IOPATH B1 Y (0.260:0.260:0.260) (0.075:0.076:0.076))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_4")
+  (INSTANCE _4723_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.360:0.360:0.361) (0.102:0.102:0.102))
+    (IOPATH B Y (0.335:0.336:0.336) (0.086:0.087:0.087))
+    (IOPATH C Y (0.278:0.283:0.289) (0.106:0.106:0.106))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _4724_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.235:0.235:0.235) (0.251:0.251:0.252))
+    (IOPATH A2 X (0.232:0.233:0.233) (0.217:0.224:0.230))
+    (IOPATH B1 X (0.217:0.218:0.218) (0.165:0.165:0.166))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211oi_4")
+  (INSTANCE _4725_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.604:0.606:0.607) (0.148:0.152:0.155))
+    (IOPATH A2 Y (0.723:0.726:0.729) (0.197:0.203:0.208))
+    (IOPATH B1 Y (0.568:0.573:0.578) (0.161:0.161:0.161))
+    (IOPATH C1 Y (0.525:0.526:0.527) (0.109:0.109:0.109))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_1")
+  (INSTANCE _4726_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.323:0.324:0.326) (0.095:0.113:0.131))
+    (IOPATH B Y (0.299:0.299:0.299) (0.074:0.074:0.074))
+    (IOPATH C Y (0.262:0.262:0.262) (0.071:0.072:0.073))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_1")
+  (INSTANCE _4727_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.440:0.440:0.440) (0.376:0.381:0.387))
+    (IOPATH A2 X (0.382:0.382:0.382) (0.366:0.367:0.368))
+    (IOPATH B1 X (0.391:0.394:0.397) (0.307:0.309:0.311))
+    (IOPATH C1 X (0.431:0.435:0.439) (0.396:0.398:0.401))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor4_2")
+  (INSTANCE _4728_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.533:0.534:0.535) (0.112:0.112:0.112))
+    (IOPATH B Y (0.513:0.524:0.536) (0.187:0.187:0.187))
+    (IOPATH C Y (0.462:0.469:0.476) (0.137:0.137:0.137))
+    (IOPATH D Y (0.439:0.440:0.442) (0.127:0.127:0.128))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_2")
+  (INSTANCE _4729_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.136:0.138:0.141) (0.131:0.132:0.133))
+    (IOPATH B Y (0.129:0.138:0.147) (0.161:0.162:0.162))
+    (IOPATH C Y (0.123:0.123:0.123) (0.135:0.135:0.136))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4730_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.222:0.223:0.223) (0.242:0.244:0.246))
+    (IOPATH A2 X (0.220:0.221:0.221) (0.242:0.243:0.243))
+    (IOPATH B1 X (0.206:0.206:0.206) (0.223:0.231:0.238))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+  (INSTANCE _4731_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.375:0.375:0.375) (0.381:0.382:0.383))
+    (IOPATH A2 X (0.392:0.392:0.392) (0.343:0.349:0.355))
+    (IOPATH B1 X (0.354:0.355:0.356) (0.251:0.253:0.256))
+    (IOPATH C1 X (0.367:0.368:0.368) (0.222:0.222:0.223))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _4732_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.160:0.160:0.160) (0.204:0.204:0.204))
+    (IOPATH A2 X (0.167:0.168:0.170) (0.181:0.188:0.195))
+    (IOPATH B1_N X (0.230:0.231:0.231) (0.161:0.161:0.161))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _4733_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.051:0.052:0.053) (0.039:0.039:0.039))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4734_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.188:0.190:0.191) (0.223:0.225:0.226))
+    (IOPATH A2 X (0.177:0.177:0.177) (0.217:0.218:0.218))
+    (IOPATH B1 X (0.155:0.165:0.175) (0.200:0.202:0.204))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4735_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.136:0.137:0.139) (0.116:0.118:0.120))
+    (IOPATH B Y (0.249:0.250:0.250) (0.199:0.199:0.199))
+    (IOPATH C Y (0.109:0.110:0.110) (0.111:0.111:0.111))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4736_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.148:0.150:0.151) (0.209:0.210:0.212))
+    (IOPATH A2 X (0.142:0.142:0.142) (0.193:0.193:0.193))
+    (IOPATH B1 X (0.159:0.159:0.159) (0.297:0.297:0.297))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4737_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.166:0.166:0.166) (0.151:0.151:0.151))
+    (IOPATH B X (0.188:0.196:0.204) (0.194:0.218:0.241))
+    (IOPATH C X (0.185:0.186:0.187) (0.192:0.193:0.195))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4738_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.142:0.161:0.181) (0.071:0.078:0.086))
+    (IOPATH A2 Y (0.144:0.145:0.146) (0.065:0.067:0.069))
+    (IOPATH B1 Y (0.114:0.114:0.114) (0.035:0.035:0.035))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4739_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.119:0.119:0.119) (0.235:0.235:0.236))
+    (IOPATH B X (0.120:0.123:0.126) (0.208:0.213:0.218))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211oi_4")
+  (INSTANCE _4740_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.490:0.492:0.495) (0.124:0.125:0.127))
+    (IOPATH A2 Y (0.503:0.504:0.504) (0.143:0.143:0.143))
+    (IOPATH B1 Y (0.482:0.483:0.484) (0.117:0.117:0.117))
+    (IOPATH C1 Y (0.417:0.422:0.426) (0.132:0.132:0.132))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_2")
+  (INSTANCE _4741_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.220:0.220:0.220) (0.553:0.555:0.558))
+    (IOPATH B X (0.150:0.150:0.151) (0.509:0.509:0.509))
+    (IOPATH C X (0.253:0.254:0.255) (0.482:0.486:0.490))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _4742_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.241:0.243:0.246) (0.158:0.158:0.158))
+    (IOPATH A2 Y (0.219:0.224:0.230) (0.170:0.171:0.172))
+    (IOPATH B1 Y (0.136:0.136:0.136) (0.096:0.096:0.096))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_1")
+  (INSTANCE _4743_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.341:0.341:0.342) (0.300:0.313:0.326))
+    (IOPATH A2 X (0.292:0.292:0.292) (0.277:0.293:0.308))
+    (IOPATH B1 X (0.238:0.239:0.240) (0.210:0.210:0.210))
+    (IOPATH C1 X (0.253:0.260:0.268) (0.181:0.189:0.198))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4744_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.109:0.110:0.111) (0.068:0.068:0.068))
+    (IOPATH B Y (0.095:0.096:0.097) (0.054:0.054:0.054))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4745_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.161:0.161:0.161) (0.264:0.264:0.264))
+    (IOPATH B X (0.134:0.134:0.135) (0.231:0.232:0.233))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211oi_2")
+  (INSTANCE _4746_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.297:0.297:0.297) (0.091:0.092:0.093))
+    (IOPATH A2 Y (0.323:0.330:0.338) (0.115:0.123:0.130))
+    (IOPATH B1 Y (0.307:0.319:0.332) (0.139:0.139:0.140))
+    (IOPATH C1 Y (0.252:0.265:0.278) (0.107:0.107:0.107))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_2")
+  (INSTANCE _4747_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.319:0.321:0.322) (0.094:0.094:0.095))
+    (IOPATH B Y (0.292:0.292:0.292) (0.073:0.073:0.073))
+    (IOPATH C Y (0.249:0.260:0.271) (0.103:0.106:0.109))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _4748_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.082:0.084:0.087) (0.065:0.065:0.065))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4749_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.238:0.257:0.276) (0.229:0.231:0.233))
+    (IOPATH B X (0.226:0.227:0.227) (0.193:0.195:0.196))
+    (IOPATH C X (0.244:0.244:0.244) (0.258:0.259:0.259))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4750_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.276:0.278:0.279) (0.146:0.166:0.185))
+    (IOPATH A2 Y (0.286:0.287:0.287) (0.157:0.158:0.158))
+    (IOPATH B1 Y (0.220:0.221:0.222) (0.098:0.098:0.098))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211oi_1")
+  (INSTANCE _4751_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.392:0.392:0.392) (0.106:0.107:0.107))
+    (IOPATH A2 Y (0.446:0.446:0.446) (0.122:0.123:0.124))
+    (IOPATH B1 Y (0.406:0.407:0.407) (0.094:0.095:0.096))
+    (IOPATH C1 Y (0.373:0.384:0.396) (0.124:0.126:0.128))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4752_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.195:0.197:0.199) (0.206:0.208:0.210))
+    (IOPATH B X (0.294:0.294:0.294) (0.339:0.339:0.340))
+    (IOPATH C X (0.188:0.188:0.188) (0.200:0.200:0.201))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _4753_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.198:0.200:0.202) (0.219:0.227:0.236))
+    (IOPATH A2 X (0.159:0.159:0.159) (0.209:0.216:0.223))
+    (IOPATH B1_N X (0.243:0.244:0.245) (0.174:0.175:0.175))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _4754_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.150:0.151:0.153) (0.189:0.190:0.191))
+    (IOPATH A2 X (0.139:0.139:0.139) (0.177:0.178:0.178))
+    (IOPATH B1_N X (0.228:0.229:0.230) (0.160:0.161:0.161))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3b_1")
+  (INSTANCE _4755_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.102:0.104:0.106) (0.357:0.358:0.359))
+    (IOPATH B X (0.105:0.105:0.105) (0.336:0.336:0.337))
+    (IOPATH C_N X (0.153:0.154:0.155) (0.338:0.338:0.338))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _4756_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.217:0.218:0.219) (0.199:0.199:0.199))
+    (IOPATH B X (0.147:0.147:0.147) (0.181:0.182:0.182))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _4757_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.502:0.502:0.502) (0.391:0.391:0.391))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4758_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.126:0.126:0.126) (0.117:0.117:0.117))
+    (IOPATH B Y (0.156:0.156:0.156) (0.116:0.116:0.116))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _4759_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.245:0.245:0.245) (0.106:0.106:0.106))
+    (IOPATH A X (0.238:0.238:0.238) (0.187:0.187:0.187))
+    (IOPATH B X (0.238:0.242:0.246) (0.100:0.102:0.104))
+    (IOPATH B X (0.241:0.243:0.244) (0.180:0.184:0.189))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_1")
+  (INSTANCE _4760_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.215:0.215:0.216) (0.262:0.262:0.263))
+    (IOPATH A2 X (0.218:0.219:0.221) (0.251:0.265:0.279))
+    (IOPATH B1 X (0.191:0.191:0.192) (0.161:0.161:0.161))
+    (IOPATH C1 X (0.194:0.195:0.196) (0.170:0.170:0.170))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _4761_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.218:0.220:0.222) (0.417:0.423:0.428))
+    (IOPATH B X (0.185:0.186:0.188) (0.391:0.395:0.398))
+    (IOPATH C X (0.152:0.152:0.152) (0.354:0.355:0.356))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _4762_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.205:0.211:0.217) (0.171:0.173:0.175))
+    (IOPATH A2 Y (0.189:0.190:0.192) (0.096:0.096:0.096))
+    (IOPATH B1 Y (0.126:0.130:0.135) (0.141:0.143:0.144))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211ai_1")
+  (INSTANCE _4763_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.272:0.274:0.275) (0.160:0.160:0.160))
+    (IOPATH A2 Y (0.242:0.249:0.255) (0.170:0.170:0.170))
+    (IOPATH B1 Y (0.160:0.160:0.161) (0.138:0.138:0.138))
+    (IOPATH C1 Y (0.135:0.147:0.158) (0.146:0.154:0.161))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211o_1")
+  (INSTANCE _4764_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.172:0.173:0.173) (0.311:0.311:0.312))
+    (IOPATH A2 X (0.187:0.193:0.198) (0.335:0.344:0.354))
+    (IOPATH B1 X (0.169:0.170:0.170) (0.320:0.322:0.323))
+    (IOPATH C1 X (0.189:0.189:0.189) (0.265:0.271:0.276))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4765_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.128:0.129:0.129) (0.143:0.143:0.143))
+    (IOPATH B Y (0.161:0.164:0.166) (0.145:0.160:0.175))
+    (IOPATH C Y (0.139:0.139:0.140) (0.135:0.135:0.136))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4766_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.179:0.190:0.202) (0.216:0.218:0.220))
+    (IOPATH A2 X (0.171:0.172:0.172) (0.221:0.221:0.222))
+    (IOPATH B1 X (0.153:0.153:0.153) (0.199:0.199:0.199))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4767_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.450:0.450:0.450) (0.392:0.392:0.392))
+    (IOPATH A2 X (0.399:0.399:0.399) (0.391:0.391:0.391))
+    (IOPATH B1 X (0.381:0.384:0.386) (0.352:0.354:0.355))
+    (IOPATH B2 X (0.402:0.402:0.402) (0.364:0.364:0.365))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4768_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.238:0.238:0.238) (0.219:0.219:0.219))
+    (IOPATH B X (0.239:0.239:0.239) (0.283:0.283:0.283))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _4769_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.194:0.196:0.197) (0.461:0.461:0.462))
+    (IOPATH B X (0.198:0.198:0.198) (0.424:0.424:0.425))
+    (IOPATH C X (0.211:0.212:0.212) (0.376:0.382:0.388))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _4770_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.263:0.264:0.264) (0.145:0.145:0.146))
+    (IOPATH A2 Y (0.239:0.246:0.253) (0.149:0.149:0.150))
+    (IOPATH B1 Y (0.191:0.192:0.193) (0.136:0.138:0.140))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4771_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.124:0.124:0.124) (0.128:0.128:0.128))
+    (IOPATH B Y (0.164:0.164:0.164) (0.142:0.142:0.142))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _4772_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.232:0.233:0.235) (0.217:0.218:0.218))
+    (IOPATH B X (0.173:0.173:0.173) (0.191:0.191:0.192))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _4773_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.181:0.182:0.184) (0.207:0.207:0.207))
+    (IOPATH A Y (0.312:0.312:0.312) (0.132:0.134:0.135))
+    (IOPATH B Y (0.175:0.175:0.175) (0.184:0.184:0.184))
+    (IOPATH B Y (0.272:0.272:0.272) (0.121:0.121:0.121))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4774_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.337:0.337:0.337) (0.262:0.262:0.262))
+    (IOPATH B X (0.362:0.362:0.362) (0.289:0.289:0.289))
+    (IOPATH C X (0.346:0.346:0.346) (0.322:0.322:0.322))
+    (IOPATH D X (0.346:0.346:0.346) (0.342:0.342:0.342))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4775_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.181:0.202:0.223) (0.185:0.187:0.189))
+    (IOPATH B X (0.195:0.195:0.196) (0.198:0.199:0.200))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4776_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.404:0.404:0.404) (0.343:0.343:0.343))
+    (IOPATH A2 X (0.420:0.428:0.436) (0.360:0.361:0.362))
+    (IOPATH B1 X (0.368:0.368:0.368) (0.317:0.317:0.317))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_2")
+  (INSTANCE _4777_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.245:0.245:0.245) (0.265:0.265:0.265))
+    (IOPATH B Y (0.233:0.233:0.233) (0.267:0.267:0.268))
+    (IOPATH C Y (0.245:0.246:0.247) (0.285:0.293:0.300))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4778_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.159:0.159:0.159) (0.198:0.198:0.198))
+    (IOPATH A2 X (0.211:0.211:0.211) (0.279:0.280:0.280))
+    (IOPATH B1_N X (0.315:0.315:0.316) (0.268:0.269:0.271))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4779_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.323:0.326:0.330) (0.236:0.242:0.248))
+    (IOPATH B Y (0.240:0.240:0.240) (0.250:0.250:0.250))
+    (IOPATH C Y (0.171:0.172:0.172) (0.176:0.176:0.177))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4780_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.264:0.268:0.273) (0.335:0.338:0.341))
+    (IOPATH B X (0.274:0.274:0.274) (0.262:0.262:0.262))
+    (IOPATH C X (0.213:0.213:0.214) (0.215:0.216:0.216))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4781_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.142:0.142:0.142) (0.128:0.128:0.128))
+    (IOPATH B Y (0.174:0.174:0.174) (0.127:0.127:0.127))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4782_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.149:0.151:0.153) (0.163:0.169:0.174))
+    (IOPATH A Y (0.226:0.230:0.235) (0.098:0.100:0.102))
+    (IOPATH B Y (0.162:0.175:0.188) (0.160:0.164:0.169))
+    (IOPATH B Y (0.207:0.211:0.216) (0.103:0.119:0.135))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o2bb2a_1")
+  (INSTANCE _4783_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1_N X (0.302:0.302:0.302) (0.262:0.262:0.262))
+    (IOPATH A2_N X (0.357:0.357:0.357) (0.301:0.301:0.301))
+    (IOPATH B1 X (0.157:0.157:0.157) (0.290:0.291:0.292))
+    (IOPATH B2 X (0.164:0.165:0.165) (0.283:0.294:0.305))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4784_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.234:0.234:0.235) (0.094:0.095:0.095))
+    (IOPATH B Y (0.214:0.216:0.219) (0.073:0.073:0.074))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4785_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.273:0.273:0.273) (0.226:0.226:0.226))
+    (IOPATH B X (0.302:0.302:0.302) (0.269:0.269:0.269))
+    (IOPATH C X (0.278:0.278:0.278) (0.288:0.288:0.288))
+    (IOPATH D X (0.278:0.278:0.278) (0.309:0.309:0.309))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4786_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.216:0.217:0.217) (0.169:0.171:0.173))
+    (IOPATH B X (0.178:0.179:0.179) (0.183:0.184:0.185))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4787_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.210:0.224:0.238) (0.188:0.193:0.199))
+    (IOPATH B X (0.217:0.218:0.218) (0.206:0.207:0.207))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4788_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.092:0.096:0.101) (0.048:0.057:0.065))
+    (IOPATH B Y (0.076:0.077:0.077) (0.044:0.044:0.044))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4789_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.148:0.148:0.148) (0.256:0.256:0.256))
+    (IOPATH B X (0.119:0.119:0.119) (0.219:0.221:0.224))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4790_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.389:0.392:0.396) (0.175:0.180:0.185))
+    (IOPATH A2 Y (0.314:0.314:0.314) (0.187:0.187:0.187))
+    (IOPATH B1 Y (0.237:0.238:0.238) (0.079:0.080:0.080))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _4791_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.191:0.192:0.192) (0.440:0.441:0.442))
+    (IOPATH B X (0.173:0.174:0.174) (0.416:0.416:0.416))
+    (IOPATH C X (0.219:0.220:0.220) (0.378:0.392:0.405))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o22a_1")
+  (INSTANCE _4792_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.220:0.220:0.220) (0.257:0.258:0.259))
+    (IOPATH A2 X (0.230:0.230:0.230) (0.225:0.233:0.241))
+    (IOPATH B1 X (0.251:0.251:0.251) (0.269:0.270:0.272))
+    (IOPATH B2 X (0.253:0.253:0.254) (0.212:0.227:0.241))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211o_1")
+  (INSTANCE _4793_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.249:0.254:0.260) (0.376:0.383:0.390))
+    (IOPATH A2 X (0.238:0.238:0.238) (0.392:0.392:0.392))
+    (IOPATH B1 X (0.270:0.270:0.271) (0.355:0.368:0.382))
+    (IOPATH C1 X (0.204:0.204:0.204) (0.307:0.309:0.311))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211ai_2")
+  (INSTANCE _4794_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.215:0.230:0.244) (0.178:0.178:0.178))
+    (IOPATH A2 Y (0.186:0.188:0.190) (0.106:0.106:0.106))
+    (IOPATH B1 Y (0.144:0.152:0.159) (0.140:0.146:0.152))
+    (IOPATH C1 Y (0.111:0.111:0.111) (0.118:0.118:0.118))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_2")
+  (INSTANCE _4795_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.111:0.111:0.112) (0.130:0.130:0.130))
+    (IOPATH B Y (0.137:0.138:0.139) (0.143:0.144:0.144))
+    (IOPATH C Y (0.118:0.129:0.139) (0.120:0.130:0.139))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _4796_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.189:0.190:0.190) (0.226:0.227:0.229))
+    (IOPATH A2 X (0.189:0.192:0.194) (0.204:0.218:0.233))
+    (IOPATH B1 X (0.167:0.167:0.167) (0.138:0.138:0.138))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211oi_2")
+  (INSTANCE _4797_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.325:0.326:0.326) (0.127:0.127:0.127))
+    (IOPATH A2 Y (0.356:0.358:0.360) (0.105:0.106:0.108))
+    (IOPATH B1 Y (0.320:0.321:0.322) (0.075:0.076:0.076))
+    (IOPATH C1 Y (0.269:0.275:0.280) (0.104:0.104:0.104))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4798_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.202:0.205:0.208) (0.098:0.100:0.102))
+    (IOPATH A2 Y (0.200:0.200:0.200) (0.096:0.096:0.097))
+    (IOPATH B1 Y (0.170:0.177:0.185) (0.102:0.103:0.104))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _4799_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.170:0.171:0.172) (0.099:0.099:0.099))
+    (IOPATH A2 Y (0.156:0.171:0.186) (0.114:0.114:0.114))
+    (IOPATH B1 Y (0.103:0.103:0.103) (0.080:0.080:0.080))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4800_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.174:0.175:0.175) (0.127:0.128:0.130))
+    (IOPATH B Y (0.098:0.098:0.099) (0.101:0.101:0.101))
+    (IOPATH C Y (0.167:0.167:0.168) (0.149:0.149:0.149))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4801_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.172:0.173:0.174) (0.260:0.261:0.261))
+    (IOPATH A2 X (0.201:0.201:0.201) (0.253:0.253:0.254))
+    (IOPATH B1 X (0.115:0.115:0.116) (0.169:0.170:0.170))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+  (INSTANCE _4802_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.450:0.452:0.454) (0.185:0.211:0.238))
+    (IOPATH A X (0.417:0.431:0.445) (0.266:0.268:0.270))
+    (IOPATH B X (0.417:0.417:0.418) (0.200:0.201:0.201))
+    (IOPATH B X (0.430:0.430:0.430) (0.232:0.233:0.234))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4803_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.265:0.265:0.265) (0.223:0.223:0.223))
+    (IOPATH B X (0.264:0.264:0.264) (0.277:0.277:0.277))
+    (IOPATH C X (0.268:0.269:0.270) (0.233:0.251:0.269))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4804_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.128:0.133:0.138) (0.174:0.181:0.187))
+    (IOPATH A2 X (0.127:0.128:0.129) (0.185:0.185:0.185))
+    (IOPATH B1 X (0.111:0.111:0.111) (0.166:0.167:0.167))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4805_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.116:0.118:0.119) (0.087:0.088:0.088))
+    (IOPATH B Y (0.097:0.097:0.098) (0.052:0.052:0.052))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4806_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.227:0.228:0.228) (0.095:0.095:0.096))
+    (IOPATH B Y (0.203:0.208:0.214) (0.085:0.085:0.085))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4807_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.180:0.185:0.190) (0.171:0.178:0.185))
+    (IOPATH B X (0.181:0.181:0.182) (0.180:0.180:0.180))
+    (IOPATH C X (0.196:0.196:0.196) (0.200:0.201:0.202))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4808_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.152:0.153:0.153) (0.183:0.183:0.184))
+    (IOPATH A2 X (0.202:0.202:0.202) (0.214:0.215:0.216))
+    (IOPATH B1 X (0.136:0.136:0.136) (0.185:0.186:0.186))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4809_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.258:0.259:0.259) (0.225:0.225:0.226))
+    (IOPATH B X (0.255:0.260:0.265) (0.221:0.224:0.227))
+    (IOPATH C X (0.255:0.255:0.255) (0.237:0.237:0.238))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4810_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.183:0.183:0.183) (0.219:0.219:0.219))
+    (IOPATH A2 X (0.179:0.184:0.189) (0.218:0.220:0.223))
+    (IOPATH B1 X (0.147:0.147:0.147) (0.194:0.195:0.195))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _4811_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.244:0.245:0.245) (0.229:0.229:0.229))
+    (IOPATH B X (0.174:0.174:0.174) (0.190:0.190:0.191))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _4812_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.144:0.144:0.144) (0.253:0.254:0.254))
+    (IOPATH B_N X (0.187:0.187:0.187) (0.258:0.258:0.259))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _4813_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.181:0.181:0.181) (0.199:0.199:0.199))
+    (IOPATH A Y (0.309:0.309:0.310) (0.133:0.133:0.133))
+    (IOPATH B Y (0.206:0.206:0.206) (0.189:0.190:0.191))
+    (IOPATH B Y (0.280:0.281:0.282) (0.158:0.159:0.159))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4814_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.207:0.207:0.207) (0.140:0.140:0.140))
+    (IOPATH A2 Y (0.248:0.248:0.248) (0.144:0.144:0.144))
+    (IOPATH B1 Y (0.174:0.190:0.206) (0.105:0.105:0.106))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4815_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.285:0.285:0.286) (0.111:0.111:0.111))
+    (IOPATH B Y (0.283:0.287:0.291) (0.118:0.121:0.124))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _4816_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.436:0.436:0.436) (0.299:0.299:0.299))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _4817_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.272:0.272:0.272) (0.188:0.188:0.188))
+    (IOPATH A2 Y (0.297:0.297:0.297) (0.171:0.171:0.171))
+    (IOPATH B1 Y (0.267:0.267:0.267) (0.153:0.153:0.153))
+    (IOPATH B2 Y (0.266:0.266:0.266) (0.161:0.161:0.161))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+  (INSTANCE _4818_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.426:0.427:0.427) (0.151:0.152:0.152))
+    (IOPATH B Y (0.413:0.418:0.423) (0.158:0.160:0.161))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4819_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.308:0.308:0.308) (0.269:0.269:0.269))
+    (IOPATH B X (0.330:0.330:0.330) (0.297:0.297:0.297))
+    (IOPATH C X (0.323:0.323:0.323) (0.267:0.269:0.271))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4820_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.180:0.180:0.180) (0.152:0.154:0.155))
+    (IOPATH A Y (0.202:0.204:0.205) (0.140:0.140:0.140))
+    (IOPATH B Y (0.158:0.158:0.158) (0.151:0.152:0.152))
+    (IOPATH B Y (0.186:0.186:0.187) (0.102:0.102:0.102))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _4821_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.239:0.239:0.239) (0.155:0.155:0.155))
+    (IOPATH A2 Y (0.245:0.245:0.245) (0.147:0.147:0.147))
+    (IOPATH B1 Y (0.215:0.215:0.215) (0.118:0.118:0.118))
+    (IOPATH B2 Y (0.224:0.224:0.224) (0.130:0.130:0.130))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4822_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.181:0.181:0.181) (0.280:0.281:0.282))
+    (IOPATH B X (0.193:0.194:0.195) (0.277:0.281:0.286))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4823_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.125:0.137:0.150) (0.244:0.250:0.256))
+    (IOPATH B X (0.141:0.141:0.141) (0.242:0.242:0.242))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4824_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.235:0.235:0.236) (0.232:0.233:0.234))
+    (IOPATH A2 X (0.211:0.211:0.211) (0.256:0.257:0.257))
+    (IOPATH B1_N X (0.255:0.255:0.255) (0.253:0.253:0.253))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _4825_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.251:0.253:0.256) (0.111:0.132:0.154))
+    (IOPATH A X (0.233:0.246:0.259) (0.203:0.206:0.208))
+    (IOPATH B X (0.223:0.223:0.224) (0.114:0.114:0.115))
+    (IOPATH B X (0.238:0.238:0.238) (0.176:0.176:0.177))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4826_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.068:0.075:0.082) (0.062:0.072:0.082))
+    (IOPATH B Y (0.095:0.095:0.095) (0.080:0.080:0.080))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4827_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.215:0.215:0.215) (0.130:0.130:0.130))
+    (IOPATH A2 Y (0.246:0.246:0.246) (0.142:0.142:0.142))
+    (IOPATH B1 Y (0.171:0.173:0.175) (0.101:0.102:0.102))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4828_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.185:0.185:0.186) (0.098:0.098:0.098))
+    (IOPATH B Y (0.171:0.175:0.179) (0.082:0.084:0.086))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4829_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.325:0.325:0.325) (0.249:0.249:0.249))
+    (IOPATH B X (0.337:0.337:0.337) (0.290:0.290:0.290))
+    (IOPATH C X (0.319:0.319:0.319) (0.288:0.288:0.288))
+    (IOPATH D X (0.303:0.303:0.303) (0.312:0.312:0.312))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4830_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.147:0.147:0.147) (0.118:0.118:0.118))
+    (IOPATH B Y (0.151:0.151:0.151) (0.117:0.117:0.117))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4831_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.152:0.152:0.152) (0.135:0.137:0.139))
+    (IOPATH A Y (0.182:0.184:0.186) (0.106:0.106:0.106))
+    (IOPATH B Y (0.147:0.147:0.147) (0.138:0.139:0.140))
+    (IOPATH B Y (0.169:0.170:0.171) (0.089:0.089:0.090))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4832_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.172:0.176:0.179) (0.071:0.072:0.072))
+    (IOPATH B Y (0.145:0.147:0.150) (0.060:0.072:0.083))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4833_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.169:0.169:0.169) (0.180:0.182:0.184))
+    (IOPATH A2 X (0.165:0.165:0.165) (0.207:0.207:0.208))
+    (IOPATH B1 X (0.138:0.138:0.138) (0.173:0.176:0.179))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4834_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.220:0.220:0.220) (0.191:0.191:0.191))
+    (IOPATH B X (0.220:0.221:0.223) (0.204:0.207:0.211))
+    (IOPATH C X (0.232:0.233:0.233) (0.223:0.224:0.224))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4835_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.119:0.119:0.119) (0.124:0.125:0.125))
+    (IOPATH B Y (0.134:0.145:0.155) (0.162:0.164:0.165))
+    (IOPATH C Y (0.138:0.139:0.140) (0.145:0.145:0.145))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4836_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.163:0.163:0.164) (0.199:0.200:0.200))
+    (IOPATH A2 X (0.146:0.157:0.169) (0.204:0.213:0.222))
+    (IOPATH B1 X (0.131:0.131:0.132) (0.184:0.184:0.184))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _4837_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.158:0.158:0.158) (0.187:0.187:0.187))
+    (IOPATH A2 X (0.167:0.187:0.208) (0.233:0.235:0.237))
+    (IOPATH A3 X (0.171:0.171:0.171) (0.235:0.235:0.235))
+    (IOPATH B1 X (0.134:0.134:0.134) (0.204:0.204:0.205))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4838_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.184:0.186:0.188) (0.185:0.187:0.189))
+    (IOPATH B X (0.185:0.185:0.185) (0.183:0.183:0.183))
+    (IOPATH C X (0.185:0.186:0.186) (0.194:0.194:0.195))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4839_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.211:0.213:0.215) (0.094:0.096:0.098))
+    (IOPATH A2 Y (0.209:0.210:0.210) (0.092:0.092:0.092))
+    (IOPATH B1 Y (0.181:0.181:0.182) (0.057:0.058:0.059))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _4840_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.166:0.168:0.170) (0.436:0.438:0.440))
+    (IOPATH B X (0.154:0.154:0.154) (0.392:0.392:0.393))
+    (IOPATH C X (0.175:0.177:0.179) (0.353:0.357:0.361))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4841_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.116:0.119:0.121) (0.097:0.118:0.139))
+    (IOPATH B Y (0.114:0.114:0.115) (0.102:0.102:0.102))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4842_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.151:0.153:0.155) (0.173:0.175:0.178))
+    (IOPATH B X (0.149:0.149:0.149) (0.169:0.169:0.170))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or4bb_1")
+  (INSTANCE _4843_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.162:0.162:0.162) (0.532:0.532:0.533))
+    (IOPATH B X (0.136:0.137:0.138) (0.503:0.510:0.516))
+    (IOPATH C_N X (0.193:0.193:0.193) (0.503:0.503:0.503))
+    (IOPATH D_N X (0.190:0.190:0.190) (0.428:0.429:0.429))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_1")
+  (INSTANCE _4844_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.209:0.209:0.209) (0.224:0.230:0.236))
+    (IOPATH A2 X (0.147:0.148:0.148) (0.209:0.210:0.211))
+    (IOPATH B1 X (0.157:0.158:0.160) (0.150:0.153:0.155))
+    (IOPATH C1 X (0.173:0.173:0.173) (0.140:0.140:0.141))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4bb_1")
+  (INSTANCE _4845_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.323:0.332:0.341) (0.267:0.268:0.269))
+    (IOPATH B_N X (0.331:0.333:0.334) (0.247:0.247:0.248))
+    (IOPATH C X (0.268:0.268:0.268) (0.229:0.229:0.230))
+    (IOPATH D X (0.292:0.292:0.292) (0.260:0.261:0.261))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o2bb2a_1")
+  (INSTANCE _4846_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1_N X (0.283:0.283:0.284) (0.255:0.255:0.255))
+    (IOPATH A2_N X (0.250:0.250:0.251) (0.233:0.233:0.233))
+    (IOPATH B1 X (0.169:0.170:0.170) (0.304:0.306:0.307))
+    (IOPATH B2 X (0.214:0.216:0.218) (0.301:0.308:0.316))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211o_1")
+  (INSTANCE _4847_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.145:0.145:0.145) (0.287:0.287:0.287))
+    (IOPATH A2 X (0.137:0.138:0.138) (0.321:0.321:0.321))
+    (IOPATH B1 X (0.139:0.139:0.139) (0.288:0.289:0.290))
+    (IOPATH C1 X (0.117:0.117:0.117) (0.241:0.243:0.246))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211ai_1")
+  (INSTANCE _4848_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.180:0.188:0.195) (0.145:0.147:0.149))
+    (IOPATH A2 Y (0.172:0.173:0.175) (0.102:0.102:0.102))
+    (IOPATH B1 Y (0.123:0.127:0.130) (0.106:0.108:0.109))
+    (IOPATH C1 Y (0.089:0.090:0.090) (0.097:0.097:0.098))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _4849_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.256:0.258:0.260) (0.256:0.262:0.267))
+    (IOPATH A2 X (0.215:0.215:0.216) (0.237:0.237:0.238))
+    (IOPATH B1 X (0.231:0.241:0.251) (0.171:0.178:0.184))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4850_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.234:0.235:0.236) (0.205:0.206:0.206))
+    (IOPATH A Y (0.370:0.370:0.371) (0.161:0.162:0.163))
+    (IOPATH B Y (0.233:0.245:0.257) (0.206:0.212:0.218))
+    (IOPATH B Y (0.354:0.359:0.365) (0.150:0.168:0.186))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _4851_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.276:0.276:0.276) (0.277:0.277:0.277))
+    (IOPATH A2 X (0.276:0.276:0.276) (0.328:0.328:0.328))
+    (IOPATH A3 X (0.216:0.216:0.216) (0.261:0.261:0.261))
+    (IOPATH B1 X (0.165:0.165:0.166) (0.225:0.226:0.227))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _4852_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.287:0.292:0.297) (0.165:0.167:0.168))
+    (IOPATH A X (0.305:0.305:0.306) (0.203:0.208:0.213))
+    (IOPATH B X (0.271:0.277:0.284) (0.125:0.145:0.164))
+    (IOPATH B X (0.285:0.294:0.302) (0.187:0.194:0.200))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _4853_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.224:0.228:0.232) (0.148:0.149:0.151))
+    (IOPATH A2 Y (0.210:0.211:0.213) (0.104:0.104:0.104))
+    (IOPATH B1_N Y (0.217:0.219:0.220) (0.194:0.202:0.209))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _4854_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.228:0.238:0.248) (0.143:0.144:0.145))
+    (IOPATH A X (0.246:0.247:0.248) (0.187:0.197:0.207))
+    (IOPATH B X (0.209:0.214:0.218) (0.104:0.117:0.129))
+    (IOPATH B X (0.225:0.231:0.238) (0.169:0.174:0.179))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4855_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.172:0.173:0.174) (0.143:0.154:0.165))
+    (IOPATH B X (0.136:0.146:0.156) (0.162:0.167:0.173))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4856_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.226:0.226:0.227) (0.117:0.118:0.118))
+    (IOPATH A2 Y (0.235:0.243:0.251) (0.135:0.136:0.138))
+    (IOPATH B1 Y (0.202:0.202:0.202) (0.058:0.059:0.060))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4857_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.195:0.207:0.220) (0.194:0.197:0.199))
+    (IOPATH A Y (0.285:0.287:0.289) (0.142:0.162:0.182))
+    (IOPATH B Y (0.198:0.199:0.201) (0.156:0.165:0.175))
+    (IOPATH B Y (0.235:0.243:0.252) (0.136:0.139:0.141))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _4858_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.240:0.240:0.241) (0.114:0.115:0.115))
+    (IOPATH A X (0.235:0.236:0.236) (0.192:0.193:0.193))
+    (IOPATH B X (0.213:0.221:0.228) (0.129:0.130:0.132))
+    (IOPATH B X (0.246:0.246:0.247) (0.165:0.173:0.181))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21boi_1")
+  (INSTANCE _4859_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.197:0.197:0.197) (0.110:0.110:0.110))
+    (IOPATH A2 Y (0.215:0.216:0.216) (0.096:0.097:0.097))
+    (IOPATH B1_N Y (0.239:0.250:0.260) (0.174:0.176:0.179))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _4860_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.272:0.279:0.287) (0.145:0.147:0.148))
+    (IOPATH A X (0.286:0.287:0.288) (0.194:0.202:0.209))
+    (IOPATH B X (0.251:0.256:0.261) (0.135:0.138:0.141))
+    (IOPATH B X (0.282:0.283:0.284) (0.173:0.178:0.183))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4861_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.165:0.179:0.193) (0.274:0.282:0.290))
+    (IOPATH B X (0.191:0.192:0.193) (0.251:0.259:0.266))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4862_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.160:0.161:0.161) (0.091:0.091:0.091))
+    (IOPATH B Y (0.137:0.137:0.137) (0.065:0.065:0.066))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4863_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.140:0.155:0.170) (0.258:0.260:0.263))
+    (IOPATH B X (0.139:0.140:0.142) (0.210:0.218:0.226))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _4864_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.150:0.152:0.154) (0.242:0.247:0.252))
+    (IOPATH B_N X (0.179:0.187:0.196) (0.273:0.274:0.275))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4865_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.157:0.174:0.191) (0.170:0.173:0.175))
+    (IOPATH B X (0.159:0.161:0.163) (0.153:0.163:0.173))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4866_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.255:0.256:0.256) (0.102:0.103:0.103))
+    (IOPATH A2 Y (0.279:0.279:0.279) (0.114:0.114:0.114))
+    (IOPATH B1 Y (0.243:0.243:0.244) (0.069:0.069:0.070))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _4867_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.258:0.258:0.259) (0.117:0.118:0.118))
+    (IOPATH A X (0.254:0.254:0.254) (0.195:0.195:0.196))
+    (IOPATH B X (0.236:0.247:0.259) (0.143:0.146:0.148))
+    (IOPATH B X (0.269:0.270:0.272) (0.173:0.185:0.197))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _4868_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.183:0.187:0.190) (0.112:0.112:0.112))
+    (IOPATH A2 Y (0.177:0.184:0.191) (0.127:0.129:0.130))
+    (IOPATH B1 Y (0.119:0.129:0.139) (0.139:0.140:0.142))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21boi_1")
+  (INSTANCE _4869_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.172:0.172:0.172) (0.093:0.094:0.094))
+    (IOPATH A2 Y (0.188:0.199:0.210) (0.118:0.120:0.122))
+    (IOPATH B1_N Y (0.215:0.219:0.224) (0.149:0.156:0.162))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _4870_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.182:0.187:0.193) (0.092:0.105:0.119))
+    (IOPATH A X (0.171:0.182:0.193) (0.180:0.185:0.190))
+    (IOPATH B X (0.143:0.149:0.154) (0.090:0.091:0.093))
+    (IOPATH B X (0.174:0.175:0.176) (0.142:0.148:0.153))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_4")
+  (INSTANCE _4871_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.537:0.537:0.537) (0.204:0.204:0.204))
+    (IOPATH B Y (0.493:0.493:0.493) (0.202:0.202:0.202))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _4872_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.427:0.427:0.427) (0.290:0.291:0.291))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a221o_1")
+  (INSTANCE _4873_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.250:0.250:0.250) (0.377:0.377:0.377))
+    (IOPATH A2 X (0.244:0.267:0.290) (0.430:0.433:0.435))
+    (IOPATH B1 X (0.196:0.198:0.201) (0.326:0.332:0.338))
+    (IOPATH B2 X (0.214:0.214:0.214) (0.387:0.387:0.387))
+    (IOPATH C1 X (0.208:0.208:0.208) (0.331:0.331:0.331))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_6")
+  (INSTANCE _4874_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.375:0.375:0.375) (0.287:0.287:0.287))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_6")
+  (INSTANCE _4875_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.383:0.383:0.383) (0.277:0.277:0.277))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4876_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.255:0.255:0.255) (0.246:0.246:0.246))
+    (IOPATH B X (0.248:0.248:0.248) (0.254:0.254:0.254))
+    (IOPATH C X (0.222:0.222:0.222) (0.223:0.223:0.223))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4877_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.216:0.216:0.216) (0.271:0.271:0.271))
+    (IOPATH A2 X (0.190:0.190:0.190) (0.258:0.258:0.258))
+    (IOPATH B1 X (0.176:0.176:0.176) (0.207:0.207:0.207))
+    (IOPATH B2 X (0.203:0.203:0.203) (0.279:0.279:0.279))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4878_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.173:0.173:0.173) (0.230:0.230:0.230))
+    (IOPATH A2 X (0.134:0.135:0.135) (0.203:0.204:0.204))
+    (IOPATH B1_N X (0.190:0.191:0.191) (0.211:0.212:0.213))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4879_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.172:0.172:0.172) (0.135:0.135:0.135))
+    (IOPATH B Y (0.164:0.164:0.164) (0.128:0.128:0.128))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4880_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.174:0.174:0.174) (0.163:0.163:0.163))
+    (IOPATH A Y (0.270:0.270:0.271) (0.112:0.112:0.113))
+    (IOPATH B Y (0.184:0.185:0.187) (0.181:0.188:0.194))
+    (IOPATH B Y (0.271:0.276:0.282) (0.114:0.115:0.117))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4881_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.246:0.246:0.246) (0.190:0.190:0.190))
+    (IOPATH B X (0.257:0.257:0.257) (0.219:0.219:0.219))
+    (IOPATH C X (0.268:0.268:0.268) (0.271:0.271:0.271))
+    (IOPATH D X (0.283:0.283:0.283) (0.288:0.288:0.288))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _4882_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.240:0.240:0.240) (0.152:0.152:0.152))
+    (IOPATH A2 Y (0.258:0.258:0.258) (0.151:0.151:0.151))
+    (IOPATH B1 Y (0.224:0.224:0.224) (0.141:0.141:0.141))
+    (IOPATH B2 Y (0.232:0.232:0.232) (0.138:0.138:0.138))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4bb_1")
+  (INSTANCE _4883_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.261:0.263:0.264) (0.199:0.199:0.199))
+    (IOPATH B_N X (0.297:0.306:0.315) (0.245:0.246:0.247))
+    (IOPATH C X (0.257:0.257:0.257) (0.270:0.270:0.270))
+    (IOPATH D X (0.258:0.258:0.258) (0.288:0.288:0.288))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4884_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.196:0.196:0.196) (0.289:0.290:0.291))
+    (IOPATH B X (0.189:0.189:0.190) (0.269:0.269:0.270))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _4885_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.537:0.552:0.567) (0.495:0.497:0.499))
+    (IOPATH B_N X (0.597:0.597:0.597) (0.506:0.506:0.506))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _4886_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.169:0.169:0.169) (0.188:0.188:0.188))
+    (IOPATH A Y (0.256:0.256:0.256) (0.123:0.123:0.123))
+    (IOPATH B Y (0.160:0.172:0.184) (0.174:0.176:0.178))
+    (IOPATH B Y (0.223:0.225:0.227) (0.107:0.121:0.134))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4887_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.342:0.342:0.342) (0.244:0.244:0.244))
+    (IOPATH B X (0.353:0.353:0.353) (0.311:0.311:0.311))
+    (IOPATH C X (0.339:0.339:0.339) (0.308:0.308:0.308))
+    (IOPATH D X (0.348:0.348:0.348) (0.347:0.347:0.347))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4888_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.526:0.530:0.534) (0.433:0.458:0.483))
+    (IOPATH B Y (0.537:0.538:0.539) (0.467:0.467:0.467))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _4889_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.305:0.305:0.305) (0.194:0.194:0.194))
+    (IOPATH A2 Y (0.254:0.254:0.254) (0.136:0.136:0.136))
+    (IOPATH B1 Y (0.263:0.263:0.263) (0.153:0.153:0.153))
+    (IOPATH B2 Y (0.314:0.314:0.314) (0.170:0.170:0.170))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4890_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.270:0.270:0.270) (0.254:0.254:0.254))
+    (IOPATH B X (0.225:0.225:0.225) (0.198:0.198:0.198))
+    (IOPATH C X (0.257:0.257:0.257) (0.274:0.274:0.274))
+    (IOPATH D X (0.258:0.258:0.258) (0.294:0.294:0.294))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4891_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.146:0.154:0.163) (0.092:0.093:0.095))
+    (IOPATH B Y (0.113:0.114:0.115) (0.053:0.053:0.054))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4892_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.163:0.163:0.163) (0.164:0.164:0.164))
+    (IOPATH B Y (0.124:0.124:0.124) (0.121:0.121:0.121))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4893_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.148:0.149:0.149) (0.129:0.137:0.144))
+    (IOPATH A Y (0.184:0.191:0.198) (0.099:0.100:0.100))
+    (IOPATH B Y (0.143:0.144:0.146) (0.149:0.160:0.172))
+    (IOPATH B Y (0.186:0.197:0.208) (0.085:0.086:0.088))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _4894_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.063:0.065:0.067) (0.045:0.053:0.061))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4895_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.184:0.184:0.184) (0.163:0.163:0.163))
+    (IOPATH B X (0.258:0.258:0.258) (0.282:0.282:0.282))
+    (IOPATH C X (0.247:0.247:0.247) (0.313:0.313:0.313))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4896_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.234:0.234:0.234) (0.284:0.284:0.284))
+    (IOPATH A2 X (0.266:0.266:0.266) (0.341:0.341:0.341))
+    (IOPATH B1 X (0.248:0.248:0.248) (0.303:0.303:0.303))
+    (IOPATH B2 X (0.178:0.178:0.178) (0.228:0.228:0.228))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4897_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.192:0.192:0.192) (0.274:0.274:0.274))
+    (IOPATH A2 X (0.122:0.124:0.125) (0.194:0.196:0.197))
+    (IOPATH B1_N X (0.192:0.192:0.192) (0.213:0.214:0.216))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4898_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.092:0.092:0.092) (0.092:0.092:0.092))
+    (IOPATH B Y (0.180:0.180:0.180) (0.127:0.127:0.127))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _4899_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.229:0.229:0.229) (0.093:0.093:0.094))
+    (IOPATH A X (0.219:0.219:0.220) (0.180:0.180:0.180))
+    (IOPATH B X (0.220:0.225:0.230) (0.089:0.097:0.105))
+    (IOPATH B X (0.225:0.230:0.235) (0.171:0.177:0.182))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4900_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.192:0.192:0.192) (0.236:0.236:0.236))
+    (IOPATH A2 X (0.265:0.265:0.265) (0.341:0.341:0.341))
+    (IOPATH B1 X (0.247:0.247:0.247) (0.303:0.303:0.303))
+    (IOPATH B2 X (0.185:0.185:0.185) (0.233:0.233:0.233))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4901_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.229:0.229:0.229) (0.179:0.179:0.179))
+    (IOPATH B X (0.245:0.245:0.245) (0.211:0.211:0.211))
+    (IOPATH C X (0.262:0.262:0.262) (0.279:0.279:0.279))
+    (IOPATH D X (0.259:0.259:0.259) (0.291:0.291:0.291))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _4902_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.251:0.251:0.251) (0.305:0.305:0.305))
+    (IOPATH A2 X (0.237:0.237:0.237) (0.297:0.297:0.297))
+    (IOPATH A3 X (0.180:0.182:0.183) (0.239:0.240:0.240))
+    (IOPATH B1 X (0.137:0.137:0.137) (0.206:0.207:0.208))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4903_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.184:0.185:0.186) (0.153:0.158:0.163))
+    (IOPATH A Y (0.231:0.235:0.240) (0.135:0.136:0.138))
+    (IOPATH B Y (0.159:0.160:0.161) (0.151:0.151:0.151))
+    (IOPATH B Y (0.213:0.213:0.214) (0.095:0.096:0.097))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4904_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.123:0.123:0.123) (0.122:0.126:0.130))
+    (IOPATH A Y (0.171:0.174:0.178) (0.074:0.074:0.074))
+    (IOPATH B Y (0.139:0.150:0.161) (0.137:0.142:0.146))
+    (IOPATH B Y (0.168:0.172:0.176) (0.081:0.093:0.105))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4905_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.351:0.351:0.351) (0.227:0.228:0.228))
+    (IOPATH A2 Y (0.433:0.433:0.433) (0.237:0.237:0.237))
+    (IOPATH B1 Y (0.233:0.235:0.238) (0.072:0.086:0.099))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _4906_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.288:0.288:0.288) (0.204:0.204:0.204))
+    (IOPATH A2 Y (0.261:0.261:0.261) (0.145:0.145:0.145))
+    (IOPATH B1 Y (0.216:0.216:0.216) (0.120:0.120:0.120))
+    (IOPATH B2 Y (0.285:0.285:0.285) (0.177:0.177:0.177))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4907_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.272:0.272:0.272) (0.255:0.255:0.255))
+    (IOPATH B X (0.234:0.234:0.234) (0.203:0.203:0.203))
+    (IOPATH C X (0.228:0.228:0.228) (0.214:0.214:0.214))
+    (IOPATH D X (0.272:0.272:0.272) (0.325:0.325:0.325))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4908_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.148:0.158:0.167) (0.092:0.094:0.095))
+    (IOPATH B Y (0.115:0.115:0.116) (0.054:0.054:0.055))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4909_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.159:0.159:0.159) (0.158:0.158:0.158))
+    (IOPATH B Y (0.173:0.173:0.173) (0.159:0.159:0.159))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4910_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.174:0.175:0.175) (0.147:0.154:0.162))
+    (IOPATH A Y (0.235:0.241:0.248) (0.118:0.118:0.119))
+    (IOPATH B Y (0.170:0.171:0.172) (0.182:0.186:0.189))
+    (IOPATH B Y (0.251:0.254:0.258) (0.105:0.106:0.106))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _4911_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.202:0.203:0.204) (0.192:0.192:0.192))
+    (IOPATH B X (0.141:0.142:0.144) (0.167:0.167:0.168))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4912_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.172:0.172:0.172) (0.131:0.131:0.131))
+    (IOPATH B Y (0.150:0.150:0.150) (0.119:0.119:0.119))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4913_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.160:0.160:0.160) (0.152:0.152:0.152))
+    (IOPATH A Y (0.240:0.240:0.240) (0.103:0.103:0.103))
+    (IOPATH B Y (0.166:0.169:0.172) (0.166:0.175:0.183))
+    (IOPATH B Y (0.237:0.244:0.252) (0.101:0.103:0.106))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4914_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.255:0.255:0.255) (0.196:0.196:0.196))
+    (IOPATH B X (0.334:0.334:0.334) (0.314:0.314:0.314))
+    (IOPATH C X (0.279:0.279:0.279) (0.291:0.291:0.291))
+    (IOPATH D X (0.257:0.257:0.257) (0.245:0.245:0.245))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _4915_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.214:0.214:0.214) (0.140:0.140:0.140))
+    (IOPATH A2 Y (0.297:0.297:0.297) (0.204:0.204:0.204))
+    (IOPATH B1 Y (0.254:0.254:0.254) (0.139:0.139:0.139))
+    (IOPATH B2 Y (0.199:0.199:0.199) (0.113:0.113:0.113))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4bb_1")
+  (INSTANCE _4916_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.275:0.277:0.278) (0.207:0.207:0.208))
+    (IOPATH B_N X (0.306:0.319:0.331) (0.252:0.253:0.255))
+    (IOPATH C X (0.244:0.244:0.244) (0.223:0.223:0.223))
+    (IOPATH D X (0.311:0.311:0.311) (0.344:0.344:0.344))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4917_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.174:0.175:0.176) (0.078:0.079:0.080))
+    (IOPATH B Y (0.159:0.160:0.161) (0.072:0.073:0.074))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4918_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.165:0.176:0.188) (0.160:0.162:0.165))
+    (IOPATH A Y (0.236:0.238:0.240) (0.111:0.126:0.141))
+    (IOPATH B Y (0.178:0.178:0.178) (0.147:0.148:0.148))
+    (IOPATH B Y (0.208:0.209:0.209) (0.117:0.117:0.118))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _4919_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.183:0.184:0.185) (0.198:0.198:0.198))
+    (IOPATH B X (0.131:0.143:0.156) (0.161:0.163:0.166))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4920_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.244:0.246:0.248) (0.118:0.136:0.154))
+    (IOPATH A2 Y (0.260:0.265:0.269) (0.117:0.133:0.149))
+    (IOPATH B1 Y (0.222:0.222:0.222) (0.061:0.061:0.062))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4921_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.256:0.256:0.256) (0.262:0.262:0.262))
+    (IOPATH B X (0.259:0.259:0.259) (0.368:0.368:0.368))
+    (IOPATH C X (0.155:0.164:0.173) (0.174:0.178:0.181))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4922_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.164:0.188:0.211) (0.113:0.117:0.120))
+    (IOPATH B Y (0.141:0.142:0.143) (0.054:0.056:0.058))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _4923_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.209:0.222:0.235) (0.226:0.227:0.229))
+    (IOPATH B X (0.163:0.164:0.164) (0.162:0.173:0.183))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _4924_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.174:0.176:0.178) (0.202:0.211:0.219))
+    (IOPATH A2 X (0.133:0.134:0.135) (0.186:0.197:0.208))
+    (IOPATH B1_N X (0.214:0.215:0.216) (0.152:0.152:0.153))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _4925_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.192:0.195:0.198) (0.196:0.220:0.243))
+    (IOPATH A2 X (0.136:0.136:0.136) (0.176:0.176:0.176))
+    (IOPATH B1_N X (0.222:0.223:0.224) (0.151:0.152:0.152))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3b_1")
+  (INSTANCE _4926_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.154:0.158:0.162) (0.367:0.388:0.409))
+    (IOPATH B X (0.101:0.101:0.101) (0.338:0.339:0.339))
+    (IOPATH C_N X (0.149:0.150:0.151) (0.336:0.336:0.336))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _4927_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.221:0.222:0.223) (0.202:0.202:0.203))
+    (IOPATH B X (0.151:0.151:0.152) (0.185:0.185:0.185))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _4928_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.236:0.236:0.236) (0.242:0.242:0.242))
+    (IOPATH A2 X (0.245:0.245:0.245) (0.307:0.307:0.307))
+    (IOPATH A3 X (0.189:0.189:0.189) (0.245:0.245:0.245))
+    (IOPATH B1 X (0.137:0.138:0.138) (0.208:0.209:0.210))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_1")
+  (INSTANCE _4929_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.129:0.129:0.129) (0.162:0.162:0.162))
+    (IOPATH B Y (0.146:0.146:0.146) (0.169:0.169:0.169))
+    (IOPATH C Y (0.207:0.207:0.207) (0.217:0.217:0.217))
+    (IOPATH D Y (0.180:0.180:0.180) (0.199:0.199:0.199))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4930_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.221:0.221:0.221) (0.258:0.258:0.258))
+    (IOPATH A2 X (0.210:0.210:0.210) (0.285:0.285:0.285))
+    (IOPATH B1 X (0.239:0.239:0.239) (0.257:0.257:0.257))
+    (IOPATH B2 X (0.206:0.206:0.206) (0.248:0.248:0.248))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4931_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.191:0.191:0.191) (0.186:0.186:0.186))
+    (IOPATH B X (0.222:0.222:0.222) (0.251:0.251:0.251))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4932_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.133:0.139:0.145) (0.109:0.113:0.117))
+    (IOPATH B Y (0.118:0.119:0.119) (0.116:0.116:0.117))
+    (IOPATH C Y (0.111:0.112:0.112) (0.116:0.116:0.117))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4933_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.148:0.152:0.156) (0.208:0.213:0.219))
+    (IOPATH A2 X (0.152:0.153:0.153) (0.203:0.203:0.203))
+    (IOPATH B1 X (0.122:0.122:0.122) (0.174:0.175:0.175))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _4934_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.223:0.223:0.223) (0.128:0.128:0.128))
+    (IOPATH A2 Y (0.252:0.252:0.252) (0.120:0.120:0.120))
+    (IOPATH B1 Y (0.216:0.216:0.216) (0.125:0.125:0.125))
+    (IOPATH B2 Y (0.216:0.216:0.216) (0.095:0.095:0.095))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4935_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.163:0.163:0.163) (0.146:0.146:0.146))
+    (IOPATH B Y (0.126:0.126:0.126) (0.123:0.123:0.123))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4936_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.258:0.258:0.258) (0.196:0.196:0.196))
+    (IOPATH B X (0.253:0.253:0.253) (0.224:0.224:0.224))
+    (IOPATH C X (0.258:0.258:0.258) (0.242:0.242:0.242))
+    (IOPATH D X (0.258:0.258:0.258) (0.250:0.250:0.250))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _4937_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.184:0.193:0.203) (0.115:0.117:0.119))
+    (IOPATH A2 Y (0.174:0.180:0.186) (0.084:0.086:0.087))
+    (IOPATH B1_N Y (0.141:0.141:0.141) (0.169:0.170:0.171))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4938_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.157:0.158:0.160) (0.149:0.151:0.152))
+    (IOPATH B Y (0.157:0.157:0.157) (0.151:0.151:0.152))
+    (IOPATH C Y (0.152:0.156:0.161) (0.157:0.166:0.174))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4939_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.148:0.149:0.150) (0.192:0.193:0.194))
+    (IOPATH A2 X (0.146:0.146:0.146) (0.198:0.198:0.198))
+    (IOPATH B1 X (0.120:0.128:0.135) (0.175:0.179:0.183))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _4940_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.283:0.283:0.283) (0.174:0.174:0.174))
+    (IOPATH A2 Y (0.267:0.267:0.267) (0.137:0.137:0.137))
+    (IOPATH B1 Y (0.261:0.261:0.261) (0.168:0.168:0.168))
+    (IOPATH B2 Y (0.269:0.269:0.269) (0.144:0.144:0.144))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4941_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.273:0.273:0.273) (0.206:0.206:0.206))
+    (IOPATH B X (0.294:0.294:0.294) (0.268:0.268:0.268))
+    (IOPATH C X (0.265:0.265:0.265) (0.247:0.247:0.247))
+    (IOPATH D X (0.283:0.283:0.283) (0.285:0.285:0.285))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4942_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.147:0.157:0.167) (0.093:0.094:0.095))
+    (IOPATH B Y (0.121:0.122:0.123) (0.065:0.065:0.066))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4943_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.167:0.167:0.167) (0.151:0.151:0.151))
+    (IOPATH B Y (0.164:0.164:0.164) (0.159:0.159:0.159))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4944_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.155:0.155:0.156) (0.137:0.142:0.148))
+    (IOPATH A Y (0.200:0.205:0.210) (0.103:0.104:0.104))
+    (IOPATH B Y (0.153:0.153:0.153) (0.164:0.166:0.167))
+    (IOPATH B Y (0.209:0.211:0.212) (0.092:0.093:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4945_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.178:0.179:0.180) (0.162:0.164:0.166))
+    (IOPATH B Y (0.153:0.154:0.154) (0.147:0.147:0.147))
+    (IOPATH C Y (0.149:0.151:0.153) (0.151:0.163:0.175))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4946_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.270:0.270:0.270) (0.213:0.213:0.213))
+    (IOPATH B X (0.296:0.296:0.296) (0.237:0.237:0.237))
+    (IOPATH C X (0.286:0.286:0.286) (0.256:0.256:0.256))
+    (IOPATH D X (0.318:0.318:0.318) (0.317:0.317:0.317))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4947_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.201:0.201:0.201) (0.258:0.258:0.258))
+    (IOPATH A2 X (0.252:0.252:0.252) (0.307:0.307:0.307))
+    (IOPATH B1 X (0.229:0.229:0.229) (0.270:0.270:0.270))
+    (IOPATH B2 X (0.204:0.204:0.204) (0.246:0.246:0.246))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _4948_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.221:0.222:0.223) (0.213:0.213:0.213))
+    (IOPATH B X (0.155:0.155:0.155) (0.178:0.178:0.179))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21boi_1")
+  (INSTANCE _4949_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.260:0.261:0.261) (0.120:0.120:0.121))
+    (IOPATH A2 Y (0.277:0.278:0.278) (0.122:0.122:0.122))
+    (IOPATH B1_N Y (0.300:0.304:0.308) (0.199:0.205:0.211))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4950_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.139:0.139:0.139) (0.138:0.138:0.138))
+    (IOPATH A Y (0.195:0.195:0.195) (0.089:0.089:0.089))
+    (IOPATH B Y (0.178:0.180:0.182) (0.145:0.151:0.157))
+    (IOPATH B Y (0.186:0.191:0.197) (0.125:0.127:0.129))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4951_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.182:0.182:0.182) (0.242:0.242:0.242))
+    (IOPATH A2 X (0.216:0.216:0.216) (0.298:0.298:0.298))
+    (IOPATH B1 X (0.175:0.175:0.175) (0.217:0.217:0.217))
+    (IOPATH B2 X (0.220:0.220:0.220) (0.273:0.273:0.273))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_1")
+  (INSTANCE _4952_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.108:0.108:0.108) (0.119:0.119:0.119))
+    (IOPATH B Y (0.162:0.162:0.162) (0.158:0.158:0.158))
+    (IOPATH C Y (0.135:0.135:0.135) (0.133:0.133:0.133))
+    (IOPATH D Y (0.171:0.171:0.171) (0.171:0.171:0.171))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4953_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.189:0.189:0.189) (0.195:0.195:0.195))
+    (IOPATH B X (0.177:0.177:0.177) (0.191:0.191:0.191))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4954_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.122:0.122:0.123) (0.063:0.064:0.064))
+    (IOPATH A2 Y (0.157:0.161:0.165) (0.067:0.071:0.075))
+    (IOPATH B1 Y (0.110:0.110:0.110) (0.042:0.043:0.043))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4955_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.221:0.222:0.223) (0.186:0.186:0.187))
+    (IOPATH B X (0.227:0.231:0.235) (0.226:0.230:0.235))
+    (IOPATH C X (0.229:0.229:0.229) (0.217:0.217:0.217))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4956_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.145:0.147:0.150) (0.069:0.072:0.075))
+    (IOPATH B Y (0.146:0.147:0.147) (0.079:0.079:0.079))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4957_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.093:0.098:0.103) (0.082:0.096:0.109))
+    (IOPATH B Y (0.095:0.097:0.099) (0.103:0.103:0.103))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4958_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.104:0.117:0.130) (0.224:0.229:0.233))
+    (IOPATH B X (0.120:0.120:0.120) (0.202:0.203:0.205))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4959_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.156:0.160:0.164) (0.129:0.130:0.130))
+    (IOPATH B Y (0.155:0.155:0.155) (0.118:0.119:0.119))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4960_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.273:0.273:0.274) (0.129:0.131:0.133))
+    (IOPATH A2 Y (0.288:0.289:0.291) (0.130:0.131:0.133))
+    (IOPATH B1 Y (0.255:0.255:0.255) (0.100:0.101:0.101))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4961_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.140:0.140:0.141) (0.108:0.110:0.112))
+    (IOPATH B Y (0.144:0.146:0.147) (0.108:0.109:0.110))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+  (INSTANCE _4962_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.265:0.265:0.265) (0.125:0.126:0.126))
+    (IOPATH A X (0.232:0.232:0.232) (0.224:0.224:0.225))
+    (IOPATH B X (0.219:0.220:0.222) (0.102:0.103:0.103))
+    (IOPATH B X (0.227:0.227:0.227) (0.177:0.179:0.181))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a32o_1")
+  (INSTANCE _4963_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.254:0.254:0.254) (0.329:0.329:0.329))
+    (IOPATH A2 X (0.245:0.245:0.245) (0.333:0.333:0.333))
+    (IOPATH A3 X (0.178:0.179:0.179) (0.263:0.263:0.264))
+    (IOPATH B1 X (0.145:0.145:0.146) (0.212:0.213:0.213))
+    (IOPATH B2 X (0.207:0.207:0.207) (0.278:0.278:0.278))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _4964_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.214:0.215:0.216) (0.228:0.239:0.249))
+    (IOPATH A2 X (0.174:0.174:0.175) (0.223:0.224:0.225))
+    (IOPATH B1_N X (0.262:0.263:0.264) (0.190:0.190:0.191))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4965_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.302:0.302:0.302) (0.260:0.260:0.260))
+    (IOPATH B X (0.303:0.303:0.303) (0.271:0.271:0.271))
+    (IOPATH C X (0.270:0.270:0.270) (0.240:0.240:0.240))
+    (IOPATH D X (0.277:0.277:0.277) (0.256:0.256:0.256))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _4966_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.225:0.225:0.225) (0.146:0.146:0.146))
+    (IOPATH A2 Y (0.204:0.204:0.204) (0.121:0.121:0.121))
+    (IOPATH B1 Y (0.194:0.194:0.194) (0.122:0.122:0.122))
+    (IOPATH B2 Y (0.232:0.232:0.232) (0.122:0.122:0.122))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4967_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.137:0.137:0.137) (0.244:0.245:0.246))
+    (IOPATH B X (0.140:0.142:0.143) (0.229:0.238:0.246))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4968_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.461:0.461:0.462) (0.447:0.447:0.448))
+    (IOPATH B X (0.448:0.448:0.448) (0.428:0.428:0.428))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4969_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.063:0.063:0.064) (0.062:0.062:0.062))
+    (IOPATH B Y (0.070:0.070:0.070) (0.055:0.055:0.055))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4970_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.221:0.221:0.221) (0.247:0.247:0.247))
+    (IOPATH B X (0.129:0.130:0.131) (0.154:0.156:0.158))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4971_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.173:0.174:0.174) (0.148:0.149:0.150))
+    (IOPATH B Y (0.177:0.178:0.178) (0.150:0.152:0.153))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4972_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.094:0.096:0.097) (0.216:0.216:0.216))
+    (IOPATH B X (0.091:0.092:0.093) (0.195:0.195:0.196))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4973_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.224:0.224:0.225) (0.223:0.223:0.223))
+    (IOPATH B X (0.180:0.180:0.180) (0.191:0.191:0.191))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _4974_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.217:0.224:0.230) (0.224:0.225:0.227))
+    (IOPATH B X (0.166:0.166:0.166) (0.182:0.182:0.182))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4975_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.191:0.191:0.191) (0.236:0.236:0.236))
+    (IOPATH A2 X (0.213:0.213:0.213) (0.295:0.295:0.295))
+    (IOPATH B1 X (0.216:0.216:0.216) (0.250:0.250:0.250))
+    (IOPATH B2 X (0.167:0.167:0.167) (0.230:0.230:0.230))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4976_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.266:0.266:0.266) (0.199:0.199:0.199))
+    (IOPATH B X (0.261:0.261:0.261) (0.228:0.228:0.228))
+    (IOPATH C X (0.294:0.294:0.294) (0.285:0.285:0.285))
+    (IOPATH D X (0.309:0.309:0.309) (0.303:0.303:0.303))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _4977_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.053:0.054:0.054) (0.042:0.042:0.042))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _4978_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.214:0.214:0.214) (0.151:0.151:0.151))
+    (IOPATH A2 Y (0.208:0.208:0.208) (0.124:0.124:0.124))
+    (IOPATH B1 Y (0.148:0.148:0.149) (0.068:0.069:0.070))
+    (IOPATH B2 Y (0.157:0.157:0.157) (0.059:0.059:0.059))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4b_1")
+  (INSTANCE _4979_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.264:0.265:0.267) (0.217:0.217:0.218))
+    (IOPATH B X (0.238:0.238:0.238) (0.205:0.205:0.205))
+    (IOPATH C X (0.260:0.260:0.260) (0.270:0.270:0.270))
+    (IOPATH D X (0.216:0.217:0.217) (0.210:0.210:0.211))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4980_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.228:0.237:0.245) (0.108:0.110:0.112))
+    (IOPATH B Y (0.209:0.210:0.211) (0.080:0.080:0.081))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4981_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.074:0.074:0.074) (0.070:0.070:0.070))
+    (IOPATH B Y (0.107:0.107:0.107) (0.090:0.090:0.090))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4982_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.246:0.246:0.246) (0.200:0.200:0.200))
+    (IOPATH B X (0.274:0.274:0.274) (0.271:0.271:0.271))
+    (IOPATH C X (0.213:0.215:0.217) (0.219:0.221:0.224))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _4983_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.193:0.193:0.193) (0.177:0.181:0.184))
+    (IOPATH A Y (0.261:0.264:0.267) (0.150:0.150:0.150))
+    (IOPATH B Y (0.168:0.168:0.168) (0.174:0.175:0.175))
+    (IOPATH B Y (0.238:0.238:0.239) (0.114:0.115:0.115))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _4984_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.060:0.060:0.060) (0.044:0.044:0.044))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o31ai_2")
+  (INSTANCE _4985_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.368:0.369:0.370) (0.125:0.125:0.125))
+    (IOPATH A2 Y (0.329:0.329:0.329) (0.082:0.082:0.082))
+    (IOPATH A3 Y (0.307:0.311:0.316) (0.128:0.130:0.132))
+    (IOPATH B1 Y (0.102:0.106:0.110) (0.098:0.099:0.100))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+  (INSTANCE _4986_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.246:0.250:0.254) (0.106:0.126:0.145))
+    (IOPATH A X (0.215:0.229:0.242) (0.208:0.212:0.216))
+    (IOPATH B X (0.212:0.215:0.219) (0.102:0.130:0.158))
+    (IOPATH B X (0.224:0.236:0.248) (0.172:0.176:0.180))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4987_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.234:0.234:0.234) (0.191:0.191:0.191))
+    (IOPATH B X (0.295:0.295:0.295) (0.252:0.252:0.252))
+    (IOPATH C X (0.256:0.256:0.256) (0.231:0.231:0.231))
+    (IOPATH D X (0.279:0.279:0.279) (0.303:0.303:0.303))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4988_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.362:0.362:0.362) (0.291:0.291:0.291))
+    (IOPATH B X (0.362:0.362:0.362) (0.302:0.302:0.302))
+    (IOPATH C X (0.326:0.326:0.326) (0.269:0.269:0.269))
+    (IOPATH D X (0.339:0.339:0.339) (0.291:0.291:0.291))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _4989_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.206:0.206:0.206) (0.135:0.135:0.135))
+    (IOPATH A2 Y (0.189:0.189:0.189) (0.116:0.116:0.116))
+    (IOPATH B1 Y (0.175:0.175:0.175) (0.099:0.099:0.099))
+    (IOPATH B2 Y (0.214:0.214:0.214) (0.109:0.109:0.109))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4990_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.146:0.147:0.147) (0.252:0.253:0.254))
+    (IOPATH B X (0.130:0.131:0.133) (0.223:0.227:0.232))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_2")
+  (INSTANCE _4991_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.540:0.541:0.542) (0.241:0.241:0.242))
+    (IOPATH A2 Y (0.526:0.526:0.527) (0.235:0.235:0.235))
+    (IOPATH B1_N Y (0.292:0.292:0.293) (0.354:0.354:0.354))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3b_1")
+  (INSTANCE _4992_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.110:0.111:0.111) (0.358:0.359:0.360))
+    (IOPATH B X (0.121:0.121:0.121) (0.342:0.343:0.343))
+    (IOPATH C_N X (0.154:0.154:0.154) (0.330:0.330:0.331))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4993_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.222:0.243:0.265) (0.244:0.248:0.252))
+    (IOPATH B X (0.156:0.156:0.156) (0.185:0.185:0.186))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4994_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.114:0.114:0.115) (0.229:0.229:0.229))
+    (IOPATH B X (0.117:0.117:0.117) (0.211:0.212:0.213))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4995_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.208:0.208:0.208) (0.183:0.184:0.185))
+    (IOPATH B Y (0.216:0.217:0.218) (0.191:0.192:0.192))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4996_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.117:0.117:0.117) (0.089:0.089:0.089))
+    (IOPATH B Y (0.186:0.186:0.186) (0.149:0.150:0.150))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _4997_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.217:0.230:0.243) (0.123:0.125:0.128))
+    (IOPATH A X (0.230:0.232:0.233) (0.180:0.192:0.205))
+    (IOPATH B X (0.211:0.215:0.218) (0.105:0.106:0.108))
+    (IOPATH B X (0.222:0.223:0.224) (0.174:0.178:0.182))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _4998_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.302:0.304:0.306) (0.280:0.284:0.289))
+    (IOPATH A2 X (0.248:0.248:0.248) (0.253:0.253:0.253))
+    (IOPATH B1 X (0.287:0.288:0.290) (0.186:0.193:0.200))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_1")
+  (INSTANCE _4999_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.463:0.468:0.473) (0.146:0.148:0.150))
+    (IOPATH B Y (0.457:0.462:0.466) (0.154:0.156:0.159))
+    (IOPATH C Y (0.412:0.412:0.412) (0.098:0.098:0.098))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5000_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.197:0.197:0.198) (0.111:0.111:0.111))
+    (IOPATH B Y (0.169:0.175:0.180) (0.136:0.136:0.136))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5001_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.065:0.069:0.074) (0.083:0.084:0.085))
+    (IOPATH B Y (0.073:0.073:0.073) (0.063:0.064:0.065))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _5002_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.170:0.171:0.171) (0.211:0.214:0.218))
+    (IOPATH A2 X (0.171:0.183:0.194) (0.210:0.214:0.219))
+    (IOPATH B1 X (0.163:0.164:0.165) (0.131:0.137:0.144))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _5003_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.293:0.293:0.293) (0.186:0.186:0.186))
+    (IOPATH A2 Y (0.289:0.289:0.289) (0.168:0.168:0.168))
+    (IOPATH B1 Y (0.283:0.283:0.283) (0.160:0.160:0.160))
+    (IOPATH B2 Y (0.221:0.221:0.221) (0.120:0.120:0.120))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _5004_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.278:0.278:0.278) (0.259:0.259:0.259))
+    (IOPATH B X (0.241:0.241:0.241) (0.208:0.208:0.208))
+    (IOPATH C X (0.246:0.246:0.246) (0.228:0.228:0.228))
+    (IOPATH D X (0.266:0.266:0.266) (0.299:0.299:0.299))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5005_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.151:0.161:0.172) (0.092:0.093:0.093))
+    (IOPATH B Y (0.121:0.121:0.122) (0.057:0.058:0.058))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5006_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.155:0.155:0.155) (0.153:0.153:0.153))
+    (IOPATH B Y (0.189:0.189:0.189) (0.148:0.148:0.148))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5007_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.150:0.151:0.151) (0.132:0.138:0.144))
+    (IOPATH A Y (0.186:0.192:0.197) (0.102:0.102:0.103))
+    (IOPATH B Y (0.145:0.147:0.148) (0.160:0.165:0.171))
+    (IOPATH B Y (0.196:0.202:0.207) (0.087:0.089:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _5008_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.219:0.219:0.219) (0.150:0.150:0.150))
+    (IOPATH A2 Y (0.273:0.273:0.273) (0.184:0.184:0.184))
+    (IOPATH B1 Y (0.228:0.228:0.228) (0.126:0.126:0.126))
+    (IOPATH B2 Y (0.214:0.214:0.214) (0.110:0.110:0.110))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _5009_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.289:0.289:0.289) (0.246:0.246:0.246))
+    (IOPATH B X (0.251:0.251:0.251) (0.213:0.213:0.213))
+    (IOPATH C X (0.329:0.329:0.329) (0.346:0.346:0.346))
+    (IOPATH D X (0.275:0.275:0.275) (0.289:0.289:0.289))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5010_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.155:0.157:0.159) (0.266:0.279:0.291))
+    (IOPATH B X (0.141:0.142:0.143) (0.235:0.235:0.236))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _5011_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.255:0.255:0.255) (0.227:0.227:0.227))
+    (IOPATH B X (0.248:0.248:0.248) (0.252:0.252:0.252))
+    (IOPATH C X (0.288:0.288:0.288) (0.310:0.310:0.310))
+    (IOPATH D X (0.281:0.281:0.281) (0.336:0.336:0.336))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _5012_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.201:0.201:0.201) (0.215:0.215:0.215))
+    (IOPATH A2 X (0.273:0.273:0.273) (0.330:0.330:0.330))
+    (IOPATH A3 X (0.174:0.175:0.176) (0.235:0.236:0.236))
+    (IOPATH B1 X (0.125:0.126:0.127) (0.199:0.200:0.201))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5013_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.134:0.134:0.134) (0.141:0.141:0.141))
+    (IOPATH A Y (0.187:0.187:0.187) (0.085:0.086:0.086))
+    (IOPATH B Y (0.132:0.133:0.134) (0.131:0.132:0.133))
+    (IOPATH B Y (0.162:0.163:0.163) (0.075:0.077:0.078))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5014_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.084:0.086:0.088) (0.075:0.087:0.100))
+    (IOPATH B Y (0.088:0.090:0.092) (0.075:0.086:0.097))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5015_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.102:0.115:0.128) (0.222:0.224:0.226))
+    (IOPATH B X (0.097:0.109:0.121) (0.201:0.203:0.204))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5016_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.076:0.080:0.084) (0.065:0.065:0.066))
+    (IOPATH B Y (0.079:0.079:0.079) (0.058:0.059:0.059))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5017_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.334:0.334:0.334) (0.213:0.213:0.213))
+    (IOPATH A2 Y (0.303:0.303:0.303) (0.148:0.149:0.149))
+    (IOPATH B1 Y (0.234:0.235:0.236) (0.070:0.070:0.071))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5018_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.241:0.241:0.241) (0.244:0.244:0.244))
+    (IOPATH B X (0.185:0.185:0.185) (0.209:0.209:0.210))
+    (IOPATH C X (0.152:0.152:0.153) (0.172:0.173:0.174))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5019_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.173:0.193:0.214) (0.116:0.118:0.120))
+    (IOPATH B Y (0.149:0.149:0.150) (0.056:0.058:0.059))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5020_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.175:0.176:0.176) (0.163:0.164:0.166))
+    (IOPATH A Y (0.253:0.254:0.255) (0.119:0.119:0.119))
+    (IOPATH B Y (0.187:0.187:0.187) (0.151:0.160:0.170))
+    (IOPATH B Y (0.226:0.234:0.242) (0.124:0.125:0.125))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5021_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.229:0.229:0.229) (0.198:0.209:0.219))
+    (IOPATH A Y (0.341:0.350:0.359) (0.165:0.166:0.166))
+    (IOPATH B Y (0.219:0.231:0.243) (0.196:0.198:0.201))
+    (IOPATH B Y (0.324:0.325:0.327) (0.141:0.159:0.178))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5022_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.190:0.192:0.193) (0.152:0.166:0.179))
+    (IOPATH A Y (0.233:0.244:0.256) (0.142:0.144:0.146))
+    (IOPATH B Y (0.177:0.177:0.177) (0.143:0.153:0.163))
+    (IOPATH B Y (0.208:0.217:0.227) (0.114:0.115:0.115))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _5023_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.359:0.361:0.363) (0.334:0.341:0.347))
+    (IOPATH A Y (0.690:0.695:0.701) (0.304:0.307:0.310))
+    (IOPATH B Y (0.343:0.343:0.343) (0.335:0.335:0.335))
+    (IOPATH B Y (0.670:0.670:0.670) (0.277:0.277:0.277))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+  (INSTANCE _5024_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.229:0.232:0.236) (0.097:0.115:0.132))
+    (IOPATH A X (0.199:0.212:0.225) (0.200:0.203:0.207))
+    (IOPATH B X (0.208:0.209:0.210) (0.118:0.119:0.119))
+    (IOPATH B X (0.222:0.222:0.222) (0.177:0.178:0.179))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5025_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.148:0.149:0.151) (0.204:0.205:0.205))
+    (IOPATH A2 X (0.132:0.133:0.133) (0.188:0.188:0.188))
+    (IOPATH B1 X (0.106:0.116:0.125) (0.166:0.167:0.169))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o2bb2a_1")
+  (INSTANCE _5026_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1_N X (0.281:0.281:0.281) (0.259:0.259:0.259))
+    (IOPATH A2_N X (0.297:0.297:0.297) (0.262:0.262:0.262))
+    (IOPATH B1 X (0.152:0.152:0.152) (0.284:0.285:0.286))
+    (IOPATH B2 X (0.161:0.162:0.164) (0.289:0.297:0.305))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5027_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.185:0.186:0.187) (0.074:0.074:0.075))
+    (IOPATH B Y (0.169:0.171:0.173) (0.059:0.059:0.059))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _5028_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.138:0.138:0.138) (0.388:0.389:0.390))
+    (IOPATH B X (0.152:0.154:0.157) (0.369:0.378:0.386))
+    (IOPATH C X (0.128:0.130:0.132) (0.336:0.341:0.346))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5029_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.191:0.192:0.193) (0.107:0.107:0.107))
+    (IOPATH A2 Y (0.182:0.192:0.202) (0.109:0.111:0.113))
+    (IOPATH B1 Y (0.129:0.135:0.142) (0.099:0.101:0.103))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5030_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.154:0.154:0.154) (0.137:0.137:0.137))
+    (IOPATH B Y (0.125:0.125:0.125) (0.121:0.121:0.121))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _5031_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.208:0.208:0.208) (0.108:0.108:0.108))
+    (IOPATH A2 Y (0.236:0.236:0.236) (0.113:0.113:0.113))
+    (IOPATH B1 Y (0.173:0.173:0.173) (0.083:0.083:0.083))
+    (IOPATH B2 Y (0.203:0.203:0.203) (0.090:0.090:0.090))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _5032_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.271:0.271:0.271) (0.212:0.212:0.212))
+    (IOPATH B X (0.279:0.279:0.279) (0.238:0.238:0.238))
+    (IOPATH C X (0.281:0.281:0.281) (0.254:0.254:0.254))
+    (IOPATH D X (0.320:0.320:0.320) (0.301:0.301:0.301))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _5033_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.213:0.218:0.223) (0.105:0.106:0.108))
+    (IOPATH A2 Y (0.192:0.192:0.193) (0.108:0.110:0.112))
+    (IOPATH B1_N Y (0.162:0.162:0.162) (0.186:0.188:0.189))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5034_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.159:0.159:0.159) (0.201:0.201:0.201))
+    (IOPATH A2 X (0.183:0.188:0.193) (0.219:0.220:0.221))
+    (IOPATH B1 X (0.145:0.153:0.161) (0.195:0.197:0.198))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _5035_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.130:0.130:0.130) (0.117:0.117:0.117))
+    (IOPATH B Y (0.142:0.143:0.145) (0.145:0.151:0.157))
+    (IOPATH C Y (0.138:0.140:0.141) (0.138:0.148:0.158))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _5036_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.154:0.154:0.154) (0.174:0.176:0.177))
+    (IOPATH A2 X (0.136:0.136:0.137) (0.205:0.205:0.205))
+    (IOPATH B1_N X (0.219:0.221:0.223) (0.225:0.226:0.227))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5037_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.244:0.245:0.246) (0.261:0.262:0.263))
+    (IOPATH A2 X (0.223:0.224:0.224) (0.244:0.244:0.244))
+    (IOPATH B1 X (0.193:0.193:0.194) (0.221:0.221:0.222))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _5038_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.209:0.210:0.212) (0.197:0.199:0.201))
+    (IOPATH B Y (0.184:0.184:0.185) (0.177:0.177:0.178))
+    (IOPATH C Y (0.175:0.175:0.176) (0.180:0.181:0.181))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21boi_2")
+  (INSTANCE _5039_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.617:0.624:0.631) (0.237:0.240:0.244))
+    (IOPATH A2 Y (0.617:0.618:0.618) (0.244:0.244:0.244))
+    (IOPATH B1_N Y (0.652:0.654:0.656) (0.331:0.332:0.334))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5040_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.213:0.228:0.243) (0.263:0.265:0.267))
+    (IOPATH A Y (0.346:0.349:0.351) (0.172:0.203:0.234))
+    (IOPATH B Y (0.242:0.243:0.243) (0.199:0.213:0.227))
+    (IOPATH B Y (0.273:0.289:0.304) (0.210:0.211:0.212))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _5041_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.183:0.183:0.184) (0.255:0.269:0.283))
+    (IOPATH B_N X (0.242:0.244:0.245) (0.262:0.273:0.284))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _5042_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.148:0.161:0.174) (0.195:0.200:0.205))
+    (IOPATH A2 X (0.153:0.167:0.180) (0.222:0.239:0.256))
+    (IOPATH B1_N X (0.201:0.201:0.201) (0.211:0.213:0.215))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5043_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.228:0.231:0.234) (0.210:0.223:0.236))
+    (IOPATH B X (0.136:0.136:0.136) (0.163:0.164:0.164))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5044_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.121:0.121:0.121) (0.121:0.121:0.121))
+    (IOPATH B Y (0.164:0.164:0.164) (0.128:0.128:0.128))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5045_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.241:0.241:0.241) (0.104:0.104:0.104))
+    (IOPATH A X (0.234:0.234:0.234) (0.186:0.186:0.186))
+    (IOPATH B X (0.235:0.237:0.239) (0.098:0.101:0.105))
+    (IOPATH B X (0.237:0.239:0.241) (0.181:0.183:0.184))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5046_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.273:0.276:0.279) (0.130:0.149:0.168))
+    (IOPATH A X (0.260:0.270:0.280) (0.212:0.215:0.217))
+    (IOPATH B X (0.230:0.230:0.231) (0.099:0.100:0.100))
+    (IOPATH B X (0.244:0.245:0.245) (0.169:0.169:0.170))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5047_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.144:0.148:0.152) (0.096:0.097:0.098))
+    (IOPATH B Y (0.130:0.140:0.150) (0.087:0.088:0.089))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5048_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.076:0.076:0.076) (0.075:0.075:0.075))
+    (IOPATH B Y (0.116:0.116:0.116) (0.099:0.099:0.099))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5049_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.143:0.143:0.143) (0.145:0.145:0.145))
+    (IOPATH B Y (0.211:0.211:0.211) (0.180:0.180:0.180))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _5050_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.228:0.228:0.228) (0.177:0.177:0.177))
+    (IOPATH B X (0.235:0.235:0.235) (0.202:0.202:0.202))
+    (IOPATH C X (0.271:0.271:0.271) (0.257:0.257:0.257))
+    (IOPATH D X (0.261:0.261:0.261) (0.292:0.292:0.292))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5051_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.204:0.207:0.211) (0.082:0.085:0.089))
+    (IOPATH A2 Y (0.246:0.248:0.251) (0.113:0.115:0.117))
+    (IOPATH B1 Y (0.192:0.193:0.194) (0.067:0.067:0.067))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5052_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.114:0.114:0.114) (0.114:0.114:0.114))
+    (IOPATH B Y (0.099:0.099:0.099) (0.095:0.095:0.095))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5053_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.215:0.216:0.218) (0.173:0.180:0.186))
+    (IOPATH A Y (0.294:0.300:0.306) (0.158:0.160:0.162))
+    (IOPATH B Y (0.186:0.186:0.187) (0.176:0.183:0.190))
+    (IOPATH B Y (0.281:0.287:0.293) (0.112:0.113:0.114))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5054_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.196:0.199:0.202) (0.138:0.138:0.138))
+    (IOPATH A2 Y (0.217:0.217:0.218) (0.110:0.110:0.110))
+    (IOPATH B1 Y (0.193:0.194:0.195) (0.084:0.084:0.084))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5055_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.212:0.225:0.238) (0.197:0.202:0.206))
+    (IOPATH A Y (0.324:0.328:0.332) (0.148:0.169:0.189))
+    (IOPATH B Y (0.218:0.220:0.221) (0.179:0.187:0.195))
+    (IOPATH B Y (0.292:0.299:0.307) (0.147:0.150:0.152))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5056_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.176:0.176:0.176) (0.273:0.274:0.275))
+    (IOPATH B X (0.160:0.160:0.161) (0.249:0.250:0.250))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _5057_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.377:0.377:0.377) (0.319:0.319:0.319))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _5058_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.205:0.205:0.205) (0.261:0.261:0.261))
+    (IOPATH A2 X (0.205:0.205:0.205) (0.288:0.288:0.288))
+    (IOPATH B1 X (0.174:0.174:0.174) (0.206:0.206:0.206))
+    (IOPATH B2 X (0.194:0.194:0.194) (0.243:0.243:0.243))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5059_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.242:0.242:0.242) (0.204:0.204:0.204))
+    (IOPATH B X (0.242:0.242:0.242) (0.250:0.250:0.250))
+    (IOPATH C X (0.217:0.217:0.217) (0.220:0.220:0.220))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5060_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.198:0.198:0.198) (0.184:0.184:0.184))
+    (IOPATH B Y (0.148:0.149:0.149) (0.128:0.128:0.128))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5061_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.096:0.096:0.097) (0.081:0.082:0.082))
+    (IOPATH B Y (0.134:0.140:0.146) (0.111:0.111:0.111))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+  (INSTANCE _5062_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.263:0.263:0.263) (0.119:0.119:0.119))
+    (IOPATH A X (0.238:0.238:0.238) (0.213:0.213:0.213))
+    (IOPATH B X (0.230:0.231:0.233) (0.104:0.106:0.108))
+    (IOPATH B X (0.238:0.240:0.241) (0.179:0.180:0.182))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+  (INSTANCE _5063_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.240:0.245:0.250) (0.136:0.139:0.141))
+    (IOPATH A X (0.239:0.241:0.243) (0.199:0.204:0.209))
+    (IOPATH B X (0.227:0.228:0.229) (0.129:0.129:0.130))
+    (IOPATH B X (0.240:0.240:0.240) (0.186:0.187:0.187))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _5064_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.265:0.278:0.292) (0.275:0.281:0.288))
+    (IOPATH A Y (0.491:0.497:0.502) (0.213:0.234:0.254))
+    (IOPATH B Y (0.267:0.269:0.271) (0.248:0.257:0.266))
+    (IOPATH B Y (0.448:0.455:0.463) (0.214:0.216:0.219))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _5065_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.139:0.156:0.173) (0.260:0.264:0.268))
+    (IOPATH B_N X (0.188:0.192:0.197) (0.263:0.277:0.292))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_2")
+  (INSTANCE _5066_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.260:0.272:0.284) (0.140:0.143:0.145))
+    (IOPATH A2 Y (0.251:0.255:0.259) (0.113:0.115:0.116))
+    (IOPATH B1 Y (0.126:0.126:0.126) (0.103:0.103:0.104))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5067_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.237:0.241:0.246) (0.115:0.136:0.157))
+    (IOPATH A X (0.200:0.213:0.227) (0.222:0.226:0.230))
+    (IOPATH B X (0.177:0.179:0.182) (0.095:0.109:0.123))
+    (IOPATH B X (0.190:0.198:0.206) (0.164:0.167:0.169))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _5068_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.111:0.111:0.111) (0.234:0.234:0.234))
+    (IOPATH B_N X (0.159:0.160:0.160) (0.237:0.238:0.239))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5069_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.115:0.115:0.115) (0.091:0.091:0.091))
+    (IOPATH B Y (0.124:0.128:0.132) (0.102:0.103:0.104))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _5070_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.254:0.254:0.254) (0.164:0.164:0.164))
+    (IOPATH A2 Y (0.210:0.210:0.210) (0.125:0.125:0.125))
+    (IOPATH B1 Y (0.245:0.245:0.245) (0.132:0.132:0.132))
+    (IOPATH B2 Y (0.235:0.235:0.235) (0.124:0.124:0.124))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5071_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.547:0.547:0.547) (0.403:0.403:0.403))
+    (IOPATH B X (0.520:0.520:0.520) (0.369:0.369:0.369))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5072_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.207:0.207:0.207) (0.177:0.177:0.177))
+    (IOPATH B X (0.255:0.255:0.255) (0.290:0.290:0.290))
+    (IOPATH C X (0.255:0.255:0.255) (0.292:0.292:0.293))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5073_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.179:0.189:0.200) (0.094:0.096:0.097))
+    (IOPATH B Y (0.153:0.153:0.154) (0.065:0.065:0.066))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5074_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.143:0.143:0.143) (0.140:0.140:0.140))
+    (IOPATH B Y (0.159:0.159:0.159) (0.129:0.129:0.129))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5075_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.182:0.182:0.182) (0.151:0.156:0.160))
+    (IOPATH A Y (0.237:0.241:0.245) (0.130:0.130:0.130))
+    (IOPATH B Y (0.165:0.166:0.167) (0.169:0.176:0.183))
+    (IOPATH B Y (0.237:0.243:0.250) (0.100:0.101:0.102))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5076_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.104:0.104:0.104) (0.076:0.076:0.076))
+    (IOPATH B Y (0.142:0.142:0.142) (0.089:0.089:0.089))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5077_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.276:0.276:0.276) (0.279:0.279:0.279))
+    (IOPATH B X (0.280:0.280:0.280) (0.314:0.314:0.314))
+    (IOPATH C X (0.195:0.197:0.199) (0.212:0.213:0.213))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5078_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.187:0.198:0.210) (0.175:0.179:0.182))
+    (IOPATH A Y (0.280:0.283:0.286) (0.127:0.143:0.159))
+    (IOPATH B Y (0.183:0.184:0.185) (0.166:0.167:0.167))
+    (IOPATH B Y (0.256:0.256:0.256) (0.114:0.114:0.115))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5079_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.313:0.317:0.320) (0.174:0.202:0.231))
+    (IOPATH A2 Y (0.313:0.314:0.314) (0.160:0.160:0.161))
+    (IOPATH B1 Y (0.249:0.253:0.257) (0.094:0.111:0.128))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5080_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.199:0.224:0.249) (0.217:0.221:0.225))
+    (IOPATH B X (0.188:0.188:0.188) (0.220:0.220:0.220))
+    (IOPATH C X (0.162:0.175:0.189) (0.188:0.193:0.198))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5081_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.181:0.183:0.184) (0.263:0.286:0.308))
+    (IOPATH B X (0.126:0.128:0.130) (0.231:0.231:0.232))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5082_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.143:0.144:0.145) (0.150:0.150:0.151))
+    (IOPATH A Y (0.197:0.197:0.198) (0.095:0.096:0.096))
+    (IOPATH B Y (0.136:0.136:0.136) (0.140:0.140:0.140))
+    (IOPATH B Y (0.172:0.172:0.172) (0.079:0.079:0.079))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5083_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.068:0.084:0.099) (0.081:0.083:0.085))
+    (IOPATH B Y (0.077:0.079:0.081) (0.066:0.076:0.086))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5084_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.121:0.123:0.125) (0.219:0.232:0.245))
+    (IOPATH B X (0.097:0.109:0.121) (0.201:0.203:0.205))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5085_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.103:0.107:0.111) (0.086:0.087:0.089))
+    (IOPATH B Y (0.111:0.111:0.111) (0.084:0.085:0.085))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5086_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.210:0.219:0.228) (0.121:0.121:0.121))
+    (IOPATH A2 Y (0.229:0.230:0.232) (0.109:0.125:0.141))
+    (IOPATH B1 Y (0.218:0.219:0.220) (0.101:0.101:0.101))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5087_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.173:0.173:0.174) (0.168:0.168:0.169))
+    (IOPATH A Y (0.257:0.257:0.257) (0.115:0.116:0.117))
+    (IOPATH B Y (0.190:0.192:0.193) (0.164:0.168:0.171))
+    (IOPATH B Y (0.238:0.241:0.244) (0.128:0.130:0.132))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5088_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.212:0.213:0.214) (0.202:0.202:0.202))
+    (IOPATH B X (0.164:0.165:0.165) (0.163:0.172:0.182))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _5089_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.172:0.172:0.173) (0.201:0.211:0.222))
+    (IOPATH A2 X (0.134:0.136:0.137) (0.195:0.201:0.206))
+    (IOPATH B1_N X (0.216:0.217:0.218) (0.155:0.155:0.156))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _5090_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.194:0.196:0.197) (0.198:0.219:0.239))
+    (IOPATH A2 X (0.138:0.139:0.139) (0.178:0.178:0.178))
+    (IOPATH B1_N X (0.225:0.226:0.227) (0.153:0.154:0.154))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3b_1")
+  (INSTANCE _5091_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.152:0.153:0.155) (0.363:0.382:0.401))
+    (IOPATH B X (0.098:0.098:0.099) (0.335:0.335:0.335))
+    (IOPATH C_N X (0.147:0.148:0.149) (0.333:0.333:0.333))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5092_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.221:0.222:0.223) (0.202:0.203:0.203))
+    (IOPATH B X (0.149:0.150:0.150) (0.183:0.184:0.184))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5093_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.119:0.119:0.119) (0.118:0.118:0.118))
+    (IOPATH B Y (0.170:0.170:0.170) (0.129:0.129:0.129))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5094_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.239:0.239:0.240) (0.103:0.103:0.103))
+    (IOPATH A X (0.233:0.233:0.233) (0.185:0.185:0.186))
+    (IOPATH B X (0.235:0.236:0.237) (0.096:0.100:0.104))
+    (IOPATH B X (0.235:0.238:0.240) (0.181:0.182:0.183))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5095_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.264:0.266:0.269) (0.119:0.135:0.152))
+    (IOPATH A X (0.256:0.266:0.276) (0.199:0.202:0.204))
+    (IOPATH B X (0.239:0.243:0.247) (0.147:0.149:0.151))
+    (IOPATH B X (0.273:0.274:0.274) (0.174:0.179:0.183))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5096_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.243:0.243:0.243) (0.117:0.117:0.117))
+    (IOPATH A2 Y (0.240:0.241:0.241) (0.123:0.123:0.123))
+    (IOPATH B1 Y (0.163:0.173:0.183) (0.171:0.173:0.175))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _5097_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.148:0.149:0.151) (0.361:0.369:0.376))
+    (IOPATH B X (0.094:0.094:0.094) (0.329:0.330:0.330))
+    (IOPATH C X (0.115:0.115:0.115) (0.300:0.300:0.301))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5098_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.188:0.196:0.204) (0.175:0.184:0.193))
+    (IOPATH B X (0.147:0.148:0.148) (0.179:0.179:0.179))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5099_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.194:0.194:0.195) (0.176:0.177:0.178))
+    (IOPATH A Y (0.297:0.297:0.298) (0.130:0.130:0.131))
+    (IOPATH B Y (0.192:0.192:0.193) (0.172:0.172:0.173))
+    (IOPATH B Y (0.276:0.277:0.277) (0.119:0.119:0.119))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _5100_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.238:0.238:0.238) (0.169:0.169:0.169))
+    (IOPATH A2 Y (0.251:0.251:0.251) (0.166:0.166:0.166))
+    (IOPATH B1 Y (0.232:0.232:0.232) (0.132:0.132:0.132))
+    (IOPATH B2 Y (0.196:0.196:0.196) (0.108:0.108:0.108))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _5101_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.236:0.236:0.236) (0.181:0.181:0.181))
+    (IOPATH B X (0.246:0.246:0.246) (0.210:0.210:0.210))
+    (IOPATH C X (0.262:0.262:0.262) (0.266:0.266:0.266))
+    (IOPATH D X (0.277:0.277:0.277) (0.283:0.283:0.283))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5102_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.178:0.185:0.192) (0.097:0.098:0.099))
+    (IOPATH B Y (0.151:0.152:0.153) (0.067:0.067:0.068))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _5103_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.279:0.279:0.279) (0.268:0.268:0.268))
+    (IOPATH A2 X (0.299:0.299:0.299) (0.317:0.317:0.317))
+    (IOPATH A3 X (0.251:0.251:0.251) (0.268:0.272:0.276))
+    (IOPATH B1 X (0.188:0.189:0.189) (0.237:0.238:0.239))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _5104_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.207:0.207:0.207) (0.146:0.146:0.146))
+    (IOPATH A2 Y (0.236:0.236:0.236) (0.140:0.140:0.140))
+    (IOPATH B1 Y (0.220:0.220:0.220) (0.120:0.120:0.120))
+    (IOPATH B2 Y (0.226:0.226:0.226) (0.119:0.119:0.119))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5105_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.172:0.173:0.174) (0.095:0.095:0.095))
+    (IOPATH B Y (0.156:0.161:0.167) (0.081:0.083:0.085))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+  (INSTANCE _5106_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.299:0.299:0.300) (0.258:0.259:0.259))
+    (IOPATH B Y (0.290:0.292:0.293) (0.259:0.259:0.259))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5107_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.166:0.177:0.189) (0.160:0.162:0.164))
+    (IOPATH A Y (0.238:0.240:0.242) (0.110:0.126:0.141))
+    (IOPATH B Y (0.163:0.175:0.186) (0.154:0.159:0.164))
+    (IOPATH B Y (0.217:0.222:0.226) (0.099:0.113:0.126))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5108_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.227:0.228:0.228) (0.120:0.120:0.120))
+    (IOPATH B Y (0.139:0.143:0.147) (0.067:0.079:0.090))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _5109_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.295:0.295:0.295) (0.187:0.187:0.187))
+    (IOPATH A2 Y (0.291:0.291:0.291) (0.190:0.190:0.190))
+    (IOPATH B1 Y (0.205:0.205:0.205) (0.120:0.120:0.120))
+    (IOPATH B2 Y (0.303:0.303:0.303) (0.163:0.163:0.163))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _5110_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.271:0.271:0.271) (0.255:0.255:0.255))
+    (IOPATH B X (0.226:0.226:0.226) (0.199:0.199:0.199))
+    (IOPATH C X (0.233:0.233:0.233) (0.218:0.218:0.218))
+    (IOPATH D X (0.271:0.271:0.271) (0.325:0.325:0.325))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5111_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.147:0.154:0.160) (0.088:0.090:0.092))
+    (IOPATH B Y (0.113:0.113:0.114) (0.053:0.053:0.054))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5112_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.157:0.157:0.157) (0.155:0.155:0.155))
+    (IOPATH B Y (0.174:0.174:0.174) (0.158:0.158:0.158))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5113_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.173:0.174:0.174) (0.147:0.153:0.160))
+    (IOPATH A Y (0.234:0.240:0.246) (0.117:0.117:0.117))
+    (IOPATH B Y (0.170:0.171:0.171) (0.182:0.185:0.188))
+    (IOPATH B Y (0.250:0.253:0.257) (0.104:0.105:0.106))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o2bb2a_1")
+  (INSTANCE _5114_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1_N X (0.258:0.258:0.258) (0.239:0.239:0.239))
+    (IOPATH A2_N X (0.344:0.344:0.344) (0.299:0.299:0.299))
+    (IOPATH B1 X (0.157:0.158:0.159) (0.290:0.291:0.292))
+    (IOPATH B2 X (0.164:0.166:0.168) (0.291:0.302:0.314))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5115_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.194:0.195:0.196) (0.082:0.083:0.084))
+    (IOPATH B Y (0.176:0.178:0.181) (0.063:0.063:0.063))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _5116_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.335:0.335:0.335) (0.286:0.286:0.286))
+    (IOPATH B X (0.344:0.344:0.344) (0.330:0.330:0.330))
+    (IOPATH C X (0.255:0.255:0.255) (0.232:0.232:0.232))
+    (IOPATH D X (0.261:0.261:0.261) (0.247:0.247:0.247))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _5117_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.280:0.280:0.280) (0.180:0.180:0.180))
+    (IOPATH A2 Y (0.223:0.223:0.223) (0.124:0.124:0.124))
+    (IOPATH B1 Y (0.232:0.232:0.232) (0.133:0.133:0.133))
+    (IOPATH B2 Y (0.246:0.246:0.246) (0.132:0.132:0.132))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4bb_1")
+  (INSTANCE _5118_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.314:0.314:0.315) (0.228:0.229:0.230))
+    (IOPATH B_N X (0.341:0.352:0.364) (0.275:0.276:0.277))
+    (IOPATH C X (0.285:0.285:0.285) (0.248:0.248:0.248))
+    (IOPATH D X (0.349:0.349:0.349) (0.365:0.365:0.365))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5119_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.164:0.165:0.165) (0.076:0.077:0.078))
+    (IOPATH B Y (0.155:0.156:0.157) (0.081:0.081:0.082))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5120_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.187:0.187:0.187) (0.153:0.155:0.157))
+    (IOPATH A Y (0.237:0.239:0.241) (0.137:0.138:0.138))
+    (IOPATH B Y (0.181:0.181:0.181) (0.151:0.152:0.154))
+    (IOPATH B Y (0.220:0.221:0.222) (0.118:0.118:0.118))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5121_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.188:0.190:0.191) (0.199:0.199:0.199))
+    (IOPATH B X (0.154:0.154:0.154) (0.154:0.156:0.158))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5122_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.149:0.162:0.174) (0.182:0.184:0.186))
+    (IOPATH A2 X (0.151:0.165:0.179) (0.203:0.206:0.210))
+    (IOPATH B1 X (0.103:0.103:0.104) (0.165:0.165:0.165))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5123_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.309:0.309:0.309) (0.157:0.157:0.157))
+    (IOPATH A X (0.254:0.254:0.254) (0.265:0.265:0.265))
+    (IOPATH B X (0.209:0.213:0.218) (0.101:0.117:0.133))
+    (IOPATH B X (0.225:0.233:0.241) (0.168:0.172:0.177))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5124_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.139:0.139:0.140) (0.145:0.145:0.145))
+    (IOPATH B X (0.172:0.174:0.176) (0.167:0.178:0.190))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _5125_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.182:0.183:0.185) (0.209:0.218:0.228))
+    (IOPATH A2 X (0.142:0.143:0.143) (0.205:0.209:0.212))
+    (IOPATH B1_N X (0.222:0.223:0.224) (0.157:0.158:0.159))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _5126_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.166:0.167:0.168) (0.189:0.198:0.207))
+    (IOPATH A2 X (0.134:0.135:0.135) (0.174:0.174:0.175))
+    (IOPATH B1_N X (0.223:0.224:0.224) (0.153:0.154:0.154))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3b_1")
+  (INSTANCE _5127_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.124:0.126:0.127) (0.359:0.366:0.374))
+    (IOPATH B X (0.099:0.099:0.100) (0.335:0.335:0.335))
+    (IOPATH C_N X (0.149:0.150:0.151) (0.335:0.335:0.335))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5128_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.243:0.244:0.245) (0.215:0.216:0.216))
+    (IOPATH B X (0.172:0.173:0.173) (0.198:0.198:0.198))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _5129_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.270:0.270:0.270) (0.262:0.262:0.262))
+    (IOPATH A2 X (0.281:0.281:0.281) (0.332:0.332:0.332))
+    (IOPATH A3 X (0.229:0.230:0.230) (0.271:0.271:0.271))
+    (IOPATH B1 X (0.170:0.170:0.170) (0.228:0.229:0.229))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5130_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.325:0.330:0.334) (0.172:0.174:0.176))
+    (IOPATH A X (0.341:0.342:0.343) (0.211:0.215:0.220))
+    (IOPATH B X (0.308:0.319:0.329) (0.178:0.181:0.183))
+    (IOPATH B X (0.342:0.343:0.343) (0.193:0.204:0.215))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5131_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.110:0.110:0.110) (0.107:0.107:0.107))
+    (IOPATH B Y (0.156:0.156:0.156) (0.116:0.116:0.116))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5132_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.233:0.233:0.233) (0.109:0.109:0.109))
+    (IOPATH A X (0.229:0.229:0.229) (0.188:0.188:0.188))
+    (IOPATH B X (0.219:0.220:0.221) (0.089:0.093:0.097))
+    (IOPATH B X (0.221:0.223:0.226) (0.174:0.175:0.177))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5133_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.220:0.231:0.242) (0.197:0.203:0.208))
+    (IOPATH A Y (0.346:0.351:0.356) (0.150:0.166:0.183))
+    (IOPATH B Y (0.223:0.236:0.248) (0.200:0.219:0.238))
+    (IOPATH B Y (0.332:0.351:0.371) (0.144:0.163:0.183))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _5134_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.168:0.170:0.172) (0.157:0.159:0.161))
+    (IOPATH B Y (0.154:0.156:0.157) (0.184:0.184:0.184))
+    (IOPATH C Y (0.156:0.157:0.157) (0.161:0.161:0.162))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5135_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.190:0.192:0.193) (0.224:0.226:0.227))
+    (IOPATH A2 X (0.190:0.190:0.191) (0.225:0.225:0.225))
+    (IOPATH B1 X (0.175:0.175:0.175) (0.194:0.195:0.196))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5136_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.133:0.133:0.133) (0.112:0.112:0.112))
+    (IOPATH B Y (0.135:0.135:0.135) (0.111:0.111:0.111))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5137_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.189:0.189:0.189) (0.155:0.160:0.165))
+    (IOPATH A Y (0.252:0.256:0.260) (0.133:0.133:0.133))
+    (IOPATH B Y (0.170:0.170:0.170) (0.166:0.170:0.173))
+    (IOPATH B Y (0.245:0.249:0.252) (0.101:0.101:0.101))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _5138_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.154:0.154:0.154) (0.401:0.402:0.403))
+    (IOPATH B X (0.135:0.137:0.139) (0.382:0.386:0.390))
+    (IOPATH C X (0.150:0.153:0.155) (0.338:0.339:0.340))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5139_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.203:0.204:0.205) (0.118:0.119:0.119))
+    (IOPATH A2 Y (0.187:0.188:0.189) (0.107:0.109:0.112))
+    (IOPATH B1 Y (0.133:0.139:0.145) (0.099:0.102:0.104))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5140_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.118:0.118:0.118) (0.123:0.123:0.123))
+    (IOPATH B Y (0.170:0.170:0.170) (0.144:0.144:0.144))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _5141_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.210:0.210:0.210) (0.109:0.109:0.109))
+    (IOPATH A2 Y (0.240:0.240:0.240) (0.131:0.131:0.131))
+    (IOPATH B1 Y (0.179:0.179:0.179) (0.088:0.088:0.088))
+    (IOPATH B2 Y (0.203:0.203:0.203) (0.089:0.089:0.089))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _5142_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.242:0.242:0.242) (0.196:0.196:0.196))
+    (IOPATH B X (0.247:0.247:0.247) (0.220:0.220:0.220))
+    (IOPATH C X (0.257:0.257:0.257) (0.232:0.232:0.232))
+    (IOPATH D X (0.288:0.288:0.288) (0.282:0.282:0.282))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _5143_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.202:0.203:0.204) (0.099:0.101:0.104))
+    (IOPATH A2 Y (0.177:0.179:0.181) (0.102:0.104:0.106))
+    (IOPATH B1_N Y (0.143:0.144:0.144) (0.172:0.173:0.174))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5144_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.184:0.184:0.185) (0.217:0.217:0.217))
+    (IOPATH A2 X (0.207:0.212:0.217) (0.233:0.235:0.237))
+    (IOPATH B1 X (0.165:0.173:0.180) (0.206:0.208:0.209))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _5145_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.152:0.152:0.152) (0.140:0.140:0.140))
+    (IOPATH B Y (0.162:0.164:0.167) (0.167:0.173:0.180))
+    (IOPATH C Y (0.153:0.155:0.156) (0.156:0.165:0.174))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _5146_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.185:0.198:0.211) (0.217:0.220:0.224))
+    (IOPATH A2 X (0.189:0.189:0.189) (0.241:0.242:0.242))
+    (IOPATH B1_N X (0.276:0.277:0.279) (0.261:0.262:0.264))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_4")
+  (INSTANCE _5147_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.149:0.150:0.152) (0.140:0.142:0.144))
+    (IOPATH B Y (0.137:0.137:0.137) (0.139:0.139:0.139))
+    (IOPATH C Y (0.142:0.142:0.143) (0.139:0.139:0.139))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5148_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.122:0.123:0.123) (0.233:0.234:0.234))
+    (IOPATH B X (0.118:0.118:0.118) (0.202:0.204:0.205))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5149_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.250:0.250:0.250) (0.272:0.272:0.272))
+    (IOPATH B X (0.179:0.180:0.180) (0.189:0.189:0.189))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5150_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.220:0.221:0.222) (0.248:0.250:0.251))
+    (IOPATH A2 X (0.218:0.218:0.218) (0.241:0.241:0.242))
+    (IOPATH B1 X (0.186:0.186:0.187) (0.217:0.217:0.217))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_4")
+  (INSTANCE _5151_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.130:0.131:0.133) (0.120:0.123:0.126))
+    (IOPATH B Y (0.127:0.127:0.127) (0.131:0.131:0.131))
+    (IOPATH C Y (0.141:0.142:0.142) (0.136:0.136:0.136))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5152_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.263:0.266:0.268) (0.249:0.251:0.252))
+    (IOPATH B X (0.262:0.264:0.267) (0.209:0.217:0.225))
+    (IOPATH C X (0.264:0.264:0.264) (0.250:0.250:0.251))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+  (INSTANCE _5153_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.254:0.255:0.256) (0.107:0.109:0.111))
+    (IOPATH A2 Y (0.232:0.233:0.233) (0.110:0.110:0.110))
+    (IOPATH B1 Y (0.180:0.187:0.194) (0.076:0.077:0.079))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211o_2")
+  (INSTANCE _5154_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.335:0.338:0.341) (0.467:0.468:0.470))
+    (IOPATH A2 X (0.332:0.336:0.339) (0.502:0.502:0.502))
+    (IOPATH B1 X (0.322:0.322:0.322) (0.466:0.467:0.467))
+    (IOPATH C1 X (0.327:0.327:0.327) (0.421:0.424:0.428))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5155_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.133:0.134:0.134) (0.134:0.135:0.135))
+    (IOPATH A Y (0.185:0.186:0.186) (0.084:0.085:0.085))
+    (IOPATH B Y (0.161:0.162:0.164) (0.136:0.147:0.158))
+    (IOPATH B Y (0.170:0.180:0.190) (0.106:0.107:0.109))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211ai_4")
+  (INSTANCE _5156_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.335:0.335:0.336) (0.164:0.164:0.164))
+    (IOPATH A2 Y (0.306:0.310:0.314) (0.162:0.162:0.162))
+    (IOPATH B1 Y (0.183:0.185:0.187) (0.152:0.154:0.157))
+    (IOPATH C1 Y (0.171:0.172:0.172) (0.139:0.143:0.146))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3b_1")
+  (INSTANCE _5157_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N Y (0.185:0.197:0.209) (0.232:0.235:0.238))
+    (IOPATH B Y (0.202:0.202:0.203) (0.181:0.200:0.219))
+    (IOPATH C Y (0.218:0.218:0.219) (0.206:0.206:0.206))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5158_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.138:0.139:0.139) (0.129:0.129:0.129))
+    (IOPATH B Y (0.138:0.142:0.147) (0.100:0.102:0.104))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5159_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.267:0.271:0.275) (0.129:0.148:0.166))
+    (IOPATH A X (0.253:0.263:0.273) (0.211:0.215:0.219))
+    (IOPATH B X (0.228:0.234:0.241) (0.102:0.103:0.104))
+    (IOPATH B X (0.240:0.240:0.241) (0.173:0.180:0.186))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _5160_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.128:0.142:0.156) (0.245:0.248:0.252))
+    (IOPATH B_N X (0.158:0.166:0.174) (0.232:0.232:0.233))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _5161_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.185:0.186:0.188) (0.206:0.209:0.213))
+    (IOPATH A2 X (0.170:0.171:0.172) (0.191:0.202:0.213))
+    (IOPATH B1 X (0.137:0.137:0.138) (0.119:0.119:0.119))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5162_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.203:0.204:0.204) (0.167:0.179:0.192))
+    (IOPATH A Y (0.246:0.257:0.268) (0.162:0.163:0.164))
+    (IOPATH B Y (0.158:0.159:0.159) (0.149:0.150:0.151))
+    (IOPATH B Y (0.214:0.215:0.216) (0.095:0.095:0.095))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _5163_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.095:0.095:0.095) (0.211:0.212:0.212))
+    (IOPATH B_N X (0.158:0.170:0.181) (0.256:0.256:0.257))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21boi_1")
+  (INSTANCE _5164_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.222:0.223:0.224) (0.117:0.118:0.118))
+    (IOPATH A2 Y (0.239:0.246:0.253) (0.109:0.125:0.141))
+    (IOPATH B1_N Y (0.233:0.233:0.233) (0.148:0.148:0.148))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_2")
+  (INSTANCE _5165_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.195:0.215:0.235) (0.369:0.371:0.373))
+    (IOPATH B X (0.209:0.212:0.214) (0.335:0.341:0.348))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5166_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.232:0.245:0.258) (0.212:0.214:0.216))
+    (IOPATH A Y (0.363:0.365:0.367) (0.164:0.185:0.206))
+    (IOPATH B Y (0.243:0.245:0.246) (0.193:0.200:0.208))
+    (IOPATH B Y (0.330:0.337:0.344) (0.171:0.174:0.177))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5167_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.161:0.161:0.162) (0.156:0.157:0.157))
+    (IOPATH A Y (0.219:0.220:0.220) (0.111:0.111:0.112))
+    (IOPATH B Y (0.154:0.165:0.177) (0.149:0.157:0.165))
+    (IOPATH B Y (0.197:0.204:0.211) (0.094:0.107:0.121))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _5168_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.167:0.169:0.171) (0.152:0.154:0.157))
+    (IOPATH B Y (0.155:0.158:0.162) (0.152:0.169:0.187))
+    (IOPATH C Y (0.151:0.151:0.152) (0.156:0.156:0.156))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5169_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.187:0.188:0.190) (0.230:0.231:0.233))
+    (IOPATH A2 X (0.189:0.189:0.189) (0.226:0.227:0.227))
+    (IOPATH B1 X (0.155:0.165:0.174) (0.201:0.204:0.207))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _5170_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.309:0.309:0.309) (0.222:0.222:0.222))
+    (IOPATH B X (0.316:0.316:0.316) (0.248:0.248:0.248))
+    (IOPATH C X (0.351:0.351:0.351) (0.301:0.301:0.301))
+    (IOPATH D X (0.341:0.341:0.341) (0.337:0.337:0.337))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _5171_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.235:0.235:0.235) (0.151:0.151:0.151))
+    (IOPATH A2 Y (0.235:0.235:0.235) (0.157:0.157:0.157))
+    (IOPATH B1 Y (0.216:0.216:0.216) (0.120:0.120:0.120))
+    (IOPATH B2 Y (0.216:0.216:0.216) (0.116:0.116:0.116))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5172_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.219:0.220:0.221) (0.109:0.109:0.110))
+    (IOPATH B Y (0.204:0.208:0.213) (0.098:0.099:0.101))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _5173_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.140:0.140:0.140) (0.392:0.393:0.394))
+    (IOPATH B X (0.133:0.136:0.139) (0.382:0.383:0.384))
+    (IOPATH C X (0.148:0.151:0.153) (0.335:0.337:0.338))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5174_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.180:0.181:0.182) (0.101:0.101:0.101))
+    (IOPATH A2 Y (0.170:0.172:0.175) (0.100:0.103:0.105))
+    (IOPATH B1 Y (0.126:0.128:0.129) (0.092:0.096:0.099))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5175_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.108:0.108:0.108) (0.109:0.109:0.109))
+    (IOPATH B Y (0.165:0.165:0.165) (0.137:0.137:0.137))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _5176_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.216:0.216:0.216) (0.112:0.112:0.112))
+    (IOPATH A2 Y (0.236:0.236:0.236) (0.119:0.119:0.119))
+    (IOPATH B1 Y (0.178:0.178:0.178) (0.094:0.094:0.094))
+    (IOPATH B2 Y (0.209:0.209:0.209) (0.092:0.092:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _5177_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.266:0.266:0.266) (0.209:0.209:0.209))
+    (IOPATH B X (0.275:0.275:0.275) (0.236:0.236:0.236))
+    (IOPATH C X (0.281:0.281:0.281) (0.245:0.245:0.245))
+    (IOPATH D X (0.285:0.285:0.285) (0.258:0.258:0.258))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _5178_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.203:0.206:0.208) (0.099:0.102:0.105))
+    (IOPATH A2 Y (0.183:0.185:0.188) (0.106:0.108:0.110))
+    (IOPATH B1_N Y (0.156:0.156:0.156) (0.181:0.183:0.184))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5179_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.197:0.197:0.198) (0.225:0.225:0.225))
+    (IOPATH A2 X (0.217:0.222:0.227) (0.238:0.240:0.242))
+    (IOPATH B1 X (0.180:0.188:0.195) (0.216:0.218:0.219))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _5180_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.190:0.190:0.190) (0.179:0.180:0.180))
+    (IOPATH B Y (0.200:0.202:0.204) (0.204:0.210:0.216))
+    (IOPATH C Y (0.192:0.193:0.195) (0.198:0.208:0.218))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _5181_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.174:0.174:0.174) (0.195:0.196:0.197))
+    (IOPATH A2 X (0.163:0.163:0.163) (0.225:0.226:0.226))
+    (IOPATH B1_N X (0.268:0.269:0.270) (0.251:0.253:0.255))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_2")
+  (INSTANCE _5182_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.299:0.301:0.303) (0.275:0.278:0.281))
+    (IOPATH B X (0.296:0.297:0.297) (0.270:0.270:0.270))
+    (IOPATH C X (0.290:0.290:0.290) (0.277:0.278:0.278))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_2")
+  (INSTANCE _5183_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.403:0.403:0.403) (0.489:0.489:0.489))
+    (IOPATH B Y (0.483:0.483:0.483) (0.543:0.543:0.543))
+    (IOPATH C Y (0.388:0.389:0.390) (0.472:0.473:0.473))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5184_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.148:0.148:0.148) (0.178:0.178:0.178))
+    (IOPATH A2 X (0.176:0.176:0.176) (0.246:0.246:0.246))
+    (IOPATH B1 X (0.108:0.108:0.108) (0.165:0.166:0.167))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5185_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.326:0.326:0.327) (0.202:0.205:0.208))
+    (IOPATH B Y (0.126:0.127:0.127) (0.098:0.099:0.100))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+  (INSTANCE _5186_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.277:0.280:0.282) (0.110:0.111:0.112))
+    (IOPATH A2 Y (0.259:0.259:0.259) (0.106:0.106:0.106))
+    (IOPATH B1 Y (0.223:0.224:0.224) (0.065:0.065:0.066))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_4")
+  (INSTANCE _5187_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.351:0.352:0.352) (0.095:0.095:0.095))
+    (IOPATH B Y (0.333:0.347:0.360) (0.086:0.094:0.101))
+    (IOPATH C Y (0.271:0.277:0.282) (0.098:0.099:0.099))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5188_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.206:0.209:0.212) (0.235:0.237:0.239))
+    (IOPATH A2 X (0.221:0.221:0.222) (0.245:0.245:0.245))
+    (IOPATH B1 X (0.184:0.184:0.185) (0.214:0.214:0.214))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+  (INSTANCE _5189_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.449:0.449:0.449) (0.412:0.413:0.413))
+    (IOPATH A2 X (0.471:0.472:0.472) (0.379:0.383:0.387))
+    (IOPATH B1 X (0.431:0.435:0.438) (0.280:0.280:0.281))
+    (IOPATH C1 X (0.443:0.443:0.443) (0.251:0.251:0.251))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o2bb2a_1")
+  (INSTANCE _5190_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1_N X (0.372:0.372:0.372) (0.301:0.301:0.301))
+    (IOPATH A2_N X (0.390:0.390:0.390) (0.316:0.316:0.316))
+    (IOPATH B1 X (0.202:0.203:0.204) (0.330:0.330:0.331))
+    (IOPATH B2 X (0.211:0.212:0.213) (0.327:0.337:0.348))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _5191_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.362:0.362:0.362) (0.301:0.301:0.301))
+    (IOPATH B X (0.276:0.276:0.276) (0.228:0.228:0.228))
+    (IOPATH C X (0.361:0.361:0.361) (0.365:0.365:0.365))
+    (IOPATH D X (0.282:0.282:0.282) (0.259:0.259:0.259))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _5192_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.246:0.246:0.246) (0.146:0.146:0.146))
+    (IOPATH A2 Y (0.326:0.326:0.326) (0.195:0.195:0.195))
+    (IOPATH B1 Y (0.213:0.213:0.213) (0.119:0.119:0.119))
+    (IOPATH B2 Y (0.277:0.277:0.277) (0.150:0.150:0.150))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4bb_1")
+  (INSTANCE _5193_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.316:0.317:0.318) (0.234:0.235:0.235))
+    (IOPATH B_N X (0.345:0.352:0.359) (0.279:0.280:0.281))
+    (IOPATH C X (0.326:0.326:0.326) (0.303:0.303:0.303))
+    (IOPATH D X (0.345:0.345:0.345) (0.363:0.363:0.363))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5194_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.168:0.169:0.169) (0.085:0.086:0.087))
+    (IOPATH B Y (0.153:0.154:0.155) (0.079:0.080:0.080))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _5195_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.163:0.163:0.164) (0.412:0.413:0.414))
+    (IOPATH B X (0.152:0.152:0.152) (0.389:0.391:0.393))
+    (IOPATH C X (0.162:0.162:0.162) (0.346:0.346:0.347))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5196_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.136:0.137:0.138) (0.075:0.075:0.076))
+    (IOPATH B Y (0.121:0.124:0.126) (0.061:0.061:0.062))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5197_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.170:0.171:0.171) (0.148:0.150:0.152))
+    (IOPATH A Y (0.232:0.233:0.235) (0.115:0.115:0.115))
+    (IOPATH B Y (0.179:0.179:0.179) (0.152:0.152:0.153))
+    (IOPATH B Y (0.219:0.220:0.220) (0.117:0.117:0.117))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _5198_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.204:0.204:0.204) (0.150:0.150:0.150))
+    (IOPATH A2 Y (0.212:0.212:0.212) (0.133:0.133:0.133))
+    (IOPATH B1 Y (0.185:0.185:0.185) (0.107:0.107:0.107))
+    (IOPATH B2 Y (0.204:0.204:0.204) (0.116:0.116:0.116))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _5199_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.338:0.338:0.338) (0.260:0.260:0.260))
+    (IOPATH B X (0.318:0.318:0.318) (0.277:0.277:0.277))
+    (IOPATH C X (0.337:0.337:0.337) (0.310:0.310:0.310))
+    (IOPATH D X (0.330:0.330:0.330) (0.360:0.360:0.360))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5200_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.142:0.144:0.146) (0.254:0.261:0.269))
+    (IOPATH B X (0.143:0.143:0.144) (0.234:0.235:0.236))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _5201_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.066:0.066:0.066) (0.044:0.044:0.044))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5202_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.101:0.103:0.105) (0.092:0.107:0.122))
+    (IOPATH B Y (0.089:0.089:0.089) (0.075:0.075:0.075))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5203_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.169:0.180:0.191) (0.162:0.165:0.167))
+    (IOPATH A Y (0.243:0.245:0.247) (0.113:0.128:0.144))
+    (IOPATH B Y (0.168:0.180:0.192) (0.159:0.163:0.167))
+    (IOPATH B Y (0.225:0.228:0.232) (0.104:0.119:0.133))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5204_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.222:0.223:0.225) (0.340:0.341:0.341))
+    (IOPATH A Y (0.440:0.441:0.441) (0.188:0.190:0.192))
+    (IOPATH B Y (0.173:0.185:0.196) (0.161:0.166:0.171))
+    (IOPATH B Y (0.235:0.239:0.244) (0.106:0.120:0.135))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+  (INSTANCE _5205_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.235:0.235:0.235) (0.086:0.087:0.087))
+    (IOPATH A2 Y (0.230:0.237:0.243) (0.090:0.090:0.091))
+    (IOPATH B1 Y (0.205:0.216:0.227) (0.071:0.085:0.098))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5206_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.194:0.194:0.194) (0.187:0.187:0.188))
+    (IOPATH B X (0.199:0.200:0.200) (0.194:0.201:0.208))
+    (IOPATH C X (0.210:0.225:0.241) (0.214:0.227:0.240))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211oi_4")
+  (INSTANCE _5207_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.558:0.558:0.558) (0.133:0.137:0.141))
+    (IOPATH A2 Y (0.567:0.568:0.568) (0.151:0.151:0.151))
+    (IOPATH B1 Y (0.546:0.546:0.547) (0.118:0.118:0.118))
+    (IOPATH C1 Y (0.484:0.488:0.491) (0.145:0.146:0.146))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor4_1")
+  (INSTANCE _5208_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.485:0.488:0.490) (0.157:0.157:0.157))
+    (IOPATH B Y (0.435:0.441:0.446) (0.115:0.115:0.116))
+    (IOPATH C Y (0.405:0.406:0.407) (0.083:0.083:0.083))
+    (IOPATH D Y (0.363:0.369:0.375) (0.155:0.155:0.156))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _5209_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.223:0.223:0.223) (0.261:0.261:0.262))
+    (IOPATH A2 X (0.200:0.214:0.227) (0.268:0.268:0.268))
+    (IOPATH B1_N X (0.239:0.242:0.245) (0.248:0.259:0.270))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211ai_2")
+  (INSTANCE _5210_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.266:0.268:0.271) (0.177:0.177:0.177))
+    (IOPATH A2 Y (0.202:0.218:0.235) (0.164:0.164:0.164))
+    (IOPATH B1 Y (0.145:0.150:0.154) (0.146:0.149:0.151))
+    (IOPATH C1 Y (0.102:0.103:0.103) (0.127:0.127:0.128))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5211_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.284:0.285:0.285) (0.120:0.121:0.122))
+    (IOPATH B Y (0.124:0.128:0.132) (0.062:0.072:0.081))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _5212_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.187:0.190:0.192) (0.216:0.223:0.230))
+    (IOPATH A2 X (0.151:0.152:0.152) (0.212:0.215:0.218))
+    (IOPATH B1_N X (0.232:0.232:0.233) (0.163:0.164:0.165))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _5213_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.173:0.179:0.185) (0.195:0.207:0.219))
+    (IOPATH A2 X (0.175:0.175:0.175) (0.185:0.192:0.198))
+    (IOPATH B1_N X (0.238:0.239:0.240) (0.164:0.164:0.165))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3b_1")
+  (INSTANCE _5214_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.118:0.124:0.131) (0.356:0.366:0.377))
+    (IOPATH B X (0.136:0.137:0.137) (0.336:0.341:0.347))
+    (IOPATH C_N X (0.151:0.152:0.153) (0.335:0.336:0.336))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5215_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.247:0.248:0.249) (0.220:0.220:0.220))
+    (IOPATH B X (0.174:0.174:0.174) (0.198:0.198:0.198))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5216_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.111:0.111:0.111) (0.108:0.108:0.108))
+    (IOPATH B Y (0.156:0.156:0.156) (0.116:0.116:0.116))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5217_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.212:0.212:0.213) (0.102:0.102:0.102))
+    (IOPATH A X (0.209:0.209:0.209) (0.182:0.182:0.183))
+    (IOPATH B X (0.198:0.199:0.201) (0.083:0.086:0.090))
+    (IOPATH B X (0.201:0.203:0.206) (0.169:0.170:0.171))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211o_1")
+  (INSTANCE _5218_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.144:0.146:0.148) (0.290:0.294:0.298))
+    (IOPATH A2 X (0.136:0.136:0.137) (0.296:0.296:0.297))
+    (IOPATH B1 X (0.158:0.158:0.159) (0.303:0.306:0.309))
+    (IOPATH C1 X (0.155:0.155:0.155) (0.223:0.238:0.253))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5219_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.116:0.120:0.124) (0.089:0.103:0.118))
+    (IOPATH B Y (0.097:0.098:0.098) (0.074:0.075:0.076))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5220_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.168:0.169:0.171) (0.257:0.260:0.263))
+    (IOPATH B X (0.143:0.144:0.144) (0.243:0.248:0.254))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5221_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.190:0.191:0.193) (0.157:0.161:0.165))
+    (IOPATH A Y (0.246:0.250:0.254) (0.138:0.139:0.141))
+    (IOPATH B Y (0.195:0.196:0.197) (0.156:0.168:0.180))
+    (IOPATH B Y (0.230:0.240:0.251) (0.134:0.135:0.137))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5222_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.134:0.146:0.159) (0.187:0.191:0.195))
+    (IOPATH A2 X (0.136:0.136:0.136) (0.196:0.196:0.196))
+    (IOPATH B1 X (0.113:0.123:0.133) (0.173:0.177:0.180))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5223_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.215:0.219:0.222) (0.098:0.113:0.128))
+    (IOPATH A2 Y (0.222:0.222:0.222) (0.100:0.100:0.100))
+    (IOPATH B1 Y (0.196:0.199:0.203) (0.077:0.089:0.101))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31oi_2")
+  (INSTANCE _5224_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.223:0.223:0.223) (0.178:0.178:0.178))
+    (IOPATH A2 Y (0.299:0.299:0.299) (0.179:0.179:0.179))
+    (IOPATH A3 Y (0.243:0.243:0.243) (0.129:0.129:0.129))
+    (IOPATH B1 Y (0.197:0.198:0.199) (0.057:0.057:0.057))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5225_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.186:0.203:0.220) (0.168:0.173:0.177))
+    (IOPATH B X (0.185:0.199:0.213) (0.201:0.206:0.210))
+    (IOPATH C X (0.187:0.187:0.187) (0.204:0.204:0.204))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _5226_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.157:0.159:0.161) (0.387:0.393:0.399))
+    (IOPATH B X (0.146:0.152:0.157) (0.360:0.375:0.390))
+    (IOPATH C X (0.122:0.123:0.123) (0.323:0.324:0.324))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5227_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.247:0.261:0.276) (0.201:0.204:0.208))
+    (IOPATH B X (0.243:0.243:0.243) (0.215:0.215:0.215))
+    (IOPATH C X (0.248:0.248:0.249) (0.246:0.246:0.246))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5228_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.133:0.139:0.146) (0.086:0.087:0.089))
+    (IOPATH B Y (0.115:0.115:0.116) (0.055:0.056:0.056))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5229_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.202:0.207:0.211) (0.167:0.187:0.207))
+    (IOPATH A Y (0.281:0.299:0.318) (0.143:0.149:0.156))
+    (IOPATH B Y (0.196:0.196:0.196) (0.162:0.167:0.172))
+    (IOPATH B Y (0.261:0.266:0.270) (0.124:0.124:0.124))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o2bb2a_1")
+  (INSTANCE _5230_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1_N X (0.335:0.335:0.335) (0.291:0.291:0.291))
+    (IOPATH A2_N X (0.363:0.363:0.363) (0.295:0.295:0.295))
+    (IOPATH B1 X (0.183:0.184:0.185) (0.311:0.311:0.312))
+    (IOPATH B2 X (0.191:0.193:0.194) (0.310:0.316:0.323))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _5231_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.244:0.244:0.244) (0.188:0.188:0.188))
+    (IOPATH B X (0.343:0.343:0.343) (0.319:0.319:0.319))
+    (IOPATH C X (0.254:0.254:0.254) (0.231:0.231:0.231))
+    (IOPATH D X (0.325:0.325:0.325) (0.363:0.363:0.363))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _5232_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.278:0.278:0.278) (0.175:0.175:0.175))
+    (IOPATH A2 Y (0.251:0.251:0.251) (0.141:0.141:0.141))
+    (IOPATH B1 Y (0.285:0.285:0.285) (0.164:0.164:0.164))
+    (IOPATH B2 Y (0.222:0.222:0.222) (0.116:0.116:0.116))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4bb_1")
+  (INSTANCE _5233_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.270:0.272:0.273) (0.205:0.205:0.206))
+    (IOPATH B_N X (0.301:0.314:0.328) (0.255:0.255:0.256))
+    (IOPATH C X (0.264:0.264:0.264) (0.263:0.263:0.263))
+    (IOPATH D X (0.304:0.304:0.304) (0.339:0.339:0.339))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5234_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.170:0.171:0.172) (0.078:0.080:0.081))
+    (IOPATH B Y (0.152:0.153:0.154) (0.067:0.068:0.069))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _5235_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.161:0.161:0.162) (0.411:0.412:0.413))
+    (IOPATH B X (0.139:0.139:0.139) (0.383:0.385:0.387))
+    (IOPATH C X (0.163:0.163:0.163) (0.344:0.345:0.346))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5236_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.155:0.155:0.155) (0.145:0.145:0.145))
+    (IOPATH B Y (0.163:0.163:0.163) (0.150:0.150:0.150))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5237_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.135:0.136:0.137) (0.074:0.074:0.075))
+    (IOPATH B Y (0.114:0.117:0.119) (0.052:0.052:0.052))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5238_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.175:0.175:0.175) (0.149:0.152:0.156))
+    (IOPATH A Y (0.238:0.241:0.244) (0.119:0.119:0.119))
+    (IOPATH B Y (0.186:0.186:0.186) (0.153:0.155:0.156))
+    (IOPATH B Y (0.226:0.228:0.229) (0.124:0.124:0.124))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _5239_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.121:0.121:0.122) (0.254:0.257:0.260))
+    (IOPATH B_N X (0.175:0.177:0.180) (0.249:0.258:0.267))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5240_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.151:0.162:0.173) (0.150:0.152:0.155))
+    (IOPATH A Y (0.209:0.211:0.213) (0.101:0.115:0.129))
+    (IOPATH B Y (0.132:0.132:0.132) (0.129:0.129:0.129))
+    (IOPATH B Y (0.175:0.175:0.175) (0.073:0.073:0.073))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5241_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.236:0.236:0.236) (0.101:0.102:0.102))
+    (IOPATH A2 Y (0.239:0.239:0.239) (0.096:0.096:0.096))
+    (IOPATH B1 Y (0.213:0.217:0.222) (0.072:0.085:0.098))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5242_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.211:0.211:0.211) (0.185:0.186:0.187))
+    (IOPATH B X (0.226:0.228:0.230) (0.196:0.202:0.209))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5243_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.246:0.250:0.253) (0.130:0.132:0.133))
+    (IOPATH A X (0.259:0.260:0.261) (0.186:0.190:0.193))
+    (IOPATH B X (0.233:0.238:0.243) (0.104:0.104:0.105))
+    (IOPATH B X (0.246:0.247:0.247) (0.173:0.178:0.184))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _5244_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.199:0.200:0.201) (0.176:0.179:0.181))
+    (IOPATH B Y (0.159:0.160:0.161) (0.184:0.184:0.184))
+    (IOPATH C Y (0.162:0.163:0.163) (0.168:0.168:0.168))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5245_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.202:0.204:0.206) (0.252:0.253:0.254))
+    (IOPATH A2 X (0.198:0.198:0.198) (0.232:0.232:0.232))
+    (IOPATH B1 X (0.173:0.173:0.173) (0.200:0.201:0.202))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5246_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.170:0.170:0.170) (0.177:0.177:0.177))
+    (IOPATH B Y (0.171:0.171:0.171) (0.168:0.168:0.168))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _5247_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.167:0.167:0.167) (0.417:0.418:0.418))
+    (IOPATH B X (0.148:0.151:0.155) (0.398:0.400:0.402))
+    (IOPATH C X (0.167:0.169:0.172) (0.355:0.357:0.359))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5248_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.244:0.245:0.246) (0.135:0.135:0.135))
+    (IOPATH A2 Y (0.230:0.233:0.235) (0.126:0.129:0.132))
+    (IOPATH B1 Y (0.162:0.165:0.168) (0.115:0.118:0.122))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _5249_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.204:0.204:0.204) (0.248:0.248:0.248))
+    (IOPATH A2 X (0.195:0.195:0.195) (0.274:0.274:0.274))
+    (IOPATH B1 X (0.198:0.198:0.198) (0.224:0.224:0.224))
+    (IOPATH B2 X (0.186:0.186:0.186) (0.244:0.244:0.244))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _5250_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.232:0.232:0.232) (0.191:0.191:0.191))
+    (IOPATH B X (0.245:0.245:0.245) (0.210:0.210:0.210))
+    (IOPATH C X (0.240:0.240:0.240) (0.230:0.230:0.230))
+    (IOPATH D X (0.246:0.246:0.246) (0.234:0.234:0.234))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _5251_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.204:0.204:0.204) (0.215:0.215:0.215))
+    (IOPATH A2 X (0.232:0.232:0.232) (0.287:0.287:0.287))
+    (IOPATH A3 X (0.184:0.184:0.184) (0.242:0.242:0.242))
+    (IOPATH B1 X (0.140:0.140:0.141) (0.206:0.207:0.208))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+  (INSTANCE _5252_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.295:0.295:0.296) (0.106:0.106:0.106))
+    (IOPATH A2 Y (0.297:0.299:0.301) (0.134:0.142:0.151))
+    (IOPATH B1 Y (0.253:0.253:0.254) (0.076:0.077:0.078))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5253_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.245:0.245:0.245) (0.217:0.218:0.218))
+    (IOPATH B X (0.273:0.281:0.289) (0.236:0.239:0.241))
+    (IOPATH C X (0.252:0.253:0.253) (0.236:0.236:0.237))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_2")
+  (INSTANCE _5254_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.232:0.237:0.241) (0.112:0.112:0.112))
+    (IOPATH A2 Y (0.186:0.194:0.203) (0.127:0.127:0.128))
+    (IOPATH B1_N Y (0.180:0.180:0.180) (0.232:0.233:0.234))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_4")
+  (INSTANCE _5255_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.142:0.145:0.147) (0.134:0.135:0.136))
+    (IOPATH B Y (0.131:0.131:0.131) (0.131:0.132:0.132))
+    (IOPATH C Y (0.139:0.141:0.143) (0.127:0.136:0.145))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5256_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.227:0.228:0.229) (0.251:0.253:0.255))
+    (IOPATH A2 X (0.224:0.225:0.225) (0.244:0.244:0.245))
+    (IOPATH B1 X (0.186:0.196:0.205) (0.222:0.224:0.226))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_4")
+  (INSTANCE _5257_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.329:0.329:0.329) (0.469:0.469:0.469))
+    (IOPATH B Y (0.329:0.329:0.329) (0.400:0.400:0.400))
+    (IOPATH C Y (0.344:0.346:0.348) (0.389:0.391:0.393))
+    (IOPATH D Y (0.319:0.319:0.319) (0.404:0.404:0.404))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _5258_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.224:0.224:0.224) (0.243:0.244:0.244))
+    (IOPATH A2 X (0.220:0.220:0.220) (0.211:0.218:0.224))
+    (IOPATH B1 X (0.213:0.221:0.229) (0.165:0.181:0.197))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211oi_4")
+  (INSTANCE _5259_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.632:0.633:0.635) (0.149:0.153:0.156))
+    (IOPATH A2 Y (0.764:0.768:0.771) (0.209:0.215:0.221))
+    (IOPATH B1 Y (0.603:0.607:0.610) (0.169:0.169:0.169))
+    (IOPATH C1 Y (0.559:0.560:0.561) (0.114:0.115:0.115))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5260_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.138:0.152:0.167) (0.135:0.141:0.147))
+    (IOPATH B X (0.144:0.144:0.144) (0.173:0.173:0.174))
+    (IOPATH C X (0.141:0.141:0.141) (0.170:0.170:0.170))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5261_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.178:0.180:0.182) (0.259:0.263:0.268))
+    (IOPATH B X (0.129:0.129:0.129) (0.231:0.232:0.232))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_1")
+  (INSTANCE _5262_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.504:0.504:0.504) (0.415:0.419:0.422))
+    (IOPATH A2 X (0.445:0.445:0.446) (0.404:0.405:0.406))
+    (IOPATH B1 X (0.450:0.453:0.456) (0.334:0.336:0.338))
+    (IOPATH C1 X (0.498:0.502:0.506) (0.440:0.444:0.447))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_2")
+  (INSTANCE _5263_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.306:0.321:0.335) (0.162:0.162:0.162))
+    (IOPATH B Y (0.277:0.278:0.278) (0.073:0.073:0.073))
+    (IOPATH C Y (0.294:0.296:0.297) (0.120:0.120:0.120))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or4_1")
+  (INSTANCE _5264_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.216:0.216:0.216) (0.595:0.598:0.600))
+    (IOPATH B X (0.181:0.181:0.181) (0.543:0.548:0.553))
+    (IOPATH C X (0.149:0.149:0.149) (0.510:0.511:0.511))
+    (IOPATH D X (0.227:0.227:0.227) (0.454:0.460:0.466))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o22ai_1")
+  (INSTANCE _5265_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.235:0.241:0.247) (0.154:0.154:0.154))
+    (IOPATH A2 Y (0.220:0.221:0.222) (0.113:0.113:0.113))
+    (IOPATH B1 Y (0.218:0.225:0.232) (0.227:0.228:0.228))
+    (IOPATH B2 Y (0.228:0.232:0.235) (0.168:0.168:0.168))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_1")
+  (INSTANCE _5266_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.295:0.295:0.295) (0.267:0.282:0.296))
+    (IOPATH A2 X (0.215:0.215:0.216) (0.231:0.251:0.271))
+    (IOPATH B1 X (0.187:0.188:0.189) (0.179:0.179:0.180))
+    (IOPATH C1 X (0.219:0.220:0.221) (0.147:0.166:0.186))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5267_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.107:0.108:0.109) (0.065:0.065:0.065))
+    (IOPATH B Y (0.085:0.091:0.096) (0.063:0.063:0.064))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5268_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.139:0.139:0.139) (0.246:0.246:0.247))
+    (IOPATH B X (0.118:0.118:0.119) (0.217:0.218:0.220))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211oi_1")
+  (INSTANCE _5269_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.313:0.313:0.314) (0.092:0.093:0.094))
+    (IOPATH A2 Y (0.331:0.347:0.364) (0.134:0.135:0.135))
+    (IOPATH B1 Y (0.317:0.332:0.347) (0.149:0.149:0.149))
+    (IOPATH C1 Y (0.262:0.278:0.294) (0.107:0.107:0.107))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_1")
+  (INSTANCE _5270_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.376:0.378:0.379) (0.093:0.094:0.095))
+    (IOPATH B Y (0.364:0.364:0.364) (0.079:0.080:0.080))
+    (IOPATH C Y (0.332:0.346:0.360) (0.137:0.140:0.142))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5271_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.153:0.154:0.155) (0.265:0.267:0.268))
+    (IOPATH B X (0.206:0.207:0.207) (0.249:0.258:0.266))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5272_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.152:0.154:0.155) (0.238:0.244:0.250))
+    (IOPATH B X (0.121:0.121:0.121) (0.226:0.226:0.226))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5273_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.165:0.166:0.167) (0.140:0.147:0.154))
+    (IOPATH B X (0.135:0.135:0.135) (0.166:0.166:0.166))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5274_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.217:0.217:0.218) (0.115:0.115:0.115))
+    (IOPATH A2 Y (0.229:0.229:0.229) (0.093:0.093:0.093))
+    (IOPATH B1 Y (0.196:0.196:0.196) (0.058:0.059:0.059))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5275_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.278:0.281:0.285) (0.127:0.146:0.165))
+    (IOPATH A X (0.268:0.278:0.289) (0.208:0.211:0.215))
+    (IOPATH B X (0.241:0.248:0.255) (0.143:0.146:0.148))
+    (IOPATH B X (0.277:0.278:0.279) (0.171:0.178:0.185))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+  (INSTANCE _5276_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.428:0.428:0.428) (0.413:0.413:0.413))
+    (IOPATH A2 X (0.352:0.352:0.352) (0.400:0.400:0.400))
+    (IOPATH B1 X (0.326:0.329:0.332) (0.350:0.352:0.353))
+    (IOPATH B2 X (0.345:0.345:0.345) (0.361:0.362:0.362))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _5277_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.179:0.179:0.179) (0.448:0.452:0.456))
+    (IOPATH B X (0.188:0.188:0.188) (0.415:0.415:0.416))
+    (IOPATH C X (0.210:0.210:0.211) (0.367:0.375:0.382))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5278_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.271:0.271:0.272) (0.153:0.153:0.153))
+    (IOPATH A2 Y (0.247:0.256:0.264) (0.166:0.167:0.168))
+    (IOPATH B1 Y (0.193:0.198:0.204) (0.137:0.137:0.137))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5279_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.156:0.156:0.156) (0.142:0.142:0.142))
+    (IOPATH B Y (0.171:0.171:0.171) (0.144:0.144:0.144))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5280_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.232:0.233:0.235) (0.216:0.216:0.216))
+    (IOPATH B X (0.173:0.173:0.174) (0.191:0.191:0.192))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _5281_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.192:0.193:0.194) (0.218:0.220:0.222))
+    (IOPATH A Y (0.340:0.341:0.343) (0.143:0.143:0.144))
+    (IOPATH B Y (0.186:0.186:0.186) (0.195:0.195:0.195))
+    (IOPATH B Y (0.299:0.299:0.299) (0.132:0.132:0.132))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _5282_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.364:0.364:0.364) (0.270:0.270:0.270))
+    (IOPATH B X (0.335:0.335:0.335) (0.287:0.287:0.287))
+    (IOPATH C X (0.340:0.340:0.340) (0.319:0.319:0.319))
+    (IOPATH D X (0.341:0.341:0.341) (0.306:0.306:0.306))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5283_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.181:0.203:0.224) (0.187:0.189:0.191))
+    (IOPATH B X (0.190:0.190:0.190) (0.193:0.194:0.195))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5284_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.372:0.372:0.372) (0.324:0.324:0.324))
+    (IOPATH A2 X (0.395:0.403:0.411) (0.348:0.350:0.351))
+    (IOPATH B1 X (0.340:0.340:0.340) (0.302:0.302:0.302))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5285_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.257:0.257:0.257) (0.239:0.239:0.239))
+    (IOPATH B X (0.245:0.245:0.245) (0.286:0.286:0.286))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_2")
+  (INSTANCE _5286_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.259:0.259:0.259) (0.281:0.281:0.281))
+    (IOPATH B Y (0.276:0.278:0.279) (0.318:0.328:0.339))
+    (IOPATH C Y (0.239:0.239:0.239) (0.286:0.287:0.287))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _5287_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.200:0.200:0.200) (0.249:0.249:0.250))
+    (IOPATH A2 X (0.159:0.159:0.159) (0.219:0.219:0.219))
+    (IOPATH B1_N X (0.321:0.322:0.323) (0.270:0.271:0.272))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _5288_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.232:0.235:0.238) (0.149:0.152:0.156))
+    (IOPATH B Y (0.148:0.148:0.149) (0.146:0.146:0.146))
+    (IOPATH C Y (0.103:0.104:0.104) (0.101:0.102:0.102))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5289_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.235:0.240:0.244) (0.321:0.325:0.329))
+    (IOPATH B X (0.230:0.230:0.230) (0.224:0.224:0.225))
+    (IOPATH C X (0.182:0.183:0.183) (0.195:0.195:0.196))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5290_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.324:0.327:0.331) (0.143:0.146:0.149))
+    (IOPATH A2 Y (0.233:0.233:0.233) (0.140:0.140:0.140))
+    (IOPATH B1 Y (0.170:0.170:0.170) (0.061:0.061:0.062))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5291_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.164:0.165:0.165) (0.181:0.184:0.188))
+    (IOPATH A Y (0.258:0.261:0.264) (0.110:0.110:0.111))
+    (IOPATH B Y (0.169:0.181:0.193) (0.162:0.164:0.166))
+    (IOPATH B Y (0.225:0.227:0.229) (0.106:0.122:0.137))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o2bb2a_1")
+  (INSTANCE _5292_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1_N X (0.325:0.325:0.325) (0.283:0.283:0.283))
+    (IOPATH A2_N X (0.350:0.350:0.350) (0.287:0.287:0.287))
+    (IOPATH B1 X (0.164:0.165:0.165) (0.296:0.297:0.298))
+    (IOPATH B2 X (0.178:0.179:0.179) (0.296:0.309:0.321))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5293_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.202:0.204:0.205) (0.082:0.084:0.085))
+    (IOPATH B Y (0.187:0.189:0.191) (0.068:0.068:0.068))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _5294_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.298:0.298:0.298) (0.242:0.242:0.242))
+    (IOPATH B X (0.300:0.300:0.300) (0.267:0.267:0.267))
+    (IOPATH C X (0.273:0.273:0.273) (0.280:0.280:0.280))
+    (IOPATH D X (0.285:0.285:0.285) (0.329:0.329:0.329))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5295_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.211:0.211:0.211) (0.167:0.169:0.171))
+    (IOPATH B X (0.181:0.182:0.182) (0.184:0.185:0.186))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5296_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.189:0.204:0.219) (0.178:0.182:0.186))
+    (IOPATH B X (0.192:0.192:0.193) (0.193:0.193:0.193))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5297_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.114:0.118:0.121) (0.060:0.071:0.081))
+    (IOPATH B Y (0.095:0.096:0.096) (0.054:0.054:0.055))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5298_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.128:0.129:0.129) (0.239:0.239:0.239))
+    (IOPATH B X (0.114:0.114:0.114) (0.210:0.213:0.217))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _5299_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.174:0.175:0.175) (0.432:0.433:0.433))
+    (IOPATH B X (0.199:0.201:0.202) (0.407:0.419:0.431))
+    (IOPATH C X (0.161:0.161:0.161) (0.373:0.373:0.373))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _5300_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.256:0.256:0.256) (0.222:0.237:0.252))
+    (IOPATH A2 X (0.201:0.201:0.201) (0.271:0.272:0.274))
+    (IOPATH B1 X (0.160:0.160:0.160) (0.133:0.133:0.133))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211o_1")
+  (INSTANCE _5301_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.208:0.217:0.227) (0.330:0.340:0.349))
+    (IOPATH A2 X (0.221:0.222:0.222) (0.380:0.380:0.380))
+    (IOPATH B1 X (0.231:0.231:0.232) (0.332:0.350:0.368))
+    (IOPATH C1 X (0.183:0.184:0.184) (0.292:0.293:0.294))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211ai_1")
+  (INSTANCE _5302_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.266:0.284:0.303) (0.191:0.191:0.192))
+    (IOPATH A2 Y (0.252:0.253:0.254) (0.129:0.129:0.130))
+    (IOPATH B1 Y (0.157:0.169:0.180) (0.140:0.149:0.158))
+    (IOPATH C1 Y (0.163:0.163:0.163) (0.146:0.146:0.147))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_2")
+  (INSTANCE _5303_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.126:0.126:0.126) (0.146:0.146:0.146))
+    (IOPATH B Y (0.155:0.156:0.156) (0.165:0.165:0.166))
+    (IOPATH C Y (0.154:0.160:0.166) (0.160:0.171:0.183))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _5304_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.177:0.178:0.179) (0.216:0.218:0.220))
+    (IOPATH A2 X (0.198:0.200:0.202) (0.208:0.224:0.241))
+    (IOPATH B1 X (0.164:0.164:0.164) (0.135:0.135:0.135))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211oi_2")
+  (INSTANCE _5305_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.341:0.342:0.342) (0.127:0.128:0.128))
+    (IOPATH A2 Y (0.390:0.391:0.392) (0.121:0.122:0.124))
+    (IOPATH B1 Y (0.341:0.342:0.343) (0.082:0.082:0.082))
+    (IOPATH C1 Y (0.294:0.301:0.308) (0.121:0.121:0.121))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5306_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.168:0.170:0.171) (0.143:0.150:0.157))
+    (IOPATH A Y (0.196:0.202:0.208) (0.126:0.127:0.128))
+    (IOPATH B Y (0.145:0.145:0.145) (0.147:0.147:0.148))
+    (IOPATH B Y (0.183:0.183:0.184) (0.088:0.088:0.088))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5307_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.181:0.181:0.181) (0.167:0.168:0.168))
+    (IOPATH A Y (0.258:0.258:0.258) (0.126:0.126:0.126))
+    (IOPATH B Y (0.166:0.177:0.188) (0.157:0.162:0.167))
+    (IOPATH B Y (0.232:0.237:0.242) (0.100:0.112:0.125))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5308_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.193:0.195:0.196) (0.101:0.102:0.102))
+    (IOPATH A2 Y (0.179:0.193:0.206) (0.112:0.113:0.115))
+    (IOPATH B1 Y (0.120:0.120:0.121) (0.088:0.088:0.088))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _5309_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.211:0.212:0.212) (0.160:0.161:0.163))
+    (IOPATH B Y (0.182:0.182:0.182) (0.176:0.176:0.176))
+    (IOPATH C Y (0.117:0.117:0.117) (0.123:0.123:0.123))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5310_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.181:0.182:0.183) (0.273:0.274:0.274))
+    (IOPATH A2 X (0.200:0.200:0.200) (0.249:0.249:0.249))
+    (IOPATH B1 X (0.122:0.122:0.122) (0.174:0.174:0.174))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+  (INSTANCE _5311_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.599:0.601:0.603) (0.242:0.272:0.303))
+    (IOPATH A X (0.562:0.576:0.590) (0.306:0.307:0.309))
+    (IOPATH B X (0.558:0.559:0.560) (0.250:0.251:0.251))
+    (IOPATH B X (0.572:0.572:0.572) (0.265:0.266:0.267))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5312_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.273:0.273:0.273) (0.234:0.234:0.234))
+    (IOPATH B X (0.264:0.264:0.264) (0.277:0.277:0.277))
+    (IOPATH C X (0.292:0.293:0.293) (0.251:0.276:0.301))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5313_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.192:0.196:0.201) (0.219:0.227:0.235))
+    (IOPATH A2 X (0.186:0.186:0.187) (0.223:0.224:0.224))
+    (IOPATH B1 X (0.167:0.167:0.168) (0.203:0.204:0.205))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5314_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.116:0.117:0.118) (0.082:0.083:0.083))
+    (IOPATH B Y (0.099:0.100:0.100) (0.052:0.053:0.053))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5315_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.214:0.214:0.215) (0.092:0.093:0.093))
+    (IOPATH B Y (0.189:0.193:0.197) (0.081:0.081:0.081))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _5316_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.156:0.164:0.173) (0.149:0.155:0.160))
+    (IOPATH B Y (0.153:0.153:0.153) (0.147:0.148:0.148))
+    (IOPATH C Y (0.151:0.152:0.153) (0.160:0.160:0.161))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _5317_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.143:0.143:0.143) (0.189:0.189:0.189))
+    (IOPATH A2 X (0.162:0.162:0.162) (0.203:0.204:0.205))
+    (IOPATH B1_N X (0.232:0.232:0.233) (0.231:0.232:0.234))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5318_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.217:0.217:0.217) (0.203:0.203:0.203))
+    (IOPATH B X (0.223:0.229:0.235) (0.205:0.206:0.207))
+    (IOPATH C X (0.206:0.206:0.206) (0.207:0.207:0.208))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5319_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.151:0.151:0.151) (0.197:0.197:0.198))
+    (IOPATH A2 X (0.156:0.161:0.166) (0.202:0.202:0.203))
+    (IOPATH B1 X (0.105:0.105:0.106) (0.167:0.167:0.167))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5320_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.278:0.279:0.280) (0.245:0.245:0.245))
+    (IOPATH B X (0.208:0.208:0.209) (0.208:0.208:0.209))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _5321_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.128:0.129:0.130) (0.112:0.114:0.115))
+    (IOPATH B Y (0.115:0.115:0.116) (0.115:0.115:0.115))
+    (IOPATH C Y (0.105:0.106:0.107) (0.133:0.133:0.133))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5322_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.145:0.147:0.148) (0.202:0.203:0.203))
+    (IOPATH A2 X (0.147:0.147:0.147) (0.197:0.198:0.198))
+    (IOPATH B1 X (0.129:0.129:0.129) (0.166:0.167:0.168))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5323_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.131:0.131:0.131) (0.122:0.122:0.122))
+    (IOPATH B Y (0.153:0.153:0.153) (0.117:0.117:0.117))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5324_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.290:0.290:0.290) (0.236:0.259:0.282))
+    (IOPATH A Y (0.387:0.408:0.429) (0.278:0.279:0.281))
+    (IOPATH B Y (0.216:0.218:0.219) (0.204:0.211:0.217))
+    (IOPATH B Y (0.342:0.348:0.353) (0.135:0.136:0.138))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _5325_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.277:0.277:0.277) (0.197:0.197:0.197))
+    (IOPATH A2 Y (0.292:0.292:0.292) (0.168:0.168:0.168))
+    (IOPATH B1 Y (0.235:0.235:0.235) (0.150:0.150:0.150))
+    (IOPATH B2 Y (0.262:0.262:0.262) (0.157:0.157:0.157))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+  (INSTANCE _5326_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.499:0.500:0.501) (0.167:0.167:0.168))
+    (IOPATH B Y (0.487:0.494:0.502) (0.175:0.178:0.180))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5327_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.301:0.301:0.301) (0.249:0.249:0.249))
+    (IOPATH B X (0.294:0.294:0.294) (0.299:0.299:0.299))
+    (IOPATH C X (0.312:0.312:0.312) (0.261:0.262:0.263))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5328_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.157:0.170:0.182) (0.168:0.184:0.200))
+    (IOPATH A Y (0.213:0.228:0.243) (0.113:0.129:0.145))
+    (IOPATH B Y (0.150:0.151:0.151) (0.143:0.144:0.144))
+    (IOPATH B Y (0.176:0.176:0.177) (0.094:0.094:0.094))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _5329_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.232:0.232:0.232) (0.151:0.151:0.151))
+    (IOPATH A2 Y (0.234:0.234:0.234) (0.141:0.141:0.141))
+    (IOPATH B1 Y (0.227:0.227:0.227) (0.118:0.118:0.118))
+    (IOPATH B2 Y (0.219:0.219:0.219) (0.126:0.126:0.126))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5330_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.152:0.152:0.152) (0.260:0.261:0.262))
+    (IOPATH B X (0.163:0.164:0.165) (0.255:0.263:0.270))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5331_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.130:0.138:0.145) (0.057:0.069:0.080))
+    (IOPATH B Y (0.125:0.125:0.126) (0.059:0.059:0.059))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5332_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.149:0.163:0.178) (0.190:0.204:0.218))
+    (IOPATH A2 X (0.144:0.144:0.144) (0.191:0.192:0.192))
+    (IOPATH B1 X (0.108:0.108:0.108) (0.153:0.156:0.159))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5333_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.250:0.251:0.251) (0.213:0.215:0.217))
+    (IOPATH B X (0.247:0.247:0.247) (0.216:0.216:0.216))
+    (IOPATH C X (0.250:0.250:0.251) (0.229:0.230:0.230))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5334_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.219:0.221:0.222) (0.097:0.098:0.099))
+    (IOPATH A2 Y (0.221:0.221:0.221) (0.092:0.092:0.092))
+    (IOPATH B1 Y (0.195:0.195:0.195) (0.058:0.059:0.059))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5335_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.116:0.129:0.142) (0.130:0.139:0.148))
+    (IOPATH B X (0.126:0.126:0.126) (0.160:0.161:0.161))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5336_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.132:0.132:0.132) (0.232:0.235:0.238))
+    (IOPATH B X (0.104:0.104:0.104) (0.212:0.212:0.213))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5337_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.226:0.226:0.226) (0.154:0.154:0.154))
+    (IOPATH A2 Y (0.263:0.263:0.263) (0.153:0.153:0.153))
+    (IOPATH B1 Y (0.194:0.195:0.197) (0.123:0.123:0.123))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5338_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.187:0.187:0.188) (0.093:0.093:0.093))
+    (IOPATH B Y (0.181:0.188:0.196) (0.089:0.091:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _5339_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.347:0.347:0.347) (0.264:0.264:0.264))
+    (IOPATH B X (0.343:0.343:0.343) (0.284:0.284:0.284))
+    (IOPATH C X (0.338:0.338:0.338) (0.301:0.301:0.301))
+    (IOPATH D X (0.325:0.325:0.325) (0.337:0.337:0.337))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5340_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.170:0.170:0.170) (0.145:0.145:0.145))
+    (IOPATH B Y (0.165:0.165:0.165) (0.138:0.138:0.138))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5341_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.157:0.157:0.157) (0.139:0.140:0.141))
+    (IOPATH A Y (0.190:0.190:0.191) (0.110:0.110:0.110))
+    (IOPATH B Y (0.152:0.153:0.153) (0.143:0.144:0.145))
+    (IOPATH B Y (0.177:0.178:0.179) (0.095:0.095:0.095))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5342_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.161:0.165:0.170) (0.071:0.072:0.073))
+    (IOPATH B Y (0.129:0.132:0.135) (0.056:0.067:0.078))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5343_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.165:0.166:0.167) (0.107:0.107:0.107))
+    (IOPATH A2 Y (0.189:0.190:0.191) (0.102:0.103:0.103))
+    (IOPATH B1 Y (0.149:0.152:0.155) (0.070:0.070:0.070))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5344_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.160:0.160:0.160) (0.061:0.061:0.061))
+    (IOPATH B Y (0.143:0.147:0.151) (0.080:0.081:0.083))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3b_1")
+  (INSTANCE _5345_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.383:0.384:0.384) (0.113:0.113:0.113))
+    (IOPATH B Y (0.352:0.357:0.363) (0.116:0.118:0.121))
+    (IOPATH C_N Y (0.377:0.377:0.377) (0.164:0.168:0.171))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5346_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.145:0.145:0.145) (0.134:0.134:0.134))
+    (IOPATH B Y (0.141:0.146:0.150) (0.185:0.185:0.186))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5347_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.200:0.200:0.201) (0.220:0.221:0.222))
+    (IOPATH A2 X (0.204:0.217:0.230) (0.243:0.248:0.253))
+    (IOPATH B1 X (0.167:0.167:0.167) (0.204:0.204:0.204))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5348_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.124:0.125:0.125) (0.163:0.164:0.164))
+    (IOPATH A2 X (0.157:0.157:0.157) (0.202:0.202:0.203))
+    (IOPATH B1 X (0.113:0.113:0.113) (0.167:0.168:0.168))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5349_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.299:0.300:0.302) (0.127:0.129:0.131))
+    (IOPATH A2 Y (0.293:0.294:0.294) (0.133:0.133:0.133))
+    (IOPATH B1 Y (0.255:0.255:0.256) (0.072:0.072:0.073))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5350_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.224:0.226:0.227) (0.215:0.217:0.219))
+    (IOPATH B X (0.230:0.231:0.231) (0.208:0.209:0.209))
+    (IOPATH C X (0.215:0.215:0.215) (0.208:0.208:0.209))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5351_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.123:0.123:0.123) (0.119:0.119:0.119))
+    (IOPATH B Y (0.131:0.131:0.132) (0.124:0.124:0.124))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5352_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.141:0.143:0.145) (0.105:0.106:0.108))
+    (IOPATH B Y (0.121:0.122:0.122) (0.108:0.108:0.109))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o32ai_4")
+  (INSTANCE _5353_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.326:0.330:0.335) (0.120:0.120:0.121))
+    (IOPATH A2 Y (0.294:0.301:0.309) (0.144:0.145:0.147))
+    (IOPATH A3 Y (0.250:0.250:0.251) (0.099:0.099:0.099))
+    (IOPATH B1 Y (0.162:0.162:0.163) (0.105:0.106:0.107))
+    (IOPATH B2 Y (0.131:0.131:0.132) (0.098:0.098:0.098))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_1")
+  (INSTANCE _5354_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.233:0.233:0.233) (0.237:0.245:0.253))
+    (IOPATH A2 X (0.159:0.159:0.159) (0.218:0.219:0.220))
+    (IOPATH B1 X (0.176:0.177:0.178) (0.175:0.176:0.178))
+    (IOPATH C1 X (0.178:0.178:0.178) (0.141:0.142:0.143))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5355_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.145:0.146:0.147) (0.179:0.181:0.182))
+    (IOPATH B X (0.147:0.147:0.148) (0.170:0.170:0.171))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a2bb2o_1")
+  (INSTANCE _5356_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1_N X (0.271:0.281:0.292) (0.335:0.336:0.337))
+    (IOPATH A2_N X (0.251:0.252:0.253) (0.290:0.290:0.291))
+    (IOPATH B1 X (0.143:0.143:0.143) (0.281:0.282:0.282))
+    (IOPATH B2 X (0.160:0.161:0.161) (0.260:0.261:0.262))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4bb_1")
+  (INSTANCE _5357_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.276:0.288:0.300) (0.242:0.243:0.245))
+    (IOPATH B_N X (0.284:0.286:0.288) (0.223:0.224:0.224))
+    (IOPATH C X (0.214:0.214:0.214) (0.197:0.197:0.198))
+    (IOPATH D X (0.233:0.233:0.234) (0.220:0.221:0.222))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5358_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.160:0.169:0.177) (0.174:0.180:0.186))
+    (IOPATH A2 X (0.138:0.138:0.138) (0.197:0.197:0.198))
+    (IOPATH B1 X (0.116:0.116:0.116) (0.169:0.170:0.171))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5359_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.271:0.273:0.276) (0.120:0.137:0.153))
+    (IOPATH A X (0.264:0.274:0.284) (0.200:0.203:0.205))
+    (IOPATH B X (0.241:0.242:0.242) (0.103:0.103:0.104))
+    (IOPATH B X (0.256:0.257:0.257) (0.171:0.171:0.171))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5360_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.137:0.151:0.166) (0.146:0.148:0.151))
+    (IOPATH B X (0.124:0.124:0.125) (0.151:0.152:0.152))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5361_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.227:0.237:0.248) (0.164:0.166:0.168))
+    (IOPATH A2 Y (0.248:0.256:0.264) (0.152:0.153:0.155))
+    (IOPATH B1 Y (0.210:0.211:0.211) (0.060:0.061:0.061))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5362_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.157:0.157:0.158) (0.187:0.187:0.187))
+    (IOPATH A2 X (0.162:0.162:0.163) (0.223:0.223:0.223))
+    (IOPATH B1 X (0.141:0.150:0.160) (0.192:0.195:0.198))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _5363_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.156:0.158:0.160) (0.241:0.248:0.254))
+    (IOPATH B_N X (0.194:0.198:0.202) (0.264:0.274:0.284))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211ai_1")
+  (INSTANCE _5364_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.300:0.308:0.317) (0.200:0.201:0.203))
+    (IOPATH A2 Y (0.279:0.294:0.309) (0.173:0.175:0.177))
+    (IOPATH B1 Y (0.167:0.167:0.168) (0.160:0.160:0.160))
+    (IOPATH C1 Y (0.155:0.155:0.155) (0.144:0.144:0.144))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3b_4")
+  (INSTANCE _5365_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.219:0.242:0.264) (0.476:0.480:0.485))
+    (IOPATH B X (0.211:0.211:0.211) (0.441:0.442:0.442))
+    (IOPATH C_N X (0.321:0.325:0.329) (0.458:0.468:0.479))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5366_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.096:0.097:0.097) (0.088:0.089:0.090))
+    (IOPATH B Y (0.101:0.101:0.101) (0.089:0.089:0.090))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _5367_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.232:0.232:0.232) (0.239:0.239:0.239))
+    (IOPATH A2 X (0.245:0.245:0.245) (0.313:0.313:0.313))
+    (IOPATH A3 X (0.184:0.184:0.184) (0.241:0.241:0.241))
+    (IOPATH B1 X (0.134:0.134:0.135) (0.206:0.206:0.207))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5368_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.149:0.150:0.150) (0.070:0.071:0.072))
+    (IOPATH B Y (0.134:0.137:0.140) (0.081:0.082:0.084))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5369_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.149:0.151:0.154) (0.074:0.087:0.100))
+    (IOPATH B Y (0.128:0.132:0.136) (0.085:0.086:0.087))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5370_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.146:0.146:0.146) (0.147:0.147:0.147))
+    (IOPATH B Y (0.124:0.124:0.124) (0.107:0.107:0.107))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _5371_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.231:0.231:0.231) (0.241:0.241:0.241))
+    (IOPATH A2 X (0.201:0.201:0.201) (0.252:0.252:0.252))
+    (IOPATH A3 X (0.202:0.202:0.202) (0.237:0.241:0.245))
+    (IOPATH B1 X (0.136:0.137:0.138) (0.206:0.207:0.207))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5372_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.222:0.222:0.222) (0.199:0.199:0.199))
+    (IOPATH B X (0.160:0.161:0.162) (0.188:0.188:0.188))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5373_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.143:0.165:0.186) (0.101:0.103:0.104))
+    (IOPATH B Y (0.120:0.120:0.120) (0.055:0.055:0.055))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5374_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.152:0.152:0.153) (0.148:0.149:0.150))
+    (IOPATH A Y (0.216:0.216:0.217) (0.100:0.100:0.101))
+    (IOPATH B Y (0.162:0.162:0.163) (0.137:0.145:0.153))
+    (IOPATH B Y (0.190:0.197:0.204) (0.101:0.102:0.103))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5375_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.166:0.167:0.168) (0.164:0.175:0.187))
+    (IOPATH A Y (0.249:0.258:0.268) (0.109:0.110:0.111))
+    (IOPATH B Y (0.166:0.177:0.188) (0.157:0.159:0.161))
+    (IOPATH B Y (0.226:0.228:0.230) (0.100:0.114:0.128))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _5376_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.186:0.205:0.224) (0.251:0.256:0.260))
+    (IOPATH A2 X (0.169:0.182:0.195) (0.235:0.237:0.240))
+    (IOPATH B1_N X (0.214:0.218:0.222) (0.227:0.229:0.230))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5377_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.324:0.327:0.330) (0.147:0.167:0.188))
+    (IOPATH A2 Y (0.338:0.339:0.339) (0.146:0.146:0.147))
+    (IOPATH B1 Y (0.311:0.311:0.312) (0.100:0.102:0.103))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5378_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.421:0.421:0.421) (0.299:0.299:0.299))
+    (IOPATH B X (0.408:0.408:0.409) (0.305:0.305:0.305))
+    (IOPATH C X (0.433:0.433:0.434) (0.352:0.358:0.364))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5379_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.328:0.334:0.340) (0.295:0.296:0.298))
+    (IOPATH B X (0.289:0.289:0.289) (0.261:0.262:0.263))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5380_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.139:0.139:0.139) (0.143:0.143:0.143))
+    (IOPATH B Y (0.126:0.126:0.126) (0.123:0.123:0.123))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _5381_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.431:0.431:0.431) (0.378:0.378:0.378))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5382_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.236:0.236:0.236) (0.142:0.142:0.142))
+    (IOPATH A2 Y (0.264:0.264:0.264) (0.174:0.174:0.174))
+    (IOPATH B1 Y (0.261:0.261:0.262) (0.126:0.126:0.126))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5383_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.221:0.221:0.221) (0.213:0.213:0.213))
+    (IOPATH B X (0.252:0.252:0.252) (0.250:0.250:0.250))
+    (IOPATH C X (0.248:0.248:0.248) (0.288:0.289:0.289))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5384_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.152:0.157:0.162) (0.083:0.085:0.088))
+    (IOPATH B Y (0.114:0.114:0.115) (0.053:0.053:0.054))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5385_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.145:0.145:0.146) (0.154:0.161:0.169))
+    (IOPATH A Y (0.211:0.218:0.224) (0.094:0.094:0.094))
+    (IOPATH B Y (0.152:0.153:0.153) (0.129:0.135:0.140))
+    (IOPATH B Y (0.173:0.178:0.182) (0.093:0.093:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _5386_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.230:0.230:0.230) (0.264:0.264:0.265))
+    (IOPATH A2 X (0.183:0.183:0.183) (0.223:0.223:0.223))
+    (IOPATH B1 X (0.162:0.175:0.188) (0.130:0.132:0.134))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_1")
+  (INSTANCE _5387_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.240:0.240:0.241) (0.115:0.115:0.115))
+    (IOPATH B Y (0.203:0.203:0.203) (0.084:0.084:0.084))
+    (IOPATH C Y (0.143:0.144:0.146) (0.051:0.060:0.068))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5388_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.165:0.166:0.167) (0.075:0.075:0.075))
+    (IOPATH B Y (0.142:0.153:0.163) (0.086:0.087:0.087))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5389_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.208:0.209:0.210) (0.169:0.183:0.197))
+    (IOPATH A Y (0.254:0.266:0.278) (0.168:0.169:0.171))
+    (IOPATH B Y (0.182:0.182:0.182) (0.151:0.154:0.156))
+    (IOPATH B Y (0.222:0.224:0.226) (0.119:0.119:0.119))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5390_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.229:0.237:0.245) (0.226:0.228:0.229))
+    (IOPATH B X (0.178:0.194:0.209) (0.200:0.204:0.209))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkinv_2")
+  (INSTANCE _5391_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.039:0.045:0.050) (0.060:0.061:0.062))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5392_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.184:0.201:0.218) (0.180:0.186:0.193))
+    (IOPATH B X (0.147:0.148:0.149) (0.172:0.172:0.173))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+  (INSTANCE _5393_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.161:0.161:0.161) (0.158:0.158:0.158))
+    (IOPATH B Y (0.144:0.144:0.144) (0.131:0.131:0.131))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _5394_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.209:0.209:0.209) (0.249:0.249:0.249))
+    (IOPATH A2 X (0.181:0.181:0.181) (0.253:0.253:0.253))
+    (IOPATH B1 X (0.193:0.193:0.193) (0.253:0.253:0.253))
+    (IOPATH B2 X (0.164:0.164:0.164) (0.219:0.219:0.219))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5395_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.227:0.230:0.233) (0.119:0.121:0.123))
+    (IOPATH A2 Y (0.208:0.214:0.220) (0.103:0.103:0.103))
+    (IOPATH B1 Y (0.122:0.122:0.123) (0.090:0.091:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _5396_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.370:0.370:0.370) (0.291:0.291:0.291))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _5397_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.218:0.218:0.218) (0.270:0.270:0.270))
+    (IOPATH A2 X (0.200:0.200:0.200) (0.267:0.267:0.267))
+    (IOPATH B1 X (0.191:0.191:0.191) (0.218:0.218:0.218))
+    (IOPATH B2 X (0.204:0.204:0.204) (0.267:0.267:0.267))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5398_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.138:0.138:0.138) (0.139:0.139:0.139))
+    (IOPATH B Y (0.126:0.127:0.128) (0.111:0.111:0.111))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5399_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.078:0.079:0.079) (0.070:0.070:0.071))
+    (IOPATH B Y (0.101:0.105:0.109) (0.083:0.084:0.084))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _5400_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.232:0.232:0.232) (0.240:0.240:0.240))
+    (IOPATH A2 X (0.211:0.211:0.211) (0.247:0.247:0.247))
+    (IOPATH A3 X (0.209:0.211:0.213) (0.240:0.246:0.252))
+    (IOPATH B1 X (0.140:0.140:0.140) (0.207:0.208:0.208))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5401_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.234:0.235:0.235) (0.094:0.095:0.097))
+    (IOPATH A X (0.223:0.224:0.225) (0.183:0.183:0.183))
+    (IOPATH B X (0.219:0.219:0.220) (0.100:0.101:0.102))
+    (IOPATH B X (0.235:0.236:0.236) (0.167:0.167:0.168))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5402_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.246:0.251:0.257) (0.117:0.117:0.117))
+    (IOPATH A X (0.229:0.229:0.229) (0.205:0.211:0.216))
+    (IOPATH B X (0.204:0.208:0.212) (0.131:0.132:0.134))
+    (IOPATH B X (0.238:0.239:0.240) (0.164:0.168:0.172))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5403_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.188:0.192:0.197) (0.169:0.173:0.176))
+    (IOPATH A Y (0.266:0.269:0.273) (0.131:0.138:0.144))
+    (IOPATH B Y (0.197:0.199:0.200) (0.161:0.170:0.179))
+    (IOPATH B Y (0.243:0.251:0.259) (0.135:0.136:0.138))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_2")
+  (INSTANCE _5404_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.413:0.413:0.413) (0.167:0.167:0.167))
+    (IOPATH A2 Y (0.392:0.392:0.392) (0.163:0.164:0.164))
+    (IOPATH B1 Y (0.207:0.211:0.215) (0.173:0.195:0.218))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _5405_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.107:0.107:0.108) (0.357:0.357:0.357))
+    (IOPATH B X (0.107:0.107:0.108) (0.333:0.333:0.334))
+    (IOPATH C X (0.114:0.128:0.143) (0.305:0.308:0.311))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5406_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.411:0.428:0.445) (0.319:0.323:0.327))
+    (IOPATH B X (0.357:0.358:0.358) (0.292:0.292:0.293))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5407_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.179:0.190:0.202) (0.169:0.178:0.187))
+    (IOPATH A Y (0.264:0.271:0.279) (0.120:0.136:0.152))
+    (IOPATH B Y (0.220:0.220:0.220) (0.213:0.213:0.214))
+    (IOPATH B Y (0.293:0.294:0.294) (0.170:0.170:0.170))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5408_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.203:0.203:0.204) (0.093:0.093:0.094))
+    (IOPATH A X (0.195:0.196:0.196) (0.178:0.179:0.179))
+    (IOPATH B X (0.191:0.198:0.205) (0.100:0.116:0.132))
+    (IOPATH B X (0.206:0.215:0.223) (0.167:0.174:0.181))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5409_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.154:0.165:0.176) (0.153:0.155:0.158))
+    (IOPATH A Y (0.214:0.216:0.219) (0.104:0.118:0.132))
+    (IOPATH B Y (0.165:0.167:0.168) (0.140:0.151:0.161))
+    (IOPATH B Y (0.187:0.196:0.206) (0.108:0.110:0.111))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5410_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.197:0.200:0.204) (0.118:0.118:0.118))
+    (IOPATH A2 Y (0.185:0.189:0.194) (0.104:0.104:0.104))
+    (IOPATH B1 Y (0.126:0.131:0.136) (0.101:0.117:0.132))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _5411_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.120:0.120:0.120) (0.353:0.355:0.358))
+    (IOPATH B X (0.117:0.117:0.117) (0.330:0.334:0.337))
+    (IOPATH C X (0.100:0.114:0.127) (0.296:0.300:0.304))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5412_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.189:0.195:0.201) (0.173:0.179:0.185))
+    (IOPATH B X (0.159:0.159:0.160) (0.187:0.187:0.187))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5413_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.226:0.227:0.228) (0.198:0.198:0.199))
+    (IOPATH A Y (0.363:0.364:0.364) (0.151:0.152:0.153))
+    (IOPATH B Y (0.231:0.231:0.231) (0.198:0.198:0.198))
+    (IOPATH B Y (0.347:0.347:0.347) (0.146:0.146:0.146))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5414_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.155:0.162:0.170) (0.098:0.105:0.112))
+    (IOPATH A2 Y (0.162:0.163:0.164) (0.077:0.077:0.078))
+    (IOPATH B1 Y (0.159:0.161:0.164) (0.070:0.078:0.086))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5415_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.273:0.282:0.291) (0.210:0.219:0.228))
+    (IOPATH B X (0.248:0.249:0.250) (0.220:0.221:0.221))
+    (IOPATH C X (0.275:0.291:0.308) (0.263:0.266:0.268))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5416_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.139:0.148:0.156) (0.072:0.074:0.077))
+    (IOPATH B Y (0.137:0.138:0.138) (0.077:0.077:0.077))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5417_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.129:0.129:0.129) (0.070:0.070:0.070))
+    (IOPATH A2 Y (0.150:0.150:0.150) (0.072:0.072:0.073))
+    (IOPATH B1 Y (0.101:0.102:0.104) (0.050:0.050:0.050))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5418_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.168:0.168:0.168) (0.294:0.294:0.294))
+    (IOPATH B X (0.166:0.166:0.166) (0.257:0.257:0.257))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_8")
+  (INSTANCE _5419_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.302:0.302:0.302) (0.254:0.254:0.255))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _5420_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.135:0.135:0.135) (0.182:0.182:0.182))
+    (IOPATH A2 X (0.139:0.140:0.140) (0.214:0.215:0.215))
+    (IOPATH A3 X (0.146:0.146:0.146) (0.201:0.203:0.205))
+    (IOPATH B1 X (0.133:0.133:0.133) (0.221:0.221:0.221))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5421_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.160:0.163:0.166) (0.268:0.269:0.270))
+    (IOPATH B X (0.145:0.145:0.146) (0.247:0.247:0.248))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+  (INSTANCE _5422_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.501:0.502:0.503) (0.414:0.425:0.437))
+    (IOPATH A2 X (0.442:0.442:0.443) (0.394:0.395:0.396))
+    (IOPATH B1 X (0.517:0.517:0.517) (0.308:0.308:0.308))
+    (IOPATH C1 X (0.449:0.449:0.449) (0.258:0.258:0.258))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _5423_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.346:0.346:0.346) (0.281:0.281:0.281))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5424_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.206:0.213:0.220) (0.214:0.221:0.229))
+    (IOPATH A2 X (0.185:0.186:0.186) (0.225:0.226:0.226))
+    (IOPATH B1 X (0.177:0.187:0.197) (0.225:0.227:0.230))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5425_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.080:0.081:0.082) (0.074:0.075:0.076))
+    (IOPATH B Y (0.089:0.089:0.090) (0.082:0.082:0.082))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5426_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.113:0.122:0.130) (0.104:0.121:0.138))
+    (IOPATH B Y (0.172:0.172:0.172) (0.164:0.164:0.164))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5427_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.205:0.209:0.213) (0.203:0.208:0.213))
+    (IOPATH B X (0.161:0.163:0.164) (0.164:0.174:0.183))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5428_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.236:0.239:0.242) (0.100:0.102:0.105))
+    (IOPATH B Y (0.216:0.222:0.228) (0.092:0.092:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5429_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.156:0.156:0.156) (0.143:0.143:0.143))
+    (IOPATH B Y (0.133:0.133:0.133) (0.113:0.113:0.113))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5430_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.156:0.156:0.156) (0.164:0.164:0.164))
+    (IOPATH B Y (0.141:0.141:0.141) (0.134:0.134:0.134))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+  (INSTANCE _5431_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.237:0.243:0.248) (0.106:0.107:0.107))
+    (IOPATH A X (0.210:0.211:0.212) (0.205:0.210:0.216))
+    (IOPATH B X (0.217:0.223:0.228) (0.107:0.107:0.107))
+    (IOPATH B X (0.221:0.222:0.222) (0.184:0.190:0.195))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5432_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.167:0.167:0.167) (0.142:0.144:0.145))
+    (IOPATH A Y (0.195:0.196:0.197) (0.122:0.122:0.122))
+    (IOPATH B Y (0.160:0.162:0.164) (0.135:0.140:0.146))
+    (IOPATH B Y (0.172:0.177:0.182) (0.103:0.105:0.107))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5433_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.132:0.133:0.133) (0.150:0.153:0.157))
+    (IOPATH B X (0.120:0.131:0.142) (0.149:0.154:0.159))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5434_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.172:0.175:0.178) (0.081:0.081:0.082))
+    (IOPATH B Y (0.141:0.146:0.150) (0.060:0.072:0.083))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5435_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.153:0.153:0.153) (0.265:0.265:0.266))
+    (IOPATH B X (0.184:0.184:0.184) (0.251:0.254:0.257))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5436_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.200:0.207:0.213) (0.093:0.093:0.094))
+    (IOPATH A X (0.185:0.185:0.185) (0.186:0.192:0.198))
+    (IOPATH B X (0.176:0.177:0.177) (0.093:0.093:0.093))
+    (IOPATH B X (0.190:0.190:0.190) (0.163:0.163:0.163))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5437_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.096:0.096:0.096) (0.219:0.219:0.219))
+    (IOPATH B X (0.119:0.121:0.122) (0.202:0.206:0.209))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5438_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.138:0.138:0.138) (0.116:0.117:0.117))
+    (IOPATH B Y (0.146:0.150:0.154) (0.147:0.149:0.151))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5439_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.115:0.115:0.115) (0.091:0.091:0.091))
+    (IOPATH B Y (0.154:0.155:0.157) (0.129:0.129:0.130))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5440_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.126:0.131:0.135) (0.067:0.068:0.068))
+    (IOPATH B Y (0.090:0.094:0.098) (0.064:0.064:0.065))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _5441_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.356:0.356:0.357) (0.301:0.302:0.302))
+    (IOPATH A2 X (0.373:0.373:0.374) (0.348:0.352:0.355))
+    (IOPATH A3 X (0.365:0.366:0.367) (0.344:0.344:0.345))
+    (IOPATH B1 X (0.319:0.319:0.320) (0.308:0.309:0.310))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _5442_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.261:0.261:0.261) (0.291:0.291:0.291))
+    (IOPATH A2 X (0.230:0.230:0.230) (0.309:0.309:0.309))
+    (IOPATH B1 X (0.223:0.223:0.223) (0.246:0.246:0.246))
+    (IOPATH B2 X (0.221:0.221:0.221) (0.288:0.288:0.288))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _5443_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.050:0.050:0.050) (0.035:0.035:0.035))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5444_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.229:0.229:0.229) (0.218:0.218:0.218))
+    (IOPATH B X (0.237:0.237:0.237) (0.225:0.225:0.225))
+    (IOPATH C X (0.257:0.257:0.257) (0.293:0.293:0.294))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5445_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.216:0.216:0.216) (0.067:0.068:0.068))
+    (IOPATH B Y (0.211:0.211:0.212) (0.083:0.083:0.084))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5446_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.178:0.178:0.178) (0.186:0.187:0.187))
+    (IOPATH A Y (0.230:0.230:0.231) (0.138:0.138:0.138))
+    (IOPATH B Y (0.168:0.168:0.168) (0.137:0.137:0.137))
+    (IOPATH B Y (0.169:0.169:0.170) (0.112:0.112:0.112))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5447_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.150:0.151:0.151) (0.267:0.268:0.270))
+    (IOPATH B X (0.132:0.144:0.156) (0.233:0.240:0.247))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5448_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.075:0.077:0.079) (0.064:0.065:0.066))
+    (IOPATH B Y (0.065:0.073:0.081) (0.056:0.065:0.074))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5449_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.163:0.163:0.163) (0.136:0.136:0.136))
+    (IOPATH B Y (0.153:0.156:0.159) (0.126:0.127:0.128))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5450_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.188:0.202:0.215) (0.226:0.230:0.233))
+    (IOPATH A2 X (0.161:0.164:0.166) (0.216:0.223:0.230))
+    (IOPATH B1 X (0.145:0.145:0.146) (0.213:0.213:0.213))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _5451_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.103:0.106:0.109) (0.094:0.104:0.113))
+    (IOPATH B Y (0.087:0.095:0.103) (0.076:0.078:0.080))
+    (IOPATH C Y (0.111:0.111:0.111) (0.091:0.092:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5452_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.169:0.169:0.170) (0.164:0.165:0.165))
+    (IOPATH B X (0.161:0.163:0.165) (0.179:0.193:0.207))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5453_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.219:0.233:0.246) (0.239:0.240:0.241))
+    (IOPATH B X (0.161:0.162:0.162) (0.165:0.168:0.170))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _5454_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.155:0.155:0.156) (0.206:0.212:0.218))
+    (IOPATH A2 X (0.156:0.158:0.160) (0.200:0.206:0.212))
+    (IOPATH B1_N X (0.223:0.224:0.225) (0.157:0.157:0.158))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _5455_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.163:0.163:0.164) (0.199:0.200:0.201))
+    (IOPATH A2 X (0.141:0.142:0.142) (0.180:0.180:0.180))
+    (IOPATH B1_N X (0.231:0.232:0.233) (0.160:0.160:0.160))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3b_1")
+  (INSTANCE _5456_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.114:0.115:0.115) (0.368:0.369:0.370))
+    (IOPATH B X (0.102:0.102:0.102) (0.341:0.341:0.341))
+    (IOPATH C_N X (0.154:0.155:0.156) (0.341:0.341:0.341))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5457_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.220:0.221:0.222) (0.203:0.203:0.203))
+    (IOPATH B X (0.149:0.149:0.149) (0.184:0.184:0.184))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5458_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.122:0.122:0.122) (0.117:0.117:0.117))
+    (IOPATH B Y (0.106:0.106:0.106) (0.101:0.101:0.101))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5459_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.158:0.158:0.158) (0.152:0.152:0.152))
+    (IOPATH A Y (0.229:0.229:0.229) (0.104:0.104:0.104))
+    (IOPATH B Y (0.152:0.153:0.155) (0.154:0.162:0.169))
+    (IOPATH B Y (0.215:0.222:0.228) (0.089:0.090:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5460_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.179:0.179:0.179) (0.164:0.165:0.165))
+    (IOPATH A Y (0.263:0.264:0.264) (0.120:0.120:0.120))
+    (IOPATH B Y (0.179:0.191:0.203) (0.166:0.169:0.171))
+    (IOPATH B Y (0.249:0.251:0.253) (0.111:0.126:0.141))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _5461_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.106:0.106:0.107) (0.222:0.222:0.223))
+    (IOPATH B_N X (0.162:0.171:0.179) (0.238:0.247:0.256))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5462_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.205:0.214:0.222) (0.195:0.208:0.220))
+    (IOPATH B X (0.134:0.135:0.135) (0.163:0.163:0.164))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5463_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.152:0.165:0.178) (0.184:0.186:0.188))
+    (IOPATH A2 X (0.134:0.134:0.134) (0.194:0.194:0.194))
+    (IOPATH B1 X (0.108:0.109:0.109) (0.168:0.168:0.168))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5464_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.221:0.224:0.227) (0.104:0.120:0.136))
+    (IOPATH A X (0.213:0.224:0.234) (0.189:0.191:0.194))
+    (IOPATH B X (0.190:0.191:0.191) (0.086:0.087:0.088))
+    (IOPATH B X (0.206:0.207:0.207) (0.157:0.158:0.158))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5465_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.151:0.173:0.194) (0.117:0.119:0.120))
+    (IOPATH A2 Y (0.129:0.129:0.129) (0.066:0.066:0.066))
+    (IOPATH B1 Y (0.086:0.086:0.087) (0.077:0.078:0.079))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _5466_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.105:0.106:0.107) (0.226:0.235:0.244))
+    (IOPATH B_N X (0.154:0.156:0.158) (0.230:0.239:0.248))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5467_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.091:0.098:0.104) (0.089:0.095:0.101))
+    (IOPATH B Y (0.100:0.100:0.100) (0.076:0.076:0.076))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5468_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.225:0.233:0.241) (0.123:0.125:0.126))
+    (IOPATH A X (0.238:0.239:0.240) (0.181:0.188:0.196))
+    (IOPATH B X (0.213:0.215:0.216) (0.097:0.098:0.099))
+    (IOPATH B X (0.226:0.226:0.227) (0.168:0.170:0.172))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5469_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.329:0.334:0.340) (0.157:0.166:0.174))
+    (IOPATH A2 Y (0.343:0.343:0.344) (0.135:0.136:0.137))
+    (IOPATH B1 Y (0.319:0.324:0.329) (0.135:0.137:0.139))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5470_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.157:0.164:0.170) (0.143:0.149:0.155))
+    (IOPATH B X (0.140:0.140:0.141) (0.156:0.157:0.157))
+    (IOPATH C X (0.173:0.174:0.175) (0.173:0.179:0.186))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5471_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.282:0.283:0.285) (0.329:0.336:0.343))
+    (IOPATH B X (0.213:0.213:0.213) (0.284:0.285:0.285))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a311oi_4")
+  (INSTANCE _5472_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.348:0.348:0.348) (0.136:0.136:0.136))
+    (IOPATH A2 Y (0.399:0.399:0.400) (0.140:0.140:0.141))
+    (IOPATH A3 Y (0.421:0.422:0.422) (0.146:0.146:0.146))
+    (IOPATH B1 Y (0.399:0.399:0.400) (0.091:0.091:0.091))
+    (IOPATH C1 Y (0.357:0.357:0.357) (0.085:0.085:0.085))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _5473_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.144:0.144:0.144) (0.189:0.189:0.190))
+    (IOPATH A2 X (0.148:0.149:0.149) (0.223:0.223:0.223))
+    (IOPATH A3 X (0.155:0.155:0.155) (0.221:0.221:0.222))
+    (IOPATH B1 X (0.121:0.121:0.121) (0.193:0.193:0.194))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5474_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.166:0.167:0.167) (0.174:0.174:0.174))
+    (IOPATH B X (0.124:0.124:0.124) (0.151:0.151:0.152))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5475_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.244:0.254:0.263) (0.153:0.159:0.165))
+    (IOPATH B Y (0.217:0.217:0.218) (0.075:0.075:0.076))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5476_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.238:0.238:0.238) (0.213:0.213:0.213))
+    (IOPATH B X (0.185:0.185:0.185) (0.194:0.194:0.194))
+    (IOPATH C X (0.188:0.189:0.191) (0.184:0.188:0.191))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5477_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.224:0.224:0.225) (0.105:0.106:0.107))
+    (IOPATH A2 Y (0.241:0.242:0.242) (0.106:0.107:0.107))
+    (IOPATH B1 Y (0.210:0.211:0.211) (0.066:0.067:0.068))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5478_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.227:0.233:0.239) (0.209:0.223:0.236))
+    (IOPATH B X (0.160:0.165:0.171) (0.176:0.181:0.187))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5479_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.204:0.212:0.220) (0.213:0.214:0.215))
+    (IOPATH B X (0.153:0.167:0.180) (0.179:0.185:0.191))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5480_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.195:0.195:0.196) (0.173:0.173:0.174))
+    (IOPATH B X (0.220:0.220:0.221) (0.219:0.224:0.229))
+    (IOPATH C X (0.214:0.227:0.239) (0.219:0.223:0.226))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5481_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.094:0.094:0.094) (0.095:0.095:0.095))
+    (IOPATH B Y (0.132:0.132:0.132) (0.110:0.110:0.110))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5482_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.117:0.117:0.117) (0.121:0.121:0.121))
+    (IOPATH B Y (0.182:0.182:0.182) (0.151:0.151:0.151))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5483_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.230:0.233:0.237) (0.103:0.105:0.107))
+    (IOPATH A X (0.213:0.215:0.216) (0.195:0.199:0.202))
+    (IOPATH B X (0.207:0.210:0.212) (0.094:0.097:0.101))
+    (IOPATH B X (0.212:0.214:0.216) (0.174:0.176:0.179))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5484_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.247:0.252:0.256) (0.113:0.113:0.114))
+    (IOPATH A X (0.231:0.231:0.231) (0.202:0.207:0.211))
+    (IOPATH B X (0.207:0.210:0.214) (0.123:0.125:0.127))
+    (IOPATH B X (0.239:0.240:0.241) (0.162:0.166:0.170))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5485_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.155:0.155:0.156) (0.159:0.159:0.159))
+    (IOPATH A Y (0.212:0.212:0.212) (0.107:0.107:0.107))
+    (IOPATH B Y (0.166:0.167:0.168) (0.138:0.146:0.153))
+    (IOPATH B Y (0.177:0.185:0.192) (0.109:0.111:0.112))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5486_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.221:0.223:0.226) (0.321:0.321:0.321))
+    (IOPATH B X (0.224:0.236:0.248) (0.296:0.299:0.301))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5487_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.068:0.068:0.069) (0.052:0.054:0.056))
+    (IOPATH B Y (0.068:0.071:0.075) (0.059:0.068:0.078))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5488_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.208:0.208:0.208) (0.202:0.202:0.202))
+    (IOPATH B X (0.165:0.166:0.167) (0.178:0.180:0.183))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5489_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.259:0.259:0.259) (0.221:0.222:0.223))
+    (IOPATH A Y (0.419:0.419:0.420) (0.180:0.180:0.180))
+    (IOPATH B Y (0.259:0.259:0.259) (0.221:0.221:0.221))
+    (IOPATH B Y (0.401:0.402:0.402) (0.169:0.169:0.169))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _5490_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.056:0.057:0.057) (0.043:0.043:0.043))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5491_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.112:0.112:0.112) (0.040:0.040:0.040))
+    (IOPATH B Y (0.107:0.111:0.115) (0.073:0.074:0.075))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _5492_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.410:0.410:0.410) (0.330:0.330:0.330))
+    (IOPATH A2 X (0.424:0.424:0.424) (0.374:0.374:0.374))
+    (IOPATH A3 X (0.416:0.416:0.417) (0.371:0.371:0.372))
+    (IOPATH B1 X (0.371:0.371:0.371) (0.326:0.331:0.336))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5493_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.227:0.227:0.227) (0.209:0.209:0.209))
+    (IOPATH B Y (0.229:0.229:0.229) (0.228:0.228:0.228))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _5494_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.218:0.218:0.218) (0.273:0.273:0.273))
+    (IOPATH A2 X (0.241:0.241:0.241) (0.306:0.306:0.306))
+    (IOPATH B1 X (0.214:0.214:0.214) (0.243:0.243:0.243))
+    (IOPATH B2 X (0.204:0.204:0.204) (0.277:0.277:0.277))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _5495_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.150:0.150:0.150) (0.200:0.200:0.200))
+    (IOPATH A2 X (0.148:0.148:0.149) (0.223:0.225:0.227))
+    (IOPATH B1 X (0.131:0.132:0.132) (0.112:0.112:0.112))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5496_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.185:0.185:0.185) (0.200:0.200:0.201))
+    (IOPATH A Y (0.245:0.245:0.245) (0.147:0.147:0.147))
+    (IOPATH B Y (0.128:0.128:0.128) (0.127:0.128:0.129))
+    (IOPATH B Y (0.162:0.163:0.164) (0.071:0.071:0.071))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5497_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.201:0.216:0.231) (0.310:0.313:0.315))
+    (IOPATH B X (0.159:0.171:0.183) (0.252:0.260:0.269))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5498_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.127:0.130:0.132) (0.114:0.129:0.144))
+    (IOPATH B Y (0.088:0.099:0.109) (0.077:0.088:0.100))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5499_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.088:0.088:0.088) (0.079:0.080:0.080))
+    (IOPATH B Y (0.083:0.098:0.114) (0.069:0.070:0.072))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _5500_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.222:0.222:0.222) (0.308:0.309:0.310))
+    (IOPATH B_N X (0.276:0.280:0.283) (0.324:0.334:0.344))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _5501_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.152:0.165:0.178) (0.198:0.206:0.213))
+    (IOPATH A2 X (0.154:0.172:0.190) (0.232:0.235:0.239))
+    (IOPATH B1_N X (0.235:0.235:0.235) (0.249:0.249:0.249))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5502_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.265:0.267:0.269) (0.106:0.108:0.109))
+    (IOPATH A X (0.256:0.257:0.258) (0.190:0.192:0.194))
+    (IOPATH B X (0.250:0.251:0.251) (0.107:0.108:0.108))
+    (IOPATH B X (0.264:0.265:0.265) (0.175:0.175:0.176))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5503_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.226:0.234:0.242) (0.235:0.236:0.237))
+    (IOPATH B X (0.163:0.163:0.163) (0.165:0.169:0.172))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _5504_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.147:0.149:0.151) (0.206:0.208:0.211))
+    (IOPATH A2 X (0.154:0.155:0.156) (0.178:0.194:0.210))
+    (IOPATH B1_N X (0.217:0.217:0.218) (0.152:0.153:0.154))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _5505_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.163:0.164:0.164) (0.199:0.200:0.201))
+    (IOPATH A2 X (0.140:0.140:0.140) (0.179:0.179:0.179))
+    (IOPATH B1_N X (0.229:0.230:0.231) (0.156:0.156:0.156))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3b_1")
+  (INSTANCE _5506_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.113:0.113:0.113) (0.364:0.365:0.366))
+    (IOPATH B X (0.097:0.098:0.098) (0.336:0.336:0.336))
+    (IOPATH C_N X (0.149:0.150:0.151) (0.335:0.335:0.335))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5507_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.219:0.220:0.221) (0.202:0.202:0.202))
+    (IOPATH B X (0.147:0.147:0.147) (0.182:0.182:0.182))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5508_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.100:0.100:0.100) (0.101:0.101:0.101))
+    (IOPATH B Y (0.142:0.142:0.142) (0.128:0.128:0.128))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5509_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.228:0.228:0.228) (0.098:0.098:0.098))
+    (IOPATH A X (0.221:0.221:0.221) (0.182:0.182:0.182))
+    (IOPATH B X (0.219:0.222:0.225) (0.089:0.092:0.096))
+    (IOPATH B X (0.222:0.224:0.226) (0.172:0.176:0.179))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5510_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.217:0.222:0.226) (0.137:0.138:0.140))
+    (IOPATH A X (0.234:0.235:0.236) (0.184:0.189:0.194))
+    (IOPATH B X (0.193:0.197:0.200) (0.124:0.126:0.127))
+    (IOPATH B X (0.227:0.228:0.229) (0.161:0.164:0.168))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5511_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.214:0.214:0.214) (0.107:0.107:0.107))
+    (IOPATH A2 Y (0.202:0.202:0.202) (0.093:0.093:0.093))
+    (IOPATH B1 Y (0.136:0.145:0.153) (0.138:0.140:0.142))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _5512_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.097:0.097:0.097) (0.351:0.351:0.351))
+    (IOPATH B X (0.095:0.095:0.095) (0.328:0.328:0.328))
+    (IOPATH C X (0.124:0.126:0.127) (0.293:0.300:0.306))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5513_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.175:0.182:0.189) (0.164:0.171:0.178))
+    (IOPATH B X (0.141:0.142:0.142) (0.176:0.176:0.176))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5514_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.247:0.249:0.250) (0.194:0.201:0.209))
+    (IOPATH A Y (0.351:0.357:0.364) (0.186:0.189:0.191))
+    (IOPATH B Y (0.218:0.219:0.219) (0.191:0.192:0.192))
+    (IOPATH B Y (0.332:0.332:0.333) (0.137:0.137:0.137))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5515_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.181:0.206:0.230) (0.200:0.205:0.209))
+    (IOPATH B X (0.156:0.157:0.158) (0.157:0.174:0.191))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _5516_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.197:0.199:0.201) (0.205:0.215:0.224))
+    (IOPATH A2 X (0.202:0.202:0.203) (0.206:0.218:0.230))
+    (IOPATH B1_N X (0.236:0.236:0.237) (0.156:0.156:0.157))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5517_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.485:0.489:0.493) (0.212:0.238:0.263))
+    (IOPATH A X (0.471:0.481:0.491) (0.269:0.273:0.277))
+    (IOPATH B X (0.439:0.440:0.440) (0.179:0.179:0.180))
+    (IOPATH B X (0.454:0.454:0.454) (0.222:0.223:0.224))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3b_1")
+  (INSTANCE _5518_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N Y (0.148:0.162:0.177) (0.195:0.201:0.207))
+    (IOPATH B Y (0.108:0.118:0.129) (0.134:0.135:0.137))
+    (IOPATH C Y (0.108:0.116:0.125) (0.137:0.138:0.140))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or4b_1")
+  (INSTANCE _5519_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.158:0.177:0.196) (0.561:0.565:0.569))
+    (IOPATH B X (0.168:0.168:0.168) (0.545:0.545:0.546))
+    (IOPATH C X (0.142:0.142:0.142) (0.503:0.503:0.503))
+    (IOPATH D_N X (0.189:0.199:0.209) (0.491:0.492:0.492))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _5520_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.161:0.162:0.162) (0.220:0.220:0.221))
+    (IOPATH A2 X (0.154:0.176:0.198) (0.249:0.253:0.257))
+    (IOPATH B1 X (0.140:0.140:0.141) (0.185:0.186:0.186))
+    (IOPATH B2 X (0.162:0.164:0.166) (0.200:0.212:0.223))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _5521_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.158:0.159:0.159) (0.205:0.205:0.206))
+    (IOPATH A2 X (0.137:0.152:0.168) (0.197:0.201:0.205))
+    (IOPATH B1 X (0.123:0.124:0.124) (0.106:0.106:0.107))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _5522_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.053:0.054:0.055) (0.039:0.039:0.039))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_1")
+  (INSTANCE _5523_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.102:0.116:0.131) (0.148:0.148:0.149))
+    (IOPATH B Y (0.097:0.101:0.105) (0.090:0.092:0.094))
+    (IOPATH C Y (0.114:0.114:0.114) (0.093:0.093:0.093))
+    (IOPATH D Y (0.075:0.075:0.075) (0.089:0.089:0.089))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_2")
+  (INSTANCE _5524_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.446:0.448:0.450) (0.371:0.374:0.377))
+    (IOPATH A2 X (0.449:0.449:0.450) (0.415:0.415:0.415))
+    (IOPATH A3 X (0.444:0.444:0.444) (0.393:0.393:0.394))
+    (IOPATH B1 X (0.483:0.484:0.485) (0.404:0.422:0.439))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5525_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.152:0.152:0.152) (0.170:0.170:0.170))
+    (IOPATH B X (0.179:0.179:0.179) (0.201:0.201:0.201))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _5526_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.333:0.334:0.334) (0.273:0.273:0.273))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5527_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.134:0.137:0.140) (0.144:0.160:0.176))
+    (IOPATH B X (0.221:0.221:0.221) (0.225:0.226:0.227))
+    (IOPATH C X (0.197:0.197:0.197) (0.250:0.250:0.250))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5528_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.227:0.232:0.237) (0.209:0.223:0.236))
+    (IOPATH B X (0.146:0.146:0.147) (0.170:0.170:0.171))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5529_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.158:0.159:0.160) (0.156:0.156:0.157))
+    (IOPATH B X (0.163:0.178:0.193) (0.183:0.190:0.196))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _5530_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.136:0.137:0.137) (0.179:0.180:0.181))
+    (IOPATH A2 X (0.138:0.138:0.138) (0.178:0.178:0.179))
+    (IOPATH B1_N X (0.221:0.233:0.245) (0.178:0.180:0.182))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _5531_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.249:0.249:0.249) (0.250:0.250:0.250))
+    (IOPATH A2 X (0.220:0.220:0.220) (0.265:0.265:0.265))
+    (IOPATH A3 X (0.205:0.217:0.229) (0.258:0.261:0.263))
+    (IOPATH B1 X (0.144:0.145:0.145) (0.213:0.214:0.215))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5532_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.245:0.248:0.250) (0.220:0.230:0.240))
+    (IOPATH B X (0.192:0.207:0.222) (0.208:0.212:0.215))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5533_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.227:0.227:0.228) (0.098:0.099:0.101))
+    (IOPATH B Y (0.207:0.213:0.218) (0.090:0.091:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5534_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.132:0.132:0.132) (0.138:0.138:0.138))
+    (IOPATH B Y (0.124:0.124:0.124) (0.120:0.120:0.120))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5535_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.276:0.282:0.288) (0.113:0.115:0.117))
+    (IOPATH A X (0.260:0.262:0.263) (0.203:0.209:0.214))
+    (IOPATH B X (0.259:0.264:0.269) (0.111:0.111:0.111))
+    (IOPATH B X (0.265:0.265:0.265) (0.185:0.191:0.196))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5536_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.172:0.172:0.172) (0.147:0.148:0.149))
+    (IOPATH A Y (0.208:0.209:0.211) (0.126:0.126:0.126))
+    (IOPATH B Y (0.180:0.181:0.182) (0.146:0.151:0.157))
+    (IOPATH B Y (0.192:0.197:0.201) (0.125:0.127:0.128))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5537_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.131:0.131:0.131) (0.146:0.146:0.146))
+    (IOPATH B X (0.121:0.132:0.144) (0.150:0.155:0.160))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5538_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.173:0.173:0.173) (0.083:0.083:0.083))
+    (IOPATH B Y (0.147:0.151:0.155) (0.064:0.076:0.087))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5539_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.148:0.148:0.148) (0.262:0.262:0.262))
+    (IOPATH B X (0.180:0.181:0.181) (0.248:0.252:0.255))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5540_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.260:0.266:0.272) (0.116:0.117:0.118))
+    (IOPATH A X (0.244:0.245:0.246) (0.205:0.211:0.216))
+    (IOPATH B X (0.234:0.234:0.234) (0.113:0.113:0.113))
+    (IOPATH B X (0.247:0.247:0.247) (0.179:0.179:0.180))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5541_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.228:0.228:0.228) (0.202:0.202:0.202))
+    (IOPATH A Y (0.359:0.359:0.359) (0.157:0.158:0.158))
+    (IOPATH B Y (0.250:0.251:0.252) (0.198:0.203:0.208))
+    (IOPATH B Y (0.339:0.344:0.348) (0.180:0.182:0.184))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _5542_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.056:0.057:0.058) (0.042:0.042:0.042))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5543_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.095:0.095:0.095) (0.035:0.035:0.036))
+    (IOPATH B Y (0.088:0.093:0.097) (0.061:0.062:0.063))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _5544_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.280:0.281:0.281) (0.263:0.263:0.263))
+    (IOPATH A2 X (0.298:0.298:0.298) (0.307:0.307:0.307))
+    (IOPATH A3 X (0.297:0.297:0.298) (0.310:0.310:0.311))
+    (IOPATH B1 X (0.242:0.242:0.242) (0.261:0.266:0.270))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _5545_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.256:0.256:0.256) (0.287:0.287:0.287))
+    (IOPATH A2 X (0.241:0.241:0.241) (0.304:0.304:0.304))
+    (IOPATH B1 X (0.212:0.212:0.212) (0.257:0.257:0.257))
+    (IOPATH B2 X (0.217:0.217:0.217) (0.260:0.260:0.260))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _5546_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.047:0.047:0.047) (0.033:0.033:0.033))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _5547_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.292:0.292:0.292) (0.234:0.234:0.234))
+    (IOPATH B X (0.276:0.276:0.276) (0.236:0.236:0.236))
+    (IOPATH C X (0.280:0.280:0.280) (0.267:0.267:0.267))
+    (IOPATH D X (0.263:0.263:0.263) (0.281:0.281:0.281))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5548_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.120:0.120:0.120) (0.041:0.041:0.041))
+    (IOPATH B Y (0.115:0.116:0.117) (0.058:0.058:0.059))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5549_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.172:0.172:0.172) (0.171:0.171:0.172))
+    (IOPATH A Y (0.223:0.224:0.224) (0.128:0.128:0.128))
+    (IOPATH B Y (0.151:0.151:0.151) (0.128:0.130:0.131))
+    (IOPATH B Y (0.170:0.171:0.173) (0.092:0.092:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5550_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.218:0.233:0.248) (0.317:0.319:0.321))
+    (IOPATH B X (0.187:0.199:0.211) (0.271:0.276:0.280))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5551_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.140:0.142:0.145) (0.129:0.149:0.169))
+    (IOPATH B Y (0.114:0.120:0.125) (0.098:0.111:0.124))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5552_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.095:0.095:0.095) (0.085:0.085:0.085))
+    (IOPATH B Y (0.092:0.104:0.116) (0.076:0.077:0.077))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _5553_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.191:0.191:0.191) (0.273:0.279:0.285))
+    (IOPATH B_N X (0.229:0.233:0.238) (0.286:0.298:0.309))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _5554_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.159:0.172:0.185) (0.204:0.211:0.219))
+    (IOPATH A2 X (0.158:0.176:0.194) (0.235:0.237:0.240))
+    (IOPATH B1_N X (0.228:0.228:0.228) (0.244:0.244:0.244))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5555_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.159:0.160:0.162) (0.153:0.156:0.159))
+    (IOPATH A Y (0.241:0.244:0.246) (0.101:0.102:0.103))
+    (IOPATH B Y (0.164:0.164:0.165) (0.155:0.155:0.156))
+    (IOPATH B Y (0.226:0.227:0.227) (0.098:0.098:0.099))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5556_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.244:0.245:0.247) (0.242:0.243:0.244))
+    (IOPATH B X (0.167:0.167:0.167) (0.170:0.172:0.173))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _5557_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.150:0.151:0.151) (0.202:0.208:0.214))
+    (IOPATH A2 X (0.161:0.162:0.163) (0.198:0.209:0.220))
+    (IOPATH B1_N X (0.238:0.239:0.240) (0.179:0.179:0.179))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _5558_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.169:0.169:0.169) (0.203:0.204:0.205))
+    (IOPATH A2 X (0.144:0.144:0.144) (0.182:0.182:0.182))
+    (IOPATH B1_N X (0.231:0.232:0.233) (0.159:0.159:0.159))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3b_1")
+  (INSTANCE _5559_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.120:0.120:0.120) (0.369:0.370:0.371))
+    (IOPATH B X (0.103:0.103:0.103) (0.340:0.340:0.340))
+    (IOPATH C_N X (0.151:0.152:0.153) (0.338:0.338:0.338))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5560_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.220:0.221:0.221) (0.202:0.203:0.203))
+    (IOPATH B X (0.148:0.148:0.148) (0.183:0.183:0.183))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5561_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.120:0.120:0.120) (0.123:0.123:0.123))
+    (IOPATH B Y (0.111:0.111:0.111) (0.108:0.108:0.108))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5562_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.176:0.176:0.176) (0.164:0.164:0.164))
+    (IOPATH A Y (0.265:0.265:0.265) (0.116:0.116:0.116))
+    (IOPATH B Y (0.172:0.173:0.173) (0.169:0.175:0.181))
+    (IOPATH B Y (0.254:0.259:0.265) (0.104:0.104:0.104))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5563_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.206:0.218:0.229) (0.188:0.190:0.193))
+    (IOPATH A Y (0.316:0.319:0.321) (0.141:0.158:0.175))
+    (IOPATH B Y (0.210:0.223:0.235) (0.191:0.193:0.195))
+    (IOPATH B Y (0.303:0.305:0.307) (0.136:0.155:0.175))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5564_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.119:0.126:0.132) (0.077:0.079:0.080))
+    (IOPATH B Y (0.112:0.116:0.121) (0.060:0.068:0.076))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5565_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.158:0.171:0.184) (0.189:0.191:0.194))
+    (IOPATH A2 X (0.183:0.184:0.186) (0.204:0.216:0.227))
+    (IOPATH B1 X (0.125:0.126:0.126) (0.175:0.179:0.184))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5566_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.236:0.240:0.244) (0.115:0.132:0.149))
+    (IOPATH A X (0.224:0.234:0.245) (0.199:0.203:0.207))
+    (IOPATH B X (0.197:0.198:0.198) (0.092:0.092:0.093))
+    (IOPATH B X (0.213:0.214:0.214) (0.161:0.162:0.162))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5567_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.175:0.175:0.176) (0.165:0.165:0.166))
+    (IOPATH A Y (0.252:0.253:0.253) (0.119:0.120:0.120))
+    (IOPATH B Y (0.190:0.191:0.192) (0.157:0.167:0.177))
+    (IOPATH B Y (0.229:0.238:0.247) (0.129:0.131:0.132))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5568_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.201:0.201:0.201) (0.101:0.101:0.101))
+    (IOPATH A2 Y (0.190:0.190:0.190) (0.091:0.091:0.092))
+    (IOPATH B1 Y (0.138:0.140:0.143) (0.114:0.132:0.150))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _5569_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.105:0.105:0.105) (0.365:0.365:0.365))
+    (IOPATH B X (0.108:0.108:0.109) (0.342:0.342:0.342))
+    (IOPATH C X (0.119:0.133:0.147) (0.315:0.317:0.319))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5570_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.200:0.210:0.219) (0.189:0.199:0.208))
+    (IOPATH B Y (0.206:0.206:0.206) (0.157:0.157:0.158))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5571_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.133:0.146:0.159) (0.248:0.252:0.257))
+    (IOPATH B X (0.133:0.145:0.158) (0.231:0.237:0.243))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211o_1")
+  (INSTANCE _5572_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.165:0.165:0.165) (0.290:0.290:0.291))
+    (IOPATH A2 X (0.156:0.156:0.156) (0.325:0.325:0.325))
+    (IOPATH B1 X (0.133:0.133:0.133) (0.301:0.301:0.301))
+    (IOPATH C1 X (0.149:0.149:0.149) (0.264:0.265:0.265))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _5573_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.150:0.151:0.151) (0.255:0.255:0.256))
+    (IOPATH B_N X (0.188:0.188:0.189) (0.262:0.262:0.263))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or4_1")
+  (INSTANCE _5574_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.194:0.195:0.195) (0.593:0.595:0.598))
+    (IOPATH B X (0.189:0.192:0.194) (0.561:0.566:0.571))
+    (IOPATH C X (0.155:0.155:0.155) (0.532:0.532:0.532))
+    (IOPATH D X (0.148:0.148:0.149) (0.461:0.461:0.461))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o22a_1")
+  (INSTANCE _5575_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.148:0.168:0.188) (0.217:0.220:0.222))
+    (IOPATH A2 X (0.160:0.160:0.160) (0.187:0.196:0.206))
+    (IOPATH B1 X (0.171:0.171:0.171) (0.173:0.178:0.183))
+    (IOPATH B2 X (0.138:0.157:0.176) (0.180:0.182:0.185))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5576_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.161:0.161:0.161) (0.169:0.174:0.180))
+    (IOPATH A2 X (0.152:0.172:0.192) (0.216:0.218:0.221))
+    (IOPATH B1 X (0.097:0.097:0.098) (0.161:0.162:0.163))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _5577_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.217:0.217:0.218) (0.203:0.204:0.206))
+    (IOPATH B X (0.184:0.184:0.185) (0.180:0.181:0.182))
+    (IOPATH C X (0.193:0.194:0.194) (0.220:0.220:0.221))
+    (IOPATH D X (0.190:0.191:0.191) (0.193:0.194:0.194))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _5578_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.321:0.322:0.322) (0.288:0.288:0.289))
+    (IOPATH A2 X (0.332:0.332:0.333) (0.343:0.343:0.344))
+    (IOPATH A3 X (0.325:0.325:0.326) (0.319:0.320:0.320))
+    (IOPATH B1 X (0.319:0.319:0.319) (0.342:0.344:0.345))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5579_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.096:0.096:0.096) (0.094:0.094:0.094))
+    (IOPATH B Y (0.112:0.112:0.113) (0.099:0.099:0.099))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5580_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.235:0.239:0.244) (0.215:0.226:0.238))
+    (IOPATH B X (0.159:0.160:0.160) (0.178:0.178:0.178))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5581_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.174:0.175:0.175) (0.166:0.166:0.167))
+    (IOPATH B X (0.175:0.191:0.206) (0.192:0.196:0.200))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5582_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.156:0.157:0.157) (0.184:0.185:0.185))
+    (IOPATH A2 X (0.152:0.152:0.152) (0.201:0.202:0.202))
+    (IOPATH B1 X (0.126:0.126:0.127) (0.178:0.179:0.179))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5583_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.265:0.267:0.270) (0.240:0.244:0.248))
+    (IOPATH B X (0.208:0.222:0.236) (0.214:0.217:0.220))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5584_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.216:0.216:0.217) (0.086:0.088:0.091))
+    (IOPATH B Y (0.210:0.210:0.210) (0.091:0.092:0.094))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5585_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.129:0.129:0.129) (0.132:0.132:0.132))
+    (IOPATH B Y (0.192:0.192:0.192) (0.162:0.162:0.162))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5586_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.278:0.279:0.279) (0.110:0.113:0.116))
+    (IOPATH A X (0.256:0.259:0.262) (0.205:0.206:0.207))
+    (IOPATH B X (0.264:0.266:0.269) (0.116:0.119:0.122))
+    (IOPATH B X (0.267:0.269:0.271) (0.192:0.194:0.197))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5587_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.166:0.166:0.166) (0.141:0.143:0.144))
+    (IOPATH A Y (0.197:0.198:0.199) (0.120:0.120:0.120))
+    (IOPATH B Y (0.175:0.176:0.177) (0.142:0.148:0.154))
+    (IOPATH B Y (0.182:0.187:0.192) (0.120:0.121:0.122))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5588_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.143:0.143:0.143) (0.155:0.155:0.155))
+    (IOPATH B X (0.128:0.139:0.150) (0.155:0.160:0.165))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5589_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.171:0.171:0.171) (0.084:0.084:0.084))
+    (IOPATH B Y (0.141:0.145:0.149) (0.061:0.072:0.084))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_2")
+  (INSTANCE _5590_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.155:0.156:0.156) (0.340:0.340:0.341))
+    (IOPATH B X (0.189:0.189:0.189) (0.323:0.326:0.329))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+  (INSTANCE _5591_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.243:0.244:0.244) (0.111:0.113:0.114))
+    (IOPATH A X (0.208:0.209:0.210) (0.217:0.218:0.218))
+    (IOPATH B X (0.206:0.206:0.206) (0.097:0.097:0.097))
+    (IOPATH B X (0.209:0.209:0.209) (0.179:0.179:0.179))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _5592_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.213:0.213:0.213) (0.222:0.222:0.222))
+    (IOPATH A Y (0.365:0.365:0.365) (0.165:0.165:0.165))
+    (IOPATH B Y (0.215:0.217:0.218) (0.204:0.209:0.214))
+    (IOPATH B Y (0.329:0.333:0.338) (0.163:0.166:0.168))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _5593_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.054:0.055:0.056) (0.041:0.041:0.041))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5594_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.095:0.095:0.095) (0.035:0.035:0.035))
+    (IOPATH B Y (0.089:0.093:0.097) (0.062:0.062:0.063))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _5595_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.408:0.408:0.409) (0.327:0.327:0.328))
+    (IOPATH A2 X (0.431:0.431:0.431) (0.377:0.377:0.377))
+    (IOPATH A3 X (0.427:0.428:0.428) (0.377:0.378:0.378))
+    (IOPATH B1 X (0.375:0.375:0.375) (0.329:0.334:0.338))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _5596_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.247:0.247:0.247) (0.280:0.280:0.280))
+    (IOPATH A2 X (0.253:0.253:0.253) (0.314:0.314:0.314))
+    (IOPATH B1 X (0.213:0.213:0.213) (0.257:0.257:0.257))
+    (IOPATH B2 X (0.226:0.226:0.226) (0.271:0.271:0.271))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _5597_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.050:0.050:0.050) (0.035:0.035:0.036))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5598_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.247:0.247:0.247) (0.217:0.217:0.217))
+    (IOPATH B X (0.247:0.247:0.247) (0.235:0.235:0.235))
+    (IOPATH C X (0.190:0.191:0.191) (0.197:0.198:0.198))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5599_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.121:0.121:0.121) (0.042:0.042:0.042))
+    (IOPATH B Y (0.114:0.115:0.115) (0.055:0.055:0.056))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5600_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.187:0.187:0.187) (0.203:0.203:0.204))
+    (IOPATH A Y (0.247:0.248:0.248) (0.149:0.149:0.149))
+    (IOPATH B Y (0.146:0.146:0.146) (0.124:0.125:0.126))
+    (IOPATH B Y (0.160:0.161:0.162) (0.088:0.088:0.088))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5601_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.201:0.220:0.239) (0.313:0.315:0.317))
+    (IOPATH B X (0.176:0.188:0.200) (0.264:0.272:0.281))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5602_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.113:0.114:0.116) (0.089:0.107:0.125))
+    (IOPATH B Y (0.085:0.095:0.105) (0.071:0.082:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5603_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.092:0.092:0.092) (0.083:0.083:0.083))
+    (IOPATH B Y (0.079:0.092:0.104) (0.066:0.067:0.068))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _5604_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.306:0.306:0.306) (0.344:0.350:0.357))
+    (IOPATH B_N X (0.348:0.352:0.356) (0.368:0.378:0.388))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _5605_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.150:0.163:0.176) (0.196:0.204:0.212))
+    (IOPATH A2 X (0.172:0.193:0.213) (0.260:0.262:0.264))
+    (IOPATH B1_N X (0.255:0.255:0.255) (0.268:0.268:0.268))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5606_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.163:0.164:0.165) (0.154:0.158:0.161))
+    (IOPATH A Y (0.248:0.251:0.254) (0.104:0.105:0.105))
+    (IOPATH B Y (0.166:0.167:0.168) (0.156:0.156:0.157))
+    (IOPATH B Y (0.234:0.234:0.234) (0.099:0.099:0.100))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5607_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.236:0.241:0.247) (0.240:0.241:0.242))
+    (IOPATH B X (0.162:0.162:0.162) (0.167:0.169:0.171))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _5608_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.147:0.148:0.149) (0.200:0.209:0.218))
+    (IOPATH A2 X (0.146:0.148:0.150) (0.173:0.187:0.200))
+    (IOPATH B1_N X (0.215:0.216:0.216) (0.151:0.152:0.153))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _5609_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.157:0.157:0.157) (0.195:0.196:0.197))
+    (IOPATH A2 X (0.130:0.130:0.130) (0.172:0.172:0.172))
+    (IOPATH B1_N X (0.217:0.218:0.219) (0.148:0.148:0.148))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3b_1")
+  (INSTANCE _5610_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.118:0.119:0.119) (0.365:0.366:0.367))
+    (IOPATH B X (0.097:0.098:0.098) (0.334:0.335:0.335))
+    (IOPATH C_N X (0.147:0.148:0.149) (0.332:0.332:0.332))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5611_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.216:0.217:0.218) (0.198:0.198:0.198))
+    (IOPATH B X (0.147:0.147:0.147) (0.182:0.182:0.182))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5612_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.100:0.100:0.100) (0.103:0.103:0.103))
+    (IOPATH B Y (0.143:0.143:0.143) (0.131:0.131:0.131))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5613_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.170:0.170:0.170) (0.160:0.160:0.160))
+    (IOPATH A Y (0.253:0.253:0.253) (0.112:0.112:0.112))
+    (IOPATH B Y (0.164:0.167:0.170) (0.168:0.172:0.176))
+    (IOPATH B Y (0.244:0.248:0.251) (0.097:0.100:0.103))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5614_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.174:0.185:0.197) (0.166:0.168:0.171))
+    (IOPATH A Y (0.251:0.253:0.255) (0.118:0.134:0.150))
+    (IOPATH B Y (0.175:0.186:0.198) (0.164:0.166:0.168))
+    (IOPATH B Y (0.233:0.235:0.237) (0.109:0.125:0.141))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5615_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.111:0.120:0.129) (0.080:0.082:0.083))
+    (IOPATH B Y (0.113:0.124:0.135) (0.061:0.069:0.078))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5616_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.167:0.167:0.167) (0.174:0.174:0.175))
+    (IOPATH A2 X (0.177:0.178:0.180) (0.198:0.210:0.221))
+    (IOPATH B1 X (0.116:0.118:0.119) (0.167:0.172:0.176))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5617_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.233:0.238:0.243) (0.107:0.122:0.137))
+    (IOPATH A X (0.226:0.236:0.246) (0.190:0.195:0.199))
+    (IOPATH B X (0.205:0.205:0.205) (0.091:0.092:0.092))
+    (IOPATH B X (0.221:0.221:0.221) (0.162:0.162:0.162))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5618_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.181:0.181:0.181) (0.168:0.168:0.169))
+    (IOPATH A Y (0.275:0.276:0.276) (0.120:0.120:0.120))
+    (IOPATH B Y (0.206:0.207:0.208) (0.167:0.174:0.182))
+    (IOPATH B Y (0.258:0.265:0.272) (0.142:0.144:0.146))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_2")
+  (INSTANCE _5619_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.224:0.224:0.224) (0.099:0.099:0.099))
+    (IOPATH A2 Y (0.202:0.202:0.202) (0.091:0.091:0.092))
+    (IOPATH B1 Y (0.118:0.121:0.123) (0.108:0.126:0.144))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _5620_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.123:0.123:0.123) (0.380:0.380:0.380))
+    (IOPATH B X (0.121:0.121:0.122) (0.355:0.355:0.355))
+    (IOPATH C X (0.133:0.149:0.165) (0.330:0.332:0.335))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5621_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.213:0.222:0.230) (0.186:0.204:0.223))
+    (IOPATH B Y (0.222:0.222:0.222) (0.171:0.171:0.171))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5622_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.078:0.087:0.097) (0.102:0.103:0.104))
+    (IOPATH B Y (0.087:0.106:0.125) (0.107:0.107:0.108))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _5623_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.129:0.132:0.135) (0.259:0.261:0.263))
+    (IOPATH B_N X (0.184:0.195:0.206) (0.274:0.275:0.276))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5624_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.062:0.071:0.079) (0.078:0.078:0.079))
+    (IOPATH B Y (0.072:0.083:0.094) (0.085:0.086:0.087))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3b_1")
+  (INSTANCE _5625_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.139:0.141:0.143) (0.422:0.424:0.425))
+    (IOPATH B X (0.144:0.147:0.151) (0.404:0.406:0.408))
+    (IOPATH C_N X (0.207:0.215:0.224) (0.416:0.423:0.429))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5626_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.143:0.144:0.144) (0.154:0.161:0.168))
+    (IOPATH A2 X (0.141:0.162:0.184) (0.214:0.216:0.219))
+    (IOPATH B1 X (0.086:0.086:0.086) (0.155:0.155:0.155))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _5627_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.185:0.186:0.186) (0.202:0.208:0.215))
+    (IOPATH A2 X (0.160:0.177:0.194) (0.227:0.230:0.233))
+    (IOPATH B1 X (0.132:0.134:0.135) (0.110:0.110:0.111))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_2")
+  (INSTANCE _5628_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.316:0.316:0.316) (0.304:0.304:0.304))
+    (IOPATH A2 X (0.324:0.324:0.324) (0.350:0.350:0.351))
+    (IOPATH A3 X (0.320:0.321:0.321) (0.340:0.341:0.342))
+    (IOPATH B1 X (0.311:0.311:0.311) (0.368:0.369:0.371))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5629_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.087:0.087:0.087) (0.080:0.080:0.080))
+    (IOPATH B Y (0.097:0.098:0.098) (0.085:0.085:0.086))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a41o_1")
+  (INSTANCE _5630_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.192:0.192:0.193) (0.231:0.232:0.234))
+    (IOPATH A2 X (0.162:0.162:0.162) (0.212:0.212:0.212))
+    (IOPATH A3 X (0.170:0.170:0.170) (0.244:0.244:0.245))
+    (IOPATH A4 X (0.169:0.169:0.170) (0.230:0.231:0.233))
+    (IOPATH B1 X (0.086:0.087:0.087) (0.200:0.202:0.204))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5631_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.418:0.419:0.420) (0.196:0.196:0.196))
+    (IOPATH A2 Y (0.419:0.421:0.423) (0.172:0.173:0.175))
+    (IOPATH B1 Y (0.273:0.274:0.275) (0.184:0.185:0.186))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _5632_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.252:0.252:0.252) (0.457:0.457:0.457))
+    (IOPATH B X (0.144:0.146:0.147) (0.391:0.392:0.392))
+    (IOPATH C X (0.214:0.222:0.230) (0.407:0.413:0.418))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _5633_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.344:0.344:0.344) (0.268:0.268:0.268))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_4")
+  (INSTANCE _5634_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.326:0.326:0.326) (0.350:0.350:0.350))
+    (IOPATH A2 X (0.309:0.309:0.309) (0.307:0.319:0.331))
+    (IOPATH B1 X (0.286:0.286:0.287) (0.228:0.228:0.228))
+    (IOPATH C1 X (0.318:0.318:0.318) (0.234:0.234:0.234))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5635_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.224:0.227:0.230) (0.208:0.221:0.233))
+    (IOPATH B X (0.147:0.147:0.148) (0.170:0.170:0.171))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5636_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.202:0.211:0.219) (0.212:0.213:0.214))
+    (IOPATH B X (0.142:0.143:0.144) (0.171:0.173:0.175))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5637_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.092:0.092:0.092) (0.088:0.088:0.088))
+    (IOPATH B Y (0.103:0.106:0.108) (0.090:0.104:0.117))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5638_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.091:0.091:0.091) (0.092:0.092:0.092))
+    (IOPATH B Y (0.127:0.127:0.127) (0.105:0.105:0.105))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5639_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.095:0.095:0.095) (0.077:0.077:0.077))
+    (IOPATH B Y (0.081:0.081:0.081) (0.074:0.074:0.074))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5640_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.199:0.199:0.199) (0.173:0.173:0.173))
+    (IOPATH B X (0.215:0.215:0.215) (0.227:0.227:0.227))
+    (IOPATH C X (0.164:0.165:0.166) (0.184:0.188:0.192))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5641_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.282:0.282:0.283) (0.109:0.112:0.114))
+    (IOPATH A X (0.264:0.266:0.268) (0.202:0.202:0.202))
+    (IOPATH B X (0.253:0.254:0.255) (0.107:0.108:0.108))
+    (IOPATH B X (0.270:0.270:0.271) (0.173:0.173:0.174))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _5642_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.137:0.138:0.138) (0.199:0.205:0.211))
+    (IOPATH A2 X (0.123:0.123:0.123) (0.180:0.180:0.180))
+    (IOPATH B1 X (0.166:0.167:0.169) (0.111:0.117:0.122))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_1")
+  (INSTANCE _5643_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.408:0.413:0.418) (0.111:0.111:0.111))
+    (IOPATH B Y (0.392:0.392:0.392) (0.107:0.107:0.107))
+    (IOPATH C Y (0.349:0.353:0.357) (0.143:0.145:0.147))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5644_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.137:0.138:0.138) (0.257:0.258:0.259))
+    (IOPATH B X (0.210:0.210:0.210) (0.256:0.262:0.268))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5645_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.190:0.190:0.190) (0.176:0.176:0.176))
+    (IOPATH B Y (0.183:0.183:0.183) (0.182:0.182:0.182))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+  (INSTANCE _5646_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.305:0.306:0.308) (0.155:0.156:0.156))
+    (IOPATH A2 Y (0.301:0.306:0.312) (0.144:0.147:0.149))
+    (IOPATH B1 Y (0.268:0.271:0.274) (0.100:0.100:0.100))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5647_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.158:0.158:0.158) (0.177:0.178:0.179))
+    (IOPATH A Y (0.232:0.233:0.234) (0.109:0.109:0.109))
+    (IOPATH B Y (0.186:0.186:0.187) (0.149:0.158:0.168))
+    (IOPATH B Y (0.191:0.200:0.209) (0.133:0.133:0.134))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5648_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.182:0.182:0.183) (0.080:0.080:0.080))
+    (IOPATH B Y (0.159:0.164:0.170) (0.067:0.079:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5649_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.126:0.126:0.126) (0.142:0.142:0.143))
+    (IOPATH B X (0.121:0.133:0.144) (0.150:0.157:0.163))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5650_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.154:0.154:0.154) (0.244:0.247:0.251))
+    (IOPATH B X (0.114:0.114:0.114) (0.220:0.220:0.221))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5651_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.274:0.275:0.277) (0.135:0.136:0.137))
+    (IOPATH A2 Y (0.274:0.274:0.274) (0.116:0.116:0.116))
+    (IOPATH B1 Y (0.246:0.246:0.246) (0.076:0.076:0.076))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5652_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.162:0.162:0.163) (0.166:0.167:0.169))
+    (IOPATH B X (0.146:0.146:0.146) (0.164:0.164:0.164))
+    (IOPATH C X (0.147:0.147:0.147) (0.176:0.176:0.176))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5653_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.189:0.190:0.192) (0.266:0.274:0.281))
+    (IOPATH B X (0.132:0.132:0.132) (0.236:0.237:0.237))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5654_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.190:0.190:0.191) (0.131:0.131:0.131))
+    (IOPATH A2 Y (0.131:0.131:0.132) (0.068:0.069:0.069))
+    (IOPATH B1 Y (0.090:0.090:0.091) (0.079:0.080:0.080))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3b_1")
+  (INSTANCE _5655_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.245:0.254:0.262) (0.209:0.213:0.218))
+    (IOPATH B X (0.266:0.266:0.266) (0.248:0.248:0.248))
+    (IOPATH C X (0.253:0.253:0.253) (0.281:0.281:0.281))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _5656_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.159:0.159:0.159) (0.227:0.227:0.227))
+    (IOPATH A2 X (0.165:0.165:0.165) (0.233:0.233:0.233))
+    (IOPATH B1_N X (0.169:0.177:0.186) (0.204:0.209:0.214))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _5657_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.128:0.128:0.129) (0.238:0.238:0.239))
+    (IOPATH B_N X (0.161:0.162:0.162) (0.233:0.234:0.235))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5658_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.199:0.200:0.200) (0.082:0.082:0.082))
+    (IOPATH B Y (0.178:0.178:0.178) (0.065:0.065:0.065))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5659_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.124:0.124:0.124) (0.142:0.142:0.142))
+    (IOPATH B X (0.116:0.116:0.116) (0.152:0.152:0.152))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5660_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.179:0.179:0.179) (0.261:0.262:0.264))
+    (IOPATH B X (0.134:0.134:0.134) (0.237:0.237:0.237))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5661_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.133:0.133:0.134) (0.170:0.170:0.170))
+    (IOPATH A2 X (0.134:0.135:0.136) (0.194:0.197:0.200))
+    (IOPATH B1 X (0.111:0.111:0.111) (0.175:0.175:0.176))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _5662_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.071:0.072:0.072) (0.075:0.076:0.076))
+    (IOPATH B Y (0.088:0.091:0.095) (0.080:0.081:0.081))
+    (IOPATH C Y (0.093:0.094:0.094) (0.087:0.087:0.087))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5663_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.156:0.156:0.156) (0.156:0.156:0.157))
+    (IOPATH B X (0.157:0.159:0.160) (0.180:0.181:0.181))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31oi_1")
+  (INSTANCE _5664_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.238:0.238:0.238) (0.176:0.176:0.176))
+    (IOPATH A2 Y (0.259:0.259:0.259) (0.156:0.156:0.156))
+    (IOPATH A3 Y (0.234:0.234:0.234) (0.119:0.119:0.119))
+    (IOPATH B1 Y (0.207:0.208:0.209) (0.063:0.063:0.064))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5665_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.192:0.192:0.192) (0.174:0.174:0.175))
+    (IOPATH A Y (0.291:0.291:0.291) (0.129:0.129:0.129))
+    (IOPATH B Y (0.211:0.214:0.217) (0.169:0.188:0.206))
+    (IOPATH B Y (0.270:0.287:0.304) (0.145:0.150:0.155))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _5666_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.206:0.206:0.206) (0.234:0.234:0.234))
+    (IOPATH A2 X (0.188:0.188:0.188) (0.215:0.216:0.216))
+    (IOPATH B1 X (0.222:0.238:0.255) (0.173:0.176:0.179))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _5667_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.193:0.193:0.193) (0.450:0.451:0.451))
+    (IOPATH B X (0.188:0.188:0.188) (0.426:0.426:0.426))
+    (IOPATH C X (0.211:0.227:0.243) (0.406:0.408:0.410))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5668_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.218:0.219:0.220) (0.209:0.209:0.209))
+    (IOPATH B X (0.161:0.161:0.161) (0.203:0.203:0.204))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5669_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.158:0.164:0.171) (0.116:0.117:0.118))
+    (IOPATH B Y (0.131:0.141:0.151) (0.098:0.101:0.103))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5670_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.135:0.135:0.135) (0.133:0.133:0.133))
+    (IOPATH A Y (0.190:0.190:0.190) (0.083:0.083:0.083))
+    (IOPATH B Y (0.152:0.152:0.153) (0.146:0.148:0.151))
+    (IOPATH B Y (0.186:0.188:0.191) (0.092:0.092:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5671_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.157:0.163:0.169) (0.151:0.160:0.169))
+    (IOPATH B X (0.187:0.187:0.187) (0.207:0.207:0.208))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5672_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.239:0.244:0.248) (0.218:0.231:0.244))
+    (IOPATH B X (0.154:0.154:0.155) (0.175:0.176:0.176))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5673_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.211:0.221:0.232) (0.218:0.219:0.220))
+    (IOPATH B X (0.156:0.157:0.157) (0.176:0.176:0.177))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5674_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.137:0.137:0.137) (0.127:0.127:0.127))
+    (IOPATH B Y (0.142:0.147:0.152) (0.159:0.161:0.162))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5675_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.117:0.117:0.117) (0.101:0.101:0.101))
+    (IOPATH B Y (0.096:0.096:0.096) (0.082:0.082:0.082))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5676_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.093:0.093:0.093) (0.075:0.075:0.075))
+    (IOPATH B Y (0.084:0.084:0.084) (0.076:0.076:0.076))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5677_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.213:0.213:0.213) (0.204:0.204:0.204))
+    (IOPATH B X (0.204:0.204:0.204) (0.195:0.195:0.195))
+    (IOPATH C X (0.162:0.163:0.164) (0.184:0.187:0.190))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5678_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.272:0.278:0.284) (0.109:0.110:0.111))
+    (IOPATH A X (0.262:0.263:0.264) (0.194:0.199:0.205))
+    (IOPATH B X (0.250:0.251:0.251) (0.106:0.106:0.106))
+    (IOPATH B X (0.267:0.267:0.268) (0.172:0.172:0.173))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _5679_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.131:0.132:0.133) (0.195:0.200:0.205))
+    (IOPATH A2 X (0.115:0.115:0.115) (0.172:0.172:0.172))
+    (IOPATH B1 X (0.158:0.159:0.161) (0.105:0.111:0.116))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_1")
+  (INSTANCE _5680_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.432:0.437:0.442) (0.116:0.117:0.119))
+    (IOPATH B Y (0.414:0.414:0.414) (0.109:0.109:0.109))
+    (IOPATH C Y (0.372:0.376:0.380) (0.148:0.149:0.151))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5681_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.133:0.133:0.134) (0.254:0.255:0.256))
+    (IOPATH B X (0.211:0.211:0.211) (0.256:0.262:0.268))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5682_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.166:0.166:0.166) (0.176:0.176:0.176))
+    (IOPATH B Y (0.188:0.188:0.188) (0.183:0.183:0.183))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+  (INSTANCE _5683_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.242:0.243:0.244) (0.130:0.131:0.131))
+    (IOPATH A2 Y (0.250:0.255:0.260) (0.142:0.143:0.145))
+    (IOPATH B1 Y (0.212:0.215:0.219) (0.086:0.086:0.086))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5684_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.150:0.151:0.152) (0.173:0.174:0.176))
+    (IOPATH A Y (0.223:0.224:0.225) (0.101:0.102:0.103))
+    (IOPATH B Y (0.170:0.170:0.171) (0.141:0.148:0.155))
+    (IOPATH B Y (0.179:0.185:0.191) (0.114:0.115:0.115))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5685_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.174:0.174:0.174) (0.076:0.077:0.077))
+    (IOPATH B Y (0.149:0.152:0.156) (0.063:0.075:0.087))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5686_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.123:0.124:0.124) (0.141:0.141:0.141))
+    (IOPATH B X (0.118:0.129:0.140) (0.147:0.151:0.156))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5687_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.169:0.169:0.169) (0.257:0.260:0.263))
+    (IOPATH B X (0.130:0.131:0.131) (0.235:0.235:0.235))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5688_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.151:0.151:0.152) (0.194:0.196:0.199))
+    (IOPATH A2 X (0.158:0.158:0.158) (0.212:0.212:0.212))
+    (IOPATH B1 X (0.114:0.114:0.114) (0.177:0.177:0.177))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _5689_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.098:0.100:0.103) (0.092:0.092:0.092))
+    (IOPATH B Y (0.108:0.108:0.108) (0.100:0.100:0.101))
+    (IOPATH C Y (0.095:0.096:0.096) (0.089:0.089:0.089))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5690_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.101:0.101:0.102) (0.087:0.087:0.088))
+    (IOPATH B Y (0.113:0.116:0.118) (0.089:0.090:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5691_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.147:0.147:0.147) (0.149:0.149:0.149))
+    (IOPATH B Y (0.163:0.163:0.163) (0.138:0.138:0.138))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5692_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.223:0.224:0.224) (0.141:0.141:0.142))
+    (IOPATH A2 Y (0.183:0.184:0.185) (0.091:0.091:0.092))
+    (IOPATH B1 Y (0.124:0.125:0.125) (0.099:0.100:0.100))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5693_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.168:0.169:0.170) (0.175:0.181:0.187))
+    (IOPATH A Y (0.258:0.264:0.269) (0.112:0.112:0.113))
+    (IOPATH B Y (0.178:0.182:0.187) (0.157:0.163:0.170))
+    (IOPATH B Y (0.227:0.232:0.238) (0.114:0.120:0.125))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5694_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.189:0.190:0.191) (0.180:0.180:0.180))
+    (IOPATH A Y (0.291:0.291:0.291) (0.127:0.128:0.129))
+    (IOPATH B Y (0.192:0.204:0.216) (0.177:0.179:0.181))
+    (IOPATH B Y (0.272:0.274:0.276) (0.121:0.138:0.155))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a32o_1")
+  (INSTANCE _5695_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.220:0.220:0.220) (0.266:0.266:0.266))
+    (IOPATH A2 X (0.212:0.212:0.213) (0.283:0.295:0.306))
+    (IOPATH A3 X (0.208:0.208:0.208) (0.285:0.285:0.286))
+    (IOPATH B1 X (0.175:0.189:0.203) (0.236:0.238:0.240))
+    (IOPATH B2 X (0.181:0.196:0.211) (0.252:0.254:0.256))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5696_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.167:0.179:0.192) (0.165:0.169:0.173))
+    (IOPATH A Y (0.235:0.238:0.241) (0.115:0.132:0.149))
+    (IOPATH B Y (0.157:0.157:0.158) (0.149:0.149:0.150))
+    (IOPATH B Y (0.204:0.205:0.206) (0.095:0.095:0.096))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31oi_1")
+  (INSTANCE _5697_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.242:0.242:0.242) (0.179:0.179:0.179))
+    (IOPATH A2 Y (0.261:0.261:0.261) (0.156:0.156:0.156))
+    (IOPATH A3 Y (0.237:0.237:0.237) (0.120:0.120:0.120))
+    (IOPATH B1 Y (0.211:0.212:0.212) (0.065:0.065:0.065))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5698_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.189:0.200:0.211) (0.176:0.183:0.189))
+    (IOPATH A Y (0.289:0.295:0.301) (0.128:0.142:0.157))
+    (IOPATH B Y (0.209:0.212:0.216) (0.167:0.186:0.205))
+    (IOPATH B Y (0.265:0.283:0.300) (0.144:0.149:0.154))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5699_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.166:0.166:0.166) (0.089:0.089:0.089))
+    (IOPATH A2 Y (0.152:0.152:0.152) (0.074:0.074:0.074))
+    (IOPATH B1 Y (0.118:0.123:0.127) (0.104:0.122:0.140))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_1")
+  (INSTANCE _5700_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.380:0.380:0.380) (0.090:0.090:0.090))
+    (IOPATH B Y (0.365:0.365:0.365) (0.083:0.083:0.083))
+    (IOPATH C Y (0.344:0.347:0.350) (0.104:0.124:0.143))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _5701_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.050:0.057:0.064) (0.049:0.049:0.049))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5702_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.073:0.085:0.096) (0.077:0.081:0.085))
+    (IOPATH B Y (0.085:0.085:0.085) (0.055:0.057:0.058))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5703_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.092:0.093:0.093) (0.213:0.213:0.213))
+    (IOPATH B X (0.089:0.090:0.091) (0.196:0.199:0.202))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5704_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.056:0.056:0.056) (0.052:0.053:0.053))
+    (IOPATH B Y (0.065:0.069:0.073) (0.055:0.056:0.056))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5705_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.273:0.273:0.273) (0.220:0.219:0.219))
+    (IOPATH B X (0.209:0.209:0.209) (0.202:0.202:0.202))
+    (IOPATH C X (0.217:0.218:0.219) (0.213:0.214:0.214))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5706_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.208:0.209:0.210) (0.197:0.197:0.197))
+    (IOPATH B X (0.170:0.186:0.201) (0.201:0.206:0.211))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _5707_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.075:0.075:0.075) (0.053:0.054:0.054))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _5708_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.156:0.157:0.159) (0.239:0.244:0.250))
+    (IOPATH B_N X (0.171:0.171:0.171) (0.248:0.248:0.249))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5709_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.215:0.215:0.215) (0.190:0.190:0.190))
+    (IOPATH B X (0.205:0.207:0.208) (0.198:0.214:0.230))
+    (IOPATH C X (0.209:0.209:0.210) (0.212:0.212:0.213))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5710_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.142:0.147:0.151) (0.101:0.102:0.103))
+    (IOPATH B Y (0.123:0.126:0.130) (0.080:0.081:0.082))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5711_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.194:0.195:0.196) (0.175:0.175:0.176))
+    (IOPATH B Y (0.201:0.201:0.201) (0.178:0.178:0.178))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5712_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.134:0.134:0.134) (0.136:0.136:0.136))
+    (IOPATH B Y (0.169:0.169:0.169) (0.147:0.147:0.147))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5713_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.077:0.077:0.077) (0.077:0.077:0.077))
+    (IOPATH B Y (0.110:0.110:0.110) (0.087:0.087:0.087))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5714_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.228:0.228:0.228) (0.190:0.190:0.190))
+    (IOPATH B X (0.263:0.263:0.263) (0.246:0.246:0.246))
+    (IOPATH C X (0.193:0.194:0.196) (0.206:0.206:0.207))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+  (INSTANCE _5715_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.417:0.417:0.417) (0.172:0.174:0.175))
+    (IOPATH A X (0.383:0.383:0.384) (0.259:0.259:0.260))
+    (IOPATH B X (0.370:0.371:0.372) (0.161:0.162:0.162))
+    (IOPATH B X (0.385:0.385:0.385) (0.212:0.212:0.213))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5716_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.165:0.165:0.165) (0.174:0.174:0.174))
+    (IOPATH A Y (0.222:0.222:0.223) (0.120:0.121:0.121))
+    (IOPATH B Y (0.190:0.191:0.192) (0.157:0.168:0.179))
+    (IOPATH B Y (0.192:0.204:0.215) (0.139:0.140:0.142))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5717_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.195:0.195:0.195) (0.192:0.192:0.192))
+    (IOPATH B X (0.168:0.168:0.169) (0.171:0.172:0.173))
+    (IOPATH C X (0.176:0.177:0.178) (0.176:0.180:0.185))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _5718_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.310:0.310:0.310) (0.294:0.294:0.294))
+    (IOPATH A2 X (0.303:0.304:0.305) (0.264:0.271:0.279))
+    (IOPATH B1_N X (0.361:0.362:0.363) (0.230:0.230:0.230))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5719_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.167:0.167:0.167) (0.192:0.194:0.196))
+    (IOPATH A Y (0.247:0.249:0.250) (0.120:0.120:0.121))
+    (IOPATH B Y (0.180:0.180:0.180) (0.172:0.173:0.174))
+    (IOPATH B Y (0.214:0.215:0.216) (0.126:0.126:0.126))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5720_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.119:0.132:0.144) (0.239:0.247:0.255))
+    (IOPATH B X (0.119:0.131:0.144) (0.222:0.226:0.230))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5721_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.057:0.066:0.074) (0.051:0.060:0.068))
+    (IOPATH B Y (0.066:0.071:0.075) (0.056:0.065:0.074))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5722_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.109:0.109:0.109) (0.088:0.088:0.088))
+    (IOPATH B Y (0.104:0.109:0.113) (0.083:0.085:0.086))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5723_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.176:0.176:0.177) (0.224:0.225:0.225))
+    (IOPATH A2 X (0.159:0.159:0.159) (0.211:0.211:0.211))
+    (IOPATH B1 X (0.124:0.124:0.125) (0.184:0.185:0.185))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _5724_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.114:0.114:0.114) (0.099:0.100:0.100))
+    (IOPATH B Y (0.095:0.095:0.095) (0.087:0.087:0.087))
+    (IOPATH C Y (0.091:0.092:0.092) (0.084:0.085:0.085))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5725_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.106:0.106:0.106) (0.093:0.093:0.094))
+    (IOPATH B Y (0.112:0.119:0.125) (0.090:0.092:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5726_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.116:0.116:0.116) (0.064:0.064:0.064))
+    (IOPATH B Y (0.137:0.139:0.140) (0.065:0.065:0.065))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5727_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.232:0.232:0.233) (0.161:0.161:0.161))
+    (IOPATH A2 Y (0.160:0.162:0.163) (0.084:0.086:0.088))
+    (IOPATH B1 Y (0.107:0.107:0.108) (0.087:0.087:0.088))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3b_1")
+  (INSTANCE _5728_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.245:0.256:0.267) (0.213:0.217:0.221))
+    (IOPATH B X (0.268:0.268:0.268) (0.252:0.252:0.252))
+    (IOPATH C X (0.247:0.247:0.247) (0.277:0.277:0.277))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _5729_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.154:0.154:0.154) (0.223:0.223:0.223))
+    (IOPATH A2 X (0.167:0.167:0.167) (0.236:0.236:0.236))
+    (IOPATH B1_N X (0.170:0.181:0.192) (0.208:0.212:0.216))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _5730_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.139:0.140:0.140) (0.248:0.249:0.249))
+    (IOPATH B_N X (0.174:0.174:0.174) (0.243:0.244:0.245))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5731_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.220:0.220:0.220) (0.099:0.100:0.101))
+    (IOPATH A X (0.208:0.209:0.209) (0.187:0.188:0.188))
+    (IOPATH B X (0.197:0.197:0.197) (0.090:0.090:0.090))
+    (IOPATH B X (0.208:0.208:0.208) (0.165:0.165:0.165))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5732_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.215:0.216:0.217) (0.118:0.118:0.118))
+    (IOPATH A2 Y (0.201:0.204:0.207) (0.107:0.107:0.107))
+    (IOPATH B1 Y (0.131:0.135:0.139) (0.135:0.137:0.139))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _5733_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.120:0.120:0.120) (0.365:0.366:0.366))
+    (IOPATH B X (0.120:0.120:0.120) (0.341:0.343:0.346))
+    (IOPATH C X (0.130:0.131:0.132) (0.301:0.304:0.307))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5734_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.182:0.188:0.195) (0.168:0.175:0.181))
+    (IOPATH B X (0.151:0.151:0.151) (0.182:0.182:0.182))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _5735_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.228:0.228:0.228) (0.233:0.233:0.233))
+    (IOPATH A2 X (0.266:0.266:0.266) (0.298:0.298:0.298))
+    (IOPATH A3 X (0.200:0.200:0.200) (0.251:0.251:0.251))
+    (IOPATH B1 X (0.151:0.151:0.152) (0.217:0.218:0.218))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5736_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.162:0.162:0.162) (0.152:0.152:0.153))
+    (IOPATH A Y (0.233:0.233:0.233) (0.106:0.107:0.107))
+    (IOPATH B Y (0.167:0.168:0.169) (0.155:0.156:0.156))
+    (IOPATH B Y (0.219:0.220:0.220) (0.103:0.103:0.104))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5737_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.211:0.217:0.223) (0.119:0.126:0.134))
+    (IOPATH A2 Y (0.224:0.224:0.224) (0.094:0.094:0.095))
+    (IOPATH B1 Y (0.201:0.203:0.204) (0.076:0.088:0.101))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _5738_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.068:0.077:0.086) (0.068:0.069:0.070))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _5739_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.141:0.148:0.155) (0.159:0.168:0.176))
+    (IOPATH B Y (0.151:0.151:0.151) (0.138:0.139:0.139))
+    (IOPATH C Y (0.150:0.152:0.154) (0.151:0.164:0.178))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5740_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.081:0.082:0.082) (0.063:0.064:0.065))
+    (IOPATH B Y (0.124:0.127:0.130) (0.090:0.091:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5741_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.128:0.129:0.129) (0.066:0.066:0.066))
+    (IOPATH A2 Y (0.209:0.209:0.210) (0.138:0.138:0.138))
+    (IOPATH B1 Y (0.126:0.128:0.130) (0.046:0.048:0.050))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+  (INSTANCE _5742_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.389:0.389:0.389) (0.322:0.322:0.322))
+    (IOPATH B Y (0.406:0.406:0.406) (0.372:0.372:0.372))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _5743_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.131:0.131:0.131) (0.164:0.164:0.165))
+    (IOPATH A2 X (0.212:0.212:0.212) (0.260:0.261:0.262))
+    (IOPATH A3 X (0.142:0.143:0.145) (0.211:0.213:0.215))
+    (IOPATH B1 X (0.159:0.159:0.159) (0.299:0.302:0.304))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5744_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.145:0.155:0.166) (0.076:0.079:0.083))
+    (IOPATH B Y (0.130:0.131:0.132) (0.050:0.052:0.053))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _5745_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.340:0.340:0.341) (0.205:0.206:0.206))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5746_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.239:0.245:0.250) (0.217:0.229:0.241))
+    (IOPATH B X (0.165:0.165:0.165) (0.182:0.182:0.182))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5747_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.232:0.240:0.248) (0.234:0.235:0.236))
+    (IOPATH B X (0.169:0.169:0.169) (0.184:0.184:0.184))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5748_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.268:0.268:0.269) (0.239:0.239:0.240))
+    (IOPATH B Y (0.262:0.268:0.274) (0.251:0.255:0.258))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5749_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.090:0.090:0.090) (0.082:0.082:0.082))
+    (IOPATH B Y (0.125:0.125:0.125) (0.103:0.103:0.103))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5750_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.091:0.091:0.091) (0.073:0.073:0.073))
+    (IOPATH B Y (0.084:0.084:0.084) (0.075:0.075:0.075))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5751_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.203:0.203:0.203) (0.176:0.176:0.176))
+    (IOPATH B X (0.233:0.233:0.233) (0.229:0.229:0.229))
+    (IOPATH C X (0.164:0.165:0.165) (0.185:0.187:0.190))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5752_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.242:0.244:0.246) (0.095:0.097:0.099))
+    (IOPATH A X (0.228:0.230:0.232) (0.186:0.188:0.190))
+    (IOPATH B X (0.217:0.218:0.219) (0.093:0.093:0.094))
+    (IOPATH B X (0.235:0.235:0.236) (0.161:0.162:0.162))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _5753_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.146:0.147:0.148) (0.211:0.211:0.212))
+    (IOPATH A2 X (0.122:0.122:0.122) (0.186:0.186:0.186))
+    (IOPATH B1 X (0.161:0.162:0.163) (0.109:0.113:0.118))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_2")
+  (INSTANCE _5754_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.396:0.396:0.397) (0.103:0.104:0.105))
+    (IOPATH B Y (0.366:0.366:0.366) (0.090:0.090:0.090))
+    (IOPATH C Y (0.311:0.313:0.316) (0.117:0.118:0.120))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5755_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.194:0.195:0.195) (0.295:0.296:0.297))
+    (IOPATH B X (0.261:0.261:0.261) (0.288:0.293:0.297))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5756_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.158:0.158:0.158) (0.160:0.160:0.160))
+    (IOPATH B Y (0.162:0.162:0.162) (0.159:0.159:0.159))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+  (INSTANCE _5757_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.309:0.310:0.311) (0.152:0.152:0.152))
+    (IOPATH A2 Y (0.312:0.318:0.323) (0.164:0.166:0.167))
+    (IOPATH B1 Y (0.273:0.276:0.280) (0.101:0.101:0.101))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5758_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.147:0.147:0.148) (0.166:0.170:0.174))
+    (IOPATH A Y (0.219:0.223:0.226) (0.097:0.097:0.097))
+    (IOPATH B Y (0.184:0.184:0.185) (0.147:0.156:0.165))
+    (IOPATH B Y (0.187:0.195:0.203) (0.130:0.131:0.131))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5759_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.199:0.199:0.199) (0.101:0.101:0.101))
+    (IOPATH B Y (0.160:0.166:0.172) (0.066:0.079:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5760_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.154:0.154:0.154) (0.166:0.166:0.167))
+    (IOPATH B X (0.129:0.140:0.152) (0.156:0.163:0.170))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5761_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.174:0.174:0.174) (0.260:0.264:0.268))
+    (IOPATH B X (0.136:0.137:0.137) (0.238:0.238:0.238))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5762_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.181:0.181:0.181) (0.244:0.245:0.245))
+    (IOPATH A2 X (0.153:0.153:0.153) (0.208:0.208:0.208))
+    (IOPATH B1 X (0.113:0.113:0.113) (0.176:0.176:0.176))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _5763_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.148:0.148:0.149) (0.124:0.124:0.124))
+    (IOPATH B Y (0.106:0.106:0.106) (0.099:0.099:0.099))
+    (IOPATH C Y (0.097:0.097:0.097) (0.090:0.090:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5764_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.098:0.098:0.098) (0.084:0.084:0.085))
+    (IOPATH B Y (0.111:0.121:0.131) (0.089:0.093:0.096))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5765_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.213:0.213:0.213) (0.147:0.147:0.147))
+    (IOPATH A2 Y (0.141:0.141:0.142) (0.072:0.073:0.073))
+    (IOPATH B1 Y (0.096:0.096:0.096) (0.082:0.083:0.083))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3b_1")
+  (INSTANCE _5766_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.237:0.248:0.259) (0.205:0.209:0.214))
+    (IOPATH B X (0.265:0.265:0.265) (0.251:0.251:0.251))
+    (IOPATH C X (0.238:0.238:0.238) (0.265:0.265:0.265))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _5767_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.152:0.152:0.152) (0.216:0.216:0.216))
+    (IOPATH A2 X (0.168:0.168:0.168) (0.237:0.237:0.237))
+    (IOPATH B1_N X (0.167:0.178:0.189) (0.203:0.208:0.213))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _5768_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.140:0.141:0.141) (0.250:0.251:0.252))
+    (IOPATH B_N X (0.177:0.177:0.177) (0.246:0.247:0.248))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5769_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.179:0.179:0.180) (0.172:0.172:0.172))
+    (IOPATH A Y (0.271:0.271:0.272) (0.119:0.120:0.121))
+    (IOPATH B Y (0.175:0.175:0.175) (0.168:0.169:0.169))
+    (IOPATH B Y (0.252:0.252:0.252) (0.107:0.107:0.107))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a32o_1")
+  (INSTANCE _5770_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.218:0.218:0.218) (0.264:0.264:0.264))
+    (IOPATH A2 X (0.202:0.203:0.204) (0.271:0.283:0.294))
+    (IOPATH A3 X (0.207:0.207:0.208) (0.283:0.284:0.284))
+    (IOPATH B1 X (0.178:0.192:0.206) (0.238:0.240:0.242))
+    (IOPATH B2 X (0.180:0.194:0.209) (0.250:0.252:0.254))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5771_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.171:0.183:0.195) (0.166:0.168:0.171))
+    (IOPATH A Y (0.244:0.246:0.248) (0.117:0.133:0.150))
+    (IOPATH B Y (0.163:0.164:0.165) (0.153:0.154:0.155))
+    (IOPATH B Y (0.218:0.218:0.219) (0.100:0.100:0.101))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31oi_1")
+  (INSTANCE _5772_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.241:0.241:0.241) (0.161:0.161:0.161))
+    (IOPATH A2 Y (0.315:0.315:0.315) (0.207:0.207:0.207))
+    (IOPATH A3 Y (0.255:0.255:0.255) (0.129:0.129:0.129))
+    (IOPATH B1 Y (0.226:0.227:0.228) (0.063:0.063:0.064))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5773_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.187:0.198:0.209) (0.173:0.179:0.185))
+    (IOPATH A Y (0.281:0.286:0.291) (0.124:0.140:0.155))
+    (IOPATH B Y (0.208:0.211:0.215) (0.162:0.183:0.203))
+    (IOPATH B Y (0.255:0.274:0.294) (0.143:0.149:0.154))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5774_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.263:0.263:0.263) (0.132:0.132:0.132))
+    (IOPATH A2 Y (0.250:0.250:0.250) (0.117:0.117:0.117))
+    (IOPATH B1 Y (0.181:0.185:0.190) (0.142:0.165:0.188))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_2")
+  (INSTANCE _5775_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.308:0.308:0.308) (0.077:0.077:0.077))
+    (IOPATH B Y (0.287:0.287:0.287) (0.077:0.077:0.077))
+    (IOPATH C Y (0.252:0.255:0.258) (0.083:0.097:0.112))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _5776_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.050:0.057:0.063) (0.058:0.058:0.058))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5777_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.100:0.110:0.119) (0.103:0.110:0.118))
+    (IOPATH B Y (0.090:0.090:0.090) (0.061:0.062:0.064))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5778_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.253:0.260:0.267) (0.121:0.137:0.153))
+    (IOPATH A2 Y (0.293:0.294:0.294) (0.166:0.167:0.167))
+    (IOPATH B1 Y (0.239:0.247:0.254) (0.076:0.077:0.078))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5779_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.222:0.236:0.251) (0.190:0.198:0.206))
+    (IOPATH B X (0.264:0.264:0.265) (0.235:0.236:0.236))
+    (IOPATH C X (0.220:0.221:0.221) (0.218:0.227:0.236))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o31a_1")
+  (INSTANCE _5780_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.205:0.205:0.205) (0.351:0.351:0.351))
+    (IOPATH A2 X (0.173:0.175:0.177) (0.279:0.289:0.298))
+    (IOPATH A3 X (0.135:0.135:0.135) (0.243:0.244:0.244))
+    (IOPATH B1 X (0.190:0.190:0.190) (0.154:0.154:0.154))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3b_2")
+  (INSTANCE _5781_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.207:0.207:0.207) (0.552:0.552:0.553))
+    (IOPATH B X (0.207:0.207:0.207) (0.515:0.518:0.521))
+    (IOPATH C_N X (0.249:0.253:0.257) (0.519:0.520:0.521))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_4")
+  (INSTANCE _5782_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.422:0.422:0.422) (0.398:0.398:0.398))
+    (IOPATH A2 X (0.369:0.379:0.388) (0.350:0.352:0.354))
+    (IOPATH B1 X (0.387:0.388:0.388) (0.285:0.285:0.285))
+    (IOPATH C1 X (0.414:0.414:0.414) (0.280:0.280:0.280))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5783_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.233:0.233:0.233) (0.222:0.222:0.222))
+    (IOPATH B X (0.177:0.177:0.177) (0.191:0.191:0.191))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5784_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.151:0.151:0.151) (0.197:0.197:0.197))
+    (IOPATH A2 X (0.146:0.146:0.146) (0.193:0.193:0.193))
+    (IOPATH B1 X (0.110:0.110:0.110) (0.167:0.167:0.167))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_1")
+  (INSTANCE _5785_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.264:0.264:0.264) (0.309:0.314:0.320))
+    (IOPATH A2 X (0.232:0.232:0.232) (0.273:0.273:0.274))
+    (IOPATH B1 X (0.233:0.233:0.233) (0.181:0.181:0.182))
+    (IOPATH C1 X (0.288:0.288:0.288) (0.225:0.225:0.225))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5786_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.257:0.263:0.269) (0.205:0.205:0.205))
+    (IOPATH A2 Y (0.234:0.238:0.241) (0.134:0.135:0.135))
+    (IOPATH B1 Y (0.178:0.180:0.182) (0.148:0.149:0.149))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _5787_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.187:0.187:0.187) (0.380:0.385:0.391))
+    (IOPATH B X (0.139:0.139:0.139) (0.347:0.350:0.353))
+    (IOPATH C X (0.134:0.134:0.134) (0.327:0.329:0.330))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5788_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.120:0.130:0.139) (0.121:0.130:0.139))
+    (IOPATH B Y (0.114:0.114:0.114) (0.081:0.082:0.082))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5789_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.164:0.165:0.166) (0.078:0.078:0.078))
+    (IOPATH B Y (0.129:0.137:0.146) (0.094:0.094:0.095))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5790_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.242:0.242:0.242) (0.216:0.216:0.216))
+    (IOPATH B X (0.196:0.196:0.196) (0.188:0.194:0.201))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5791_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.188:0.188:0.188) (0.113:0.113:0.113))
+    (IOPATH A2 Y (0.191:0.191:0.191) (0.125:0.125:0.125))
+    (IOPATH B1 Y (0.124:0.130:0.135) (0.058:0.058:0.058))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5792_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.168:0.169:0.169) (0.084:0.085:0.085))
+    (IOPATH B Y (0.146:0.153:0.160) (0.074:0.076:0.078))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5793_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.178:0.179:0.179) (0.171:0.179:0.187))
+    (IOPATH A Y (0.270:0.277:0.284) (0.119:0.120:0.120))
+    (IOPATH B Y (0.193:0.193:0.193) (0.160:0.161:0.162))
+    (IOPATH B Y (0.244:0.245:0.246) (0.128:0.128:0.128))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5794_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.145:0.153:0.160) (0.105:0.106:0.108))
+    (IOPATH B Y (0.125:0.126:0.128) (0.084:0.084:0.084))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5795_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.170:0.182:0.194) (0.166:0.168:0.170))
+    (IOPATH A Y (0.242:0.244:0.246) (0.116:0.132:0.149))
+    (IOPATH B Y (0.171:0.171:0.171) (0.153:0.156:0.160))
+    (IOPATH B Y (0.215:0.218:0.221) (0.108:0.108:0.108))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5796_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.143:0.144:0.145) (0.130:0.131:0.131))
+    (IOPATH B Y (0.155:0.161:0.167) (0.132:0.149:0.165))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5797_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.133:0.133:0.134) (0.245:0.246:0.246))
+    (IOPATH B X (0.131:0.143:0.156) (0.231:0.236:0.241))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5798_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.140:0.142:0.145) (0.120:0.121:0.121))
+    (IOPATH B Y (0.122:0.122:0.122) (0.096:0.097:0.097))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _5799_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.138:0.142:0.146) (0.228:0.244:0.260))
+    (IOPATH B_N X (0.163:0.163:0.163) (0.243:0.243:0.243))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5800_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.106:0.106:0.107) (0.090:0.091:0.091))
+    (IOPATH B Y (0.117:0.117:0.117) (0.092:0.092:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _5801_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.362:0.363:0.363) (0.364:0.367:0.369))
+    (IOPATH A Y (0.750:0.752:0.754) (0.300:0.300:0.301))
+    (IOPATH B Y (0.357:0.357:0.358) (0.357:0.357:0.357))
+    (IOPATH B Y (0.724:0.724:0.724) (0.288:0.289:0.289))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o31a_1")
+  (INSTANCE _5802_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.211:0.212:0.213) (0.324:0.330:0.337))
+    (IOPATH A2 X (0.196:0.200:0.204) (0.293:0.303:0.313))
+    (IOPATH A3 X (0.154:0.154:0.155) (0.259:0.260:0.261))
+    (IOPATH B1 X (0.174:0.175:0.175) (0.147:0.147:0.147))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5803_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.285:0.287:0.288) (0.133:0.151:0.169))
+    (IOPATH A X (0.213:0.223:0.232) (0.266:0.267:0.268))
+    (IOPATH B X (0.156:0.159:0.163) (0.072:0.073:0.074))
+    (IOPATH B X (0.176:0.176:0.177) (0.143:0.146:0.149))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5804_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.163:0.169:0.175) (0.154:0.164:0.173))
+    (IOPATH B X (0.155:0.159:0.163) (0.164:0.176:0.188))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5805_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.154:0.159:0.164) (0.069:0.070:0.071))
+    (IOPATH B Y (0.127:0.132:0.138) (0.072:0.076:0.080))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5806_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.235:0.235:0.235) (0.214:0.214:0.214))
+    (IOPATH B X (0.186:0.186:0.186) (0.198:0.198:0.198))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5807_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.152:0.152:0.152) (0.199:0.199:0.199))
+    (IOPATH A2 X (0.152:0.152:0.152) (0.197:0.197:0.197))
+    (IOPATH B1 X (0.102:0.102:0.102) (0.167:0.167:0.167))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_1")
+  (INSTANCE _5808_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.252:0.253:0.253) (0.301:0.306:0.311))
+    (IOPATH A2 X (0.230:0.230:0.230) (0.272:0.272:0.272))
+    (IOPATH B1 X (0.230:0.230:0.231) (0.179:0.179:0.180))
+    (IOPATH C1 X (0.285:0.285:0.285) (0.222:0.222:0.222))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5809_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.231:0.237:0.243) (0.193:0.193:0.193))
+    (IOPATH A2 Y (0.205:0.208:0.211) (0.119:0.119:0.119))
+    (IOPATH B1 Y (0.158:0.159:0.161) (0.133:0.134:0.134))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _5810_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.184:0.184:0.184) (0.372:0.377:0.382))
+    (IOPATH B X (0.130:0.130:0.130) (0.337:0.340:0.342))
+    (IOPATH C X (0.126:0.127:0.127) (0.317:0.318:0.319))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5811_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.118:0.129:0.140) (0.118:0.126:0.134))
+    (IOPATH B Y (0.116:0.116:0.116) (0.083:0.084:0.085))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5812_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.165:0.166:0.167) (0.076:0.077:0.077))
+    (IOPATH B Y (0.127:0.132:0.138) (0.085:0.086:0.086))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5813_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.251:0.251:0.251) (0.229:0.229:0.229))
+    (IOPATH B X (0.202:0.202:0.202) (0.190:0.194:0.198))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5814_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.196:0.196:0.196) (0.131:0.131:0.131))
+    (IOPATH A2 Y (0.216:0.216:0.216) (0.139:0.139:0.139))
+    (IOPATH B1 Y (0.137:0.141:0.144) (0.063:0.064:0.064))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5815_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.180:0.180:0.180) (0.089:0.089:0.089))
+    (IOPATH B Y (0.157:0.167:0.177) (0.082:0.083:0.085))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5816_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.187:0.187:0.188) (0.178:0.184:0.190))
+    (IOPATH A Y (0.285:0.290:0.296) (0.126:0.126:0.127))
+    (IOPATH B Y (0.202:0.202:0.202) (0.167:0.167:0.168))
+    (IOPATH B Y (0.259:0.260:0.260) (0.136:0.136:0.136))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _5817_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.166:0.167:0.167) (0.216:0.216:0.216))
+    (IOPATH A2 X (0.154:0.166:0.177) (0.200:0.202:0.203))
+    (IOPATH B1 X (0.150:0.150:0.151) (0.123:0.123:0.123))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5818_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.155:0.168:0.181) (0.157:0.159:0.162))
+    (IOPATH A Y (0.213:0.215:0.217) (0.106:0.123:0.139))
+    (IOPATH B Y (0.143:0.143:0.143) (0.138:0.139:0.140))
+    (IOPATH B Y (0.180:0.181:0.182) (0.084:0.084:0.084))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5819_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.109:0.112:0.116) (0.115:0.115:0.115))
+    (IOPATH B Y (0.121:0.128:0.134) (0.103:0.116:0.130))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5820_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.118:0.118:0.118) (0.218:0.221:0.224))
+    (IOPATH B X (0.101:0.113:0.125) (0.203:0.209:0.215))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5821_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.161:0.163:0.165) (0.138:0.139:0.140))
+    (IOPATH B Y (0.149:0.149:0.149) (0.117:0.117:0.117))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _5822_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.124:0.139:0.153) (0.240:0.243:0.246))
+    (IOPATH B_N X (0.160:0.160:0.161) (0.239:0.239:0.239))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _5823_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.129:0.132:0.136) (0.218:0.234:0.250))
+    (IOPATH B_N X (0.156:0.162:0.169) (0.232:0.241:0.250))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5824_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.106:0.106:0.106) (0.084:0.084:0.084))
+    (IOPATH B Y (0.111:0.111:0.111) (0.085:0.086:0.086))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5825_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.277:0.277:0.278) (0.127:0.128:0.128))
+    (IOPATH A X (0.258:0.258:0.258) (0.215:0.216:0.216))
+    (IOPATH B X (0.240:0.240:0.240) (0.110:0.111:0.112))
+    (IOPATH B X (0.251:0.252:0.252) (0.179:0.179:0.180))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211o_1")
+  (INSTANCE _5826_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.241:0.241:0.241) (0.343:0.343:0.343))
+    (IOPATH A2 X (0.185:0.186:0.186) (0.339:0.339:0.339))
+    (IOPATH B1 X (0.202:0.204:0.205) (0.323:0.328:0.333))
+    (IOPATH C1 X (0.217:0.217:0.217) (0.282:0.289:0.297))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5827_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.161:0.161:0.161) (0.193:0.194:0.194))
+    (IOPATH A2 X (0.115:0.115:0.115) (0.172:0.173:0.173))
+    (IOPATH B1 X (0.132:0.132:0.132) (0.157:0.165:0.173))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5828_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.062:0.068:0.074) (0.077:0.077:0.078))
+    (IOPATH B Y (0.056:0.057:0.057) (0.046:0.047:0.047))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5829_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.146:0.146:0.146) (0.176:0.176:0.176))
+    (IOPATH A2 X (0.154:0.154:0.154) (0.214:0.214:0.214))
+    (IOPATH B1 X (0.101:0.101:0.101) (0.166:0.166:0.166))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_1")
+  (INSTANCE _5830_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.296:0.298:0.300) (0.334:0.336:0.339))
+    (IOPATH A2 X (0.268:0.268:0.268) (0.298:0.298:0.298))
+    (IOPATH B1 X (0.268:0.269:0.269) (0.203:0.203:0.204))
+    (IOPATH C1 X (0.342:0.342:0.342) (0.249:0.249:0.249))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5831_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.242:0.246:0.250) (0.191:0.192:0.192))
+    (IOPATH A2 Y (0.223:0.227:0.231) (0.130:0.130:0.130))
+    (IOPATH B1 Y (0.182:0.184:0.186) (0.158:0.158:0.158))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _5832_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.177:0.177:0.178) (0.370:0.373:0.377))
+    (IOPATH B X (0.135:0.135:0.135) (0.341:0.344:0.348))
+    (IOPATH C X (0.140:0.140:0.141) (0.330:0.331:0.332))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5833_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.125:0.134:0.142) (0.125:0.134:0.142))
+    (IOPATH B Y (0.120:0.120:0.120) (0.085:0.086:0.087))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5834_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.167:0.170:0.173) (0.073:0.073:0.073))
+    (IOPATH B Y (0.139:0.147:0.154) (0.101:0.102:0.102))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5835_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.254:0.254:0.254) (0.236:0.236:0.236))
+    (IOPATH B X (0.199:0.199:0.199) (0.186:0.195:0.203))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5836_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.198:0.198:0.198) (0.131:0.131:0.131))
+    (IOPATH A2 Y (0.217:0.217:0.217) (0.142:0.142:0.142))
+    (IOPATH B1 Y (0.134:0.141:0.148) (0.064:0.064:0.064))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5837_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.182:0.182:0.182) (0.088:0.088:0.089))
+    (IOPATH B Y (0.160:0.170:0.180) (0.082:0.084:0.086))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5838_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.189:0.190:0.190) (0.181:0.187:0.194))
+    (IOPATH A Y (0.290:0.296:0.302) (0.128:0.129:0.129))
+    (IOPATH B Y (0.204:0.204:0.204) (0.168:0.169:0.169))
+    (IOPATH B Y (0.262:0.263:0.264) (0.138:0.138:0.138))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _5839_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.160:0.161:0.162) (0.212:0.212:0.212))
+    (IOPATH A2 X (0.143:0.143:0.143) (0.195:0.195:0.195))
+    (IOPATH B1 X (0.145:0.145:0.146) (0.119:0.120:0.120))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5840_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.151:0.164:0.177) (0.155:0.157:0.159))
+    (IOPATH A Y (0.206:0.207:0.209) (0.103:0.119:0.135))
+    (IOPATH B Y (0.136:0.137:0.137) (0.133:0.134:0.135))
+    (IOPATH B Y (0.171:0.172:0.172) (0.079:0.079:0.079))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5841_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.092:0.093:0.093) (0.087:0.087:0.088))
+    (IOPATH B Y (0.098:0.105:0.112) (0.084:0.096:0.108))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5842_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.110:0.110:0.111) (0.225:0.226:0.226))
+    (IOPATH B X (0.102:0.114:0.126) (0.205:0.211:0.217))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5843_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.127:0.129:0.132) (0.108:0.109:0.111))
+    (IOPATH B Y (0.127:0.127:0.127) (0.098:0.098:0.099))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _5844_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.125:0.139:0.153) (0.240:0.242:0.244))
+    (IOPATH B_N X (0.164:0.164:0.165) (0.242:0.243:0.243))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _5845_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.137:0.141:0.145) (0.223:0.240:0.258))
+    (IOPATH B_N X (0.162:0.168:0.173) (0.238:0.247:0.256))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5846_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.085:0.085:0.085) (0.067:0.068:0.068))
+    (IOPATH B Y (0.091:0.091:0.091) (0.069:0.069:0.069))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5847_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.312:0.313:0.313) (0.134:0.135:0.136))
+    (IOPATH A X (0.299:0.300:0.300) (0.217:0.217:0.218))
+    (IOPATH B X (0.278:0.278:0.278) (0.115:0.116:0.117))
+    (IOPATH B X (0.290:0.291:0.292) (0.182:0.182:0.182))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _5848_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.162:0.174:0.187) (0.191:0.199:0.206))
+    (IOPATH A2 X (0.203:0.203:0.203) (0.244:0.244:0.245))
+    (IOPATH A3 X (0.187:0.194:0.202) (0.243:0.252:0.261))
+    (IOPATH B1 X (0.162:0.162:0.162) (0.194:0.200:0.207))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5849_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.159:0.166:0.172) (0.110:0.111:0.111))
+    (IOPATH A X (0.178:0.178:0.179) (0.166:0.172:0.178))
+    (IOPATH B X (0.128:0.128:0.129) (0.062:0.062:0.062))
+    (IOPATH B X (0.146:0.146:0.146) (0.136:0.136:0.137))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a32o_1")
+  (INSTANCE _5850_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.592:0.592:0.592) (0.450:0.450:0.450))
+    (IOPATH A2 X (0.556:0.556:0.556) (0.461:0.462:0.463))
+    (IOPATH A3 X (0.534:0.535:0.536) (0.445:0.453:0.461))
+    (IOPATH B1 X (0.548:0.548:0.548) (0.430:0.430:0.430))
+    (IOPATH B2 X (0.513:0.515:0.518) (0.409:0.416:0.423))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5851_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.082:0.082:0.082) (0.077:0.078:0.078))
+    (IOPATH B Y (0.095:0.095:0.096) (0.085:0.085:0.086))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5852_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.247:0.247:0.247) (0.145:0.145:0.145))
+    (IOPATH A2 Y (0.224:0.235:0.246) (0.162:0.163:0.164))
+    (IOPATH B1 Y (0.138:0.138:0.138) (0.098:0.099:0.099))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5853_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.147:0.147:0.147) (0.177:0.177:0.177))
+    (IOPATH A2 X (0.158:0.158:0.158) (0.218:0.218:0.218))
+    (IOPATH B1 X (0.114:0.114:0.114) (0.172:0.172:0.172))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_1")
+  (INSTANCE _5854_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.379:0.381:0.384) (0.383:0.385:0.388))
+    (IOPATH A2 X (0.354:0.354:0.354) (0.349:0.349:0.350))
+    (IOPATH B1 X (0.356:0.357:0.357) (0.256:0.256:0.256))
+    (IOPATH C1 X (0.428:0.428:0.428) (0.300:0.300:0.300))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5855_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.177:0.182:0.188) (0.168:0.175:0.182))
+    (IOPATH A Y (0.248:0.254:0.260) (0.124:0.131:0.139))
+    (IOPATH B Y (0.205:0.205:0.205) (0.206:0.207:0.208))
+    (IOPATH B Y (0.272:0.273:0.275) (0.152:0.152:0.152))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5856_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.193:0.195:0.196) (0.095:0.096:0.096))
+    (IOPATH B Y (0.166:0.167:0.168) (0.099:0.099:0.099))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5857_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.235:0.235:0.235) (0.226:0.226:0.226))
+    (IOPATH B X (0.182:0.183:0.183) (0.184:0.187:0.190))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5858_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.191:0.191:0.191) (0.115:0.115:0.115))
+    (IOPATH A2 Y (0.209:0.209:0.209) (0.136:0.136:0.136))
+    (IOPATH B1 Y (0.133:0.135:0.137) (0.062:0.062:0.062))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5859_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.168:0.168:0.168) (0.076:0.077:0.077))
+    (IOPATH B Y (0.151:0.158:0.166) (0.076:0.078:0.081))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5860_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.197:0.209:0.220) (0.182:0.189:0.196))
+    (IOPATH A Y (0.302:0.308:0.314) (0.134:0.150:0.167))
+    (IOPATH B Y (0.210:0.210:0.210) (0.171:0.172:0.173))
+    (IOPATH B Y (0.276:0.277:0.277) (0.141:0.141:0.141))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _5861_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.167:0.168:0.169) (0.218:0.218:0.218))
+    (IOPATH A2 X (0.147:0.147:0.147) (0.198:0.198:0.198))
+    (IOPATH B1 X (0.154:0.155:0.155) (0.126:0.126:0.127))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5862_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.154:0.167:0.180) (0.158:0.163:0.168))
+    (IOPATH A Y (0.210:0.214:0.218) (0.106:0.122:0.138))
+    (IOPATH B Y (0.139:0.140:0.140) (0.136:0.137:0.138))
+    (IOPATH B Y (0.174:0.175:0.176) (0.082:0.082:0.082))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5863_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.099:0.100:0.101) (0.094:0.094:0.095))
+    (IOPATH B Y (0.105:0.112:0.119) (0.090:0.103:0.115))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5864_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.112:0.113:0.114) (0.228:0.228:0.229))
+    (IOPATH B X (0.104:0.116:0.128) (0.207:0.213:0.220))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5865_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.100:0.102:0.104) (0.086:0.087:0.088))
+    (IOPATH B Y (0.098:0.098:0.098) (0.074:0.074:0.074))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5866_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.217:0.222:0.228) (0.219:0.225:0.231))
+    (IOPATH A2 X (0.198:0.199:0.200) (0.234:0.235:0.235))
+    (IOPATH B1 X (0.173:0.174:0.174) (0.213:0.214:0.215))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _5867_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.078:0.084:0.091) (0.090:0.095:0.100))
+    (IOPATH B Y (0.084:0.084:0.084) (0.075:0.076:0.077))
+    (IOPATH C Y (0.086:0.088:0.089) (0.082:0.082:0.083))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5868_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.157:0.157:0.158) (0.147:0.147:0.148))
+    (IOPATH B Y (0.148:0.154:0.159) (0.117:0.119:0.120))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _5869_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.086:0.088:0.090) (0.049:0.050:0.050))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _5870_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.133:0.133:0.133) (0.167:0.167:0.167))
+    (IOPATH A2 X (0.215:0.215:0.215) (0.263:0.264:0.265))
+    (IOPATH A3 X (0.140:0.141:0.142) (0.215:0.215:0.216))
+    (IOPATH B1 X (0.093:0.094:0.094) (0.178:0.178:0.178))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a311o_1")
+  (INSTANCE _5871_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.234:0.234:0.234) (0.310:0.310:0.310))
+    (IOPATH A2 X (0.327:0.327:0.327) (0.421:0.422:0.423))
+    (IOPATH A3 X (0.242:0.243:0.243) (0.403:0.404:0.404))
+    (IOPATH B1 X (0.186:0.186:0.187) (0.367:0.367:0.367))
+    (IOPATH C1 X (0.212:0.213:0.213) (0.348:0.350:0.351))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5872_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.129:0.129:0.129) (0.101:0.101:0.101))
+    (IOPATH B Y (0.091:0.093:0.095) (0.080:0.080:0.081))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5873_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.134:0.135:0.135) (0.183:0.185:0.187))
+    (IOPATH A2 X (0.107:0.109:0.110) (0.171:0.171:0.172))
+    (IOPATH B1 X (0.084:0.087:0.090) (0.153:0.160:0.168))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3b_1")
+  (INSTANCE _5874_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.219:0.219:0.219) (0.456:0.456:0.456))
+    (IOPATH B X (0.244:0.244:0.244) (0.476:0.476:0.477))
+    (IOPATH C_N X (0.200:0.201:0.201) (0.392:0.393:0.393))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_4")
+  (INSTANCE _5875_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.429:0.429:0.429) (0.400:0.400:0.400))
+    (IOPATH A2 X (0.389:0.392:0.394) (0.350:0.372:0.394))
+    (IOPATH B1 X (0.389:0.389:0.390) (0.276:0.277:0.277))
+    (IOPATH C1 X (0.421:0.421:0.421) (0.283:0.283:0.283))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5876_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.315:0.315:0.316) (0.254:0.256:0.259))
+    (IOPATH B X (0.294:0.294:0.295) (0.251:0.251:0.251))
+    (IOPATH C X (0.308:0.308:0.309) (0.276:0.276:0.276))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o311a_1")
+  (INSTANCE _5877_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.279:0.281:0.282) (0.408:0.415:0.421))
+    (IOPATH A2 X (0.265:0.269:0.274) (0.376:0.386:0.396))
+    (IOPATH A3 X (0.219:0.219:0.219) (0.339:0.340:0.341))
+    (IOPATH B1 X (0.243:0.243:0.243) (0.191:0.192:0.192))
+    (IOPATH C1 X (0.291:0.325:0.358) (0.243:0.245:0.246))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5878_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.228:0.236:0.244) (0.159:0.162:0.164))
+    (IOPATH A2 Y (0.210:0.211:0.213) (0.129:0.129:0.129))
+    (IOPATH B1 Y (0.154:0.156:0.158) (0.125:0.146:0.166))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _5879_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.123:0.124:0.124) (0.241:0.248:0.254))
+    (IOPATH B_N X (0.166:0.167:0.168) (0.259:0.259:0.259))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_2")
+  (INSTANCE _5880_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.151:0.151:0.151) (0.201:0.201:0.201))
+    (IOPATH B Y (0.205:0.205:0.205) (0.235:0.235:0.235))
+    (IOPATH C Y (0.183:0.183:0.183) (0.219:0.219:0.219))
+    (IOPATH D Y (0.210:0.210:0.210) (0.231:0.231:0.231))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5881_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.209:0.218:0.227) (0.121:0.130:0.139))
+    (IOPATH A2 Y (0.211:0.211:0.211) (0.087:0.087:0.087))
+    (IOPATH B1 Y (0.238:0.239:0.240) (0.080:0.082:0.085))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5882_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.177:0.186:0.195) (0.161:0.170:0.180))
+    (IOPATH B X (0.145:0.145:0.145) (0.162:0.162:0.162))
+    (IOPATH C X (0.167:0.169:0.172) (0.240:0.241:0.242))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5883_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.201:0.209:0.216) (0.108:0.111:0.114))
+    (IOPATH B Y (0.176:0.177:0.177) (0.062:0.063:0.063))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5884_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.196:0.196:0.196) (0.174:0.175:0.175))
+    (IOPATH A Y (0.288:0.289:0.289) (0.133:0.133:0.133))
+    (IOPATH B Y (0.212:0.212:0.212) (0.165:0.172:0.178))
+    (IOPATH B Y (0.264:0.270:0.275) (0.146:0.146:0.146))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+  (INSTANCE _5885_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.259:0.265:0.271) (0.120:0.127:0.135))
+    (IOPATH A2 Y (0.276:0.279:0.281) (0.118:0.119:0.119))
+    (IOPATH B1 Y (0.235:0.238:0.240) (0.085:0.099:0.114))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5886_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.333:0.341:0.349) (0.250:0.257:0.264))
+    (IOPATH B X (0.333:0.333:0.334) (0.284:0.286:0.289))
+    (IOPATH C X (0.330:0.345:0.360) (0.288:0.291:0.293))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5887_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.162:0.168:0.175) (0.109:0.110:0.110))
+    (IOPATH B Y (0.170:0.171:0.171) (0.103:0.103:0.103))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3b_1")
+  (INSTANCE _5888_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.272:0.273:0.273) (0.101:0.101:0.101))
+    (IOPATH B Y (0.237:0.241:0.245) (0.074:0.075:0.076))
+    (IOPATH C_N Y (0.256:0.256:0.256) (0.158:0.158:0.158))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _5889_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.185:0.185:0.185) (0.214:0.214:0.215))
+    (IOPATH A2 X (0.144:0.145:0.146) (0.179:0.183:0.187))
+    (IOPATH B1_N X (0.236:0.236:0.237) (0.174:0.174:0.174))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _5890_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.182:0.182:0.183) (0.290:0.290:0.290))
+    (IOPATH B_N X (0.218:0.218:0.219) (0.282:0.282:0.283))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a311o_1")
+  (INSTANCE _5891_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.215:0.229:0.243) (0.304:0.311:0.318))
+    (IOPATH A2 X (0.260:0.261:0.261) (0.373:0.373:0.374))
+    (IOPATH A3 X (0.243:0.251:0.259) (0.400:0.408:0.417))
+    (IOPATH B1 X (0.215:0.215:0.215) (0.352:0.358:0.364))
+    (IOPATH C1 X (0.209:0.210:0.210) (0.314:0.319:0.324))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _5892_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.120:0.121:0.121) (0.237:0.238:0.238))
+    (IOPATH B_N X (0.187:0.189:0.192) (0.259:0.269:0.279))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_2")
+  (INSTANCE _5893_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.171:0.171:0.171) (0.214:0.214:0.214))
+    (IOPATH B Y (0.203:0.203:0.203) (0.259:0.259:0.259))
+    (IOPATH C Y (0.233:0.233:0.233) (0.257:0.257:0.257))
+    (IOPATH D Y (0.234:0.234:0.234) (0.279:0.279:0.279))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _5894_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.132:0.133:0.133) (0.249:0.254:0.260))
+    (IOPATH B_N X (0.175:0.175:0.176) (0.266:0.266:0.266))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5895_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.094:0.102:0.110) (0.093:0.100:0.106))
+    (IOPATH B Y (0.087:0.087:0.087) (0.069:0.070:0.070))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5896_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.182:0.185:0.187) (0.233:0.235:0.237))
+    (IOPATH A Y (0.311:0.313:0.315) (0.130:0.133:0.137))
+    (IOPATH B Y (0.158:0.159:0.159) (0.151:0.159:0.166))
+    (IOPATH B Y (0.221:0.228:0.234) (0.093:0.094:0.095))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5897_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.179:0.180:0.180) (0.165:0.165:0.165))
+    (IOPATH A Y (0.256:0.257:0.257) (0.122:0.123:0.123))
+    (IOPATH B Y (0.177:0.189:0.201) (0.165:0.169:0.174))
+    (IOPATH B Y (0.241:0.245:0.248) (0.110:0.126:0.142))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5898_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.327:0.327:0.327) (0.133:0.133:0.133))
+    (IOPATH A2 Y (0.349:0.351:0.353) (0.143:0.144:0.145))
+    (IOPATH B1 Y (0.328:0.331:0.334) (0.112:0.131:0.150))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5899_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.236:0.236:0.236) (0.201:0.201:0.201))
+    (IOPATH B X (0.247:0.248:0.249) (0.224:0.226:0.229))
+    (IOPATH C X (0.259:0.272:0.285) (0.246:0.249:0.253))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5900_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.185:0.186:0.187) (0.258:0.266:0.274))
+    (IOPATH B X (0.149:0.149:0.149) (0.240:0.240:0.241))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _5901_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.079:0.079:0.079) (0.079:0.079:0.079))
+    (IOPATH B Y (0.084:0.086:0.088) (0.080:0.080:0.081))
+    (IOPATH C Y (0.081:0.081:0.081) (0.074:0.074:0.074))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5902_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.124:0.124:0.124) (0.167:0.167:0.167))
+    (IOPATH A2 X (0.121:0.122:0.122) (0.180:0.182:0.183))
+    (IOPATH B1 X (0.083:0.083:0.084) (0.152:0.152:0.152))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5903_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.276:0.276:0.276) (0.220:0.220:0.220))
+    (IOPATH B X (0.221:0.222:0.223) (0.208:0.210:0.213))
+    (IOPATH C X (0.223:0.223:0.223) (0.216:0.216:0.217))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _5904_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.171:0.171:0.171) (0.287:0.287:0.288))
+    (IOPATH B_N X (0.210:0.210:0.211) (0.276:0.277:0.277))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _5905_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.139:0.139:0.140) (0.252:0.253:0.254))
+    (IOPATH B_N X (0.204:0.206:0.208) (0.273:0.283:0.293))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_2")
+  (INSTANCE _5906_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.173:0.173:0.173) (0.204:0.204:0.204))
+    (IOPATH B Y (0.199:0.199:0.199) (0.224:0.224:0.224))
+    (IOPATH C Y (0.169:0.169:0.169) (0.190:0.190:0.190))
+    (IOPATH D Y (0.171:0.171:0.171) (0.210:0.210:0.210))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _5907_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.134:0.134:0.135) (0.251:0.255:0.260))
+    (IOPATH B_N X (0.177:0.178:0.179) (0.268:0.268:0.268))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5908_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.087:0.097:0.107) (0.088:0.093:0.099))
+    (IOPATH B Y (0.086:0.086:0.086) (0.069:0.069:0.069))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5909_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.171:0.173:0.175) (0.205:0.208:0.211))
+    (IOPATH A Y (0.280:0.283:0.285) (0.117:0.120:0.122))
+    (IOPATH B Y (0.152:0.153:0.154) (0.148:0.154:0.160))
+    (IOPATH B Y (0.212:0.218:0.223) (0.089:0.090:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5910_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.203:0.203:0.203) (0.180:0.181:0.181))
+    (IOPATH A Y (0.301:0.302:0.302) (0.139:0.139:0.139))
+    (IOPATH B Y (0.197:0.209:0.221) (0.178:0.181:0.184))
+    (IOPATH B Y (0.283:0.286:0.289) (0.122:0.139:0.156))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+  (INSTANCE _5911_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.251:0.251:0.252) (0.097:0.097:0.097))
+    (IOPATH A2 Y (0.263:0.265:0.267) (0.108:0.109:0.109))
+    (IOPATH B1 Y (0.237:0.240:0.243) (0.087:0.101:0.115))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5912_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.240:0.240:0.240) (0.203:0.203:0.203))
+    (IOPATH B X (0.253:0.253:0.254) (0.231:0.233:0.235))
+    (IOPATH C X (0.262:0.277:0.292) (0.252:0.255:0.258))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5913_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.144:0.150:0.156) (0.099:0.100:0.100))
+    (IOPATH B Y (0.136:0.136:0.137) (0.076:0.076:0.076))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _5914_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.056:0.059:0.062) (0.048:0.048:0.048))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _5915_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.073:0.073:0.073) (0.071:0.071:0.071))
+    (IOPATH B Y (0.090:0.091:0.092) (0.082:0.083:0.083))
+    (IOPATH C Y (0.067:0.067:0.067) (0.064:0.064:0.064))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5916_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.114:0.115:0.115) (0.160:0.160:0.160))
+    (IOPATH A2 X (0.123:0.124:0.124) (0.184:0.185:0.186))
+    (IOPATH B1 X (0.070:0.070:0.071) (0.140:0.140:0.140))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5917_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.313:0.313:0.313) (0.221:0.228:0.235))
+    (IOPATH B X (0.231:0.232:0.234) (0.213:0.215:0.217))
+    (IOPATH C X (0.232:0.233:0.233) (0.221:0.222:0.222))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _5918_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.119:0.119:0.120) (0.235:0.236:0.237))
+    (IOPATH B_N X (0.187:0.192:0.196) (0.257:0.267:0.277))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _5919_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.423:0.423:0.423) (0.281:0.281:0.281))
+    (IOPATH B X (0.433:0.433:0.433) (0.311:0.311:0.311))
+    (IOPATH C X (0.440:0.440:0.440) (0.353:0.353:0.353))
+    (IOPATH D X (0.459:0.459:0.459) (0.375:0.375:0.375))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _5920_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.108:0.121:0.134) (0.223:0.229:0.234))
+    (IOPATH B_N X (0.148:0.149:0.149) (0.241:0.241:0.241))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _5921_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.160:0.166:0.172) (0.202:0.208:0.214))
+    (IOPATH A2 X (0.192:0.192:0.192) (0.261:0.262:0.264))
+    (IOPATH B1_N X (0.200:0.201:0.201) (0.210:0.210:0.211))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5922_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.227:0.228:0.229) (0.133:0.133:0.133))
+    (IOPATH A X (0.215:0.215:0.215) (0.210:0.211:0.212))
+    (IOPATH B X (0.166:0.166:0.167) (0.077:0.078:0.078))
+    (IOPATH B X (0.181:0.182:0.182) (0.150:0.151:0.151))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5923_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.110:0.110:0.111) (0.225:0.225:0.226))
+    (IOPATH B X (0.120:0.122:0.123) (0.203:0.211:0.219))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5924_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.188:0.188:0.188) (0.167:0.167:0.168))
+    (IOPATH B Y (0.190:0.199:0.209) (0.185:0.187:0.190))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5925_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.099:0.099:0.099) (0.077:0.077:0.077))
+    (IOPATH B Y (0.160:0.161:0.162) (0.130:0.130:0.131))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5926_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.316:0.316:0.316) (0.128:0.128:0.128))
+    (IOPATH A2 Y (0.341:0.343:0.345) (0.143:0.144:0.144))
+    (IOPATH B1 Y (0.316:0.319:0.322) (0.101:0.103:0.106))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5927_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.214:0.214:0.214) (0.188:0.188:0.188))
+    (IOPATH B X (0.230:0.230:0.231) (0.215:0.217:0.219))
+    (IOPATH C X (0.234:0.235:0.237) (0.231:0.235:0.239))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5928_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.179:0.180:0.181) (0.253:0.261:0.269))
+    (IOPATH B X (0.140:0.140:0.140) (0.231:0.232:0.232))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5929_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.136:0.137:0.137) (0.084:0.084:0.084))
+    (IOPATH A2 Y (0.156:0.157:0.159) (0.087:0.087:0.088))
+    (IOPATH B1 Y (0.117:0.117:0.117) (0.043:0.043:0.043))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _5930_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.159:0.159:0.159) (0.189:0.189:0.189))
+    (IOPATH A2 X (0.164:0.165:0.165) (0.220:0.222:0.223))
+    (IOPATH A3 X (0.145:0.145:0.146) (0.218:0.218:0.218))
+    (IOPATH B1 X (0.163:0.163:0.163) (0.302:0.305:0.307))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5931_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.127:0.132:0.137) (0.064:0.067:0.070))
+    (IOPATH B Y (0.115:0.115:0.116) (0.047:0.048:0.049))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or4_1")
+  (INSTANCE _5932_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.294:0.294:0.294) (0.649:0.649:0.649))
+    (IOPATH B X (0.215:0.215:0.216) (0.605:0.606:0.606))
+    (IOPATH C X (0.215:0.215:0.216) (0.574:0.574:0.575))
+    (IOPATH D X (0.202:0.202:0.202) (0.491:0.492:0.493))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o311a_4")
+  (INSTANCE _5933_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.403:0.403:0.403) (0.511:0.511:0.511))
+    (IOPATH A2 X (0.375:0.375:0.375) (0.461:0.467:0.472))
+    (IOPATH A3 X (0.315:0.316:0.316) (0.414:0.415:0.416))
+    (IOPATH B1 X (0.377:0.377:0.377) (0.255:0.255:0.255))
+    (IOPATH C1 X (0.422:0.422:0.422) (0.242:0.242:0.242))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211o_1")
+  (INSTANCE _5934_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.381:0.382:0.382) (0.427:0.427:0.428))
+    (IOPATH A2 X (0.401:0.401:0.401) (0.459:0.465:0.470))
+    (IOPATH B1 X (0.372:0.374:0.377) (0.439:0.447:0.454))
+    (IOPATH C1 X (0.388:0.388:0.388) (0.440:0.440:0.440))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _5935_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.078:0.078:0.078) (0.055:0.055:0.055))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o31a_1")
+  (INSTANCE _5936_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.191:0.191:0.191) (0.327:0.327:0.328))
+    (IOPATH A2 X (0.160:0.161:0.162) (0.288:0.292:0.296))
+    (IOPATH A3 X (0.170:0.170:0.171) (0.250:0.257:0.264))
+    (IOPATH B1 X (0.137:0.137:0.137) (0.109:0.109:0.109))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5937_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.156:0.165:0.175) (0.094:0.100:0.106))
+    (IOPATH A2 Y (0.165:0.166:0.166) (0.074:0.074:0.074))
+    (IOPATH B1 Y (0.182:0.184:0.187) (0.063:0.064:0.065))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5938_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.419:0.420:0.420) (0.189:0.189:0.190))
+    (IOPATH A2 Y (0.440:0.443:0.446) (0.184:0.203:0.221))
+    (IOPATH B1 Y (0.408:0.413:0.417) (0.132:0.136:0.140))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _5939_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.053:0.059:0.065) (0.048:0.048:0.048))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _5940_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.147:0.147:0.147) (0.186:0.186:0.186))
+    (IOPATH A2 X (0.158:0.159:0.159) (0.220:0.220:0.221))
+    (IOPATH A3 X (0.136:0.137:0.138) (0.212:0.212:0.212))
+    (IOPATH B1 X (0.125:0.125:0.125) (0.197:0.198:0.199))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _5941_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.498:0.499:0.500) (0.389:0.402:0.415))
+    (IOPATH A2 X (0.426:0.426:0.427) (0.382:0.383:0.383))
+    (IOPATH B1_N X (0.532:0.532:0.532) (0.444:0.444:0.444))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5942_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.236:0.236:0.237) (0.248:0.248:0.248))
+    (IOPATH B Y (0.194:0.194:0.195) (0.165:0.165:0.165))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _5943_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.060:0.067:0.075) (0.053:0.053:0.053))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _5944_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.159:0.160:0.160) (0.189:0.190:0.190))
+    (IOPATH A2 X (0.165:0.165:0.166) (0.221:0.223:0.224))
+    (IOPATH A3 X (0.137:0.138:0.139) (0.217:0.218:0.218))
+    (IOPATH B1 X (0.120:0.120:0.120) (0.193:0.194:0.194))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a41o_1")
+  (INSTANCE _5945_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.213:0.213:0.213) (0.220:0.220:0.220))
+    (IOPATH A2 X (0.198:0.198:0.198) (0.254:0.260:0.265))
+    (IOPATH A3 X (0.199:0.199:0.199) (0.273:0.274:0.274))
+    (IOPATH A4 X (0.165:0.165:0.166) (0.227:0.227:0.228))
+    (IOPATH B1 X (0.141:0.141:0.141) (0.266:0.266:0.266))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5946_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.171:0.179:0.186) (0.103:0.110:0.117))
+    (IOPATH A2 Y (0.176:0.176:0.176) (0.077:0.077:0.077))
+    (IOPATH B1 Y (0.217:0.219:0.221) (0.073:0.075:0.077))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5947_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.349:0.349:0.350) (0.162:0.162:0.163))
+    (IOPATH A2 Y (0.372:0.376:0.380) (0.161:0.181:0.200))
+    (IOPATH B1 Y (0.339:0.345:0.351) (0.121:0.125:0.130))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _5948_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.057:0.063:0.070) (0.046:0.046:0.046))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _5949_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.154:0.154:0.155) (0.191:0.191:0.192))
+    (IOPATH A2 X (0.154:0.155:0.155) (0.214:0.216:0.217))
+    (IOPATH A3 X (0.137:0.138:0.139) (0.216:0.217:0.217))
+    (IOPATH B1 X (0.125:0.125:0.126) (0.199:0.199:0.200))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5950_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.248:0.249:0.250) (0.227:0.238:0.249))
+    (IOPATH A2 X (0.187:0.187:0.188) (0.224:0.225:0.225))
+    (IOPATH B1 X (0.212:0.212:0.212) (0.271:0.271:0.271))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _5951_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.227:0.228:0.228) (0.242:0.242:0.242))
+    (IOPATH B Y (0.142:0.143:0.144) (0.136:0.137:0.138))
+    (IOPATH C Y (0.159:0.159:0.160) (0.164:0.164:0.164))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_4")
+  (INSTANCE _5952_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.403:0.403:0.403) (0.415:0.416:0.416))
+    (IOPATH A2 X (0.331:0.331:0.332) (0.328:0.331:0.335))
+    (IOPATH B1 X (0.357:0.358:0.360) (0.273:0.289:0.304))
+    (IOPATH C1 X (0.378:0.378:0.378) (0.265:0.265:0.265))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _5953_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.212:0.212:0.212) (0.253:0.253:0.253))
+    (IOPATH A2 X (0.205:0.205:0.205) (0.274:0.274:0.274))
+    (IOPATH B1 X (0.177:0.177:0.177) (0.235:0.235:0.235))
+    (IOPATH B2 X (0.183:0.183:0.183) (0.269:0.269:0.269))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3b_1")
+  (INSTANCE _5954_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.212:0.213:0.214) (0.191:0.191:0.191))
+    (IOPATH B X (0.214:0.214:0.214) (0.238:0.238:0.238))
+    (IOPATH C X (0.154:0.154:0.155) (0.169:0.170:0.170))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _5955_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.218:0.218:0.218) (0.259:0.259:0.259))
+    (IOPATH A2 X (0.204:0.204:0.204) (0.302:0.302:0.302))
+    (IOPATH B1 X (0.174:0.174:0.174) (0.230:0.230:0.230))
+    (IOPATH B2 X (0.189:0.189:0.189) (0.247:0.247:0.247))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3b_1")
+  (INSTANCE _5956_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.221:0.222:0.223) (0.196:0.197:0.197))
+    (IOPATH B X (0.213:0.213:0.213) (0.201:0.201:0.201))
+    (IOPATH C X (0.162:0.163:0.164) (0.175:0.176:0.176))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _5957_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.056:0.056:0.057) (0.041:0.041:0.041))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _5958_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.221:0.221:0.221) (0.259:0.259:0.259))
+    (IOPATH A2 X (0.218:0.218:0.218) (0.313:0.313:0.313))
+    (IOPATH B1 X (0.188:0.188:0.188) (0.240:0.240:0.240))
+    (IOPATH B2 X (0.189:0.189:0.189) (0.264:0.264:0.264))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _5959_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.123:0.124:0.124) (0.166:0.166:0.166))
+    (IOPATH A2 X (0.189:0.189:0.189) (0.232:0.232:0.232))
+    (IOPATH A3 X (0.143:0.143:0.144) (0.211:0.211:0.211))
+    (IOPATH B1 X (0.150:0.150:0.150) (0.221:0.221:0.221))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _5960_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.233:0.233:0.233) (0.170:0.170:0.170))
+    (IOPATH A2 Y (0.241:0.241:0.241) (0.162:0.162:0.162))
+    (IOPATH B1 Y (0.203:0.203:0.203) (0.127:0.127:0.127))
+    (IOPATH B2 Y (0.242:0.242:0.242) (0.125:0.125:0.125))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5961_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.195:0.196:0.196) (0.117:0.118:0.118))
+    (IOPATH A2 Y (0.190:0.195:0.200) (0.105:0.106:0.108))
+    (IOPATH B1 Y (0.155:0.155:0.155) (0.157:0.157:0.157))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o311a_1")
+  (INSTANCE _5962_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.304:0.304:0.305) (0.438:0.439:0.439))
+    (IOPATH A2 X (0.301:0.301:0.302) (0.421:0.422:0.422))
+    (IOPATH A3 X (0.278:0.278:0.279) (0.382:0.382:0.383))
+    (IOPATH B1 X (0.320:0.325:0.330) (0.211:0.222:0.233))
+    (IOPATH C1 X (0.370:0.370:0.370) (0.231:0.231:0.231))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5963_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.131:0.132:0.133) (0.154:0.159:0.165))
+    (IOPATH B X (0.124:0.135:0.146) (0.151:0.155:0.159))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5964_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.101:0.105:0.108) (0.066:0.066:0.066))
+    (IOPATH B Y (0.085:0.085:0.086) (0.038:0.038:0.038))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5965_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.133:0.133:0.134) (0.153:0.157:0.161))
+    (IOPATH B X (0.130:0.141:0.152) (0.156:0.159:0.163))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5966_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.103:0.107:0.110) (0.070:0.070:0.070))
+    (IOPATH B Y (0.087:0.087:0.087) (0.041:0.041:0.041))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _5967_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.123:0.123:0.124) (0.187:0.189:0.192))
+    (IOPATH A2 X (0.123:0.134:0.146) (0.197:0.203:0.210))
+    (IOPATH B1_N X (0.208:0.215:0.221) (0.263:0.263:0.263))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5968_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.121:0.122:0.123) (0.175:0.177:0.179))
+    (IOPATH A2 X (0.123:0.136:0.149) (0.182:0.188:0.194))
+    (IOPATH B1 X (0.136:0.136:0.136) (0.218:0.218:0.218))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o22ai_1")
+  (INSTANCE _5969_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.175:0.179:0.183) (0.113:0.113:0.113))
+    (IOPATH A2 Y (0.158:0.159:0.159) (0.074:0.075:0.075))
+    (IOPATH B1 Y (0.137:0.137:0.138) (0.074:0.075:0.076))
+    (IOPATH B2 Y (0.122:0.125:0.129) (0.090:0.090:0.090))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211o_1")
+  (INSTANCE _5970_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.219:0.219:0.219) (0.352:0.352:0.352))
+    (IOPATH A2 X (0.164:0.164:0.164) (0.314:0.318:0.323))
+    (IOPATH B1 X (0.160:0.160:0.160) (0.301:0.303:0.306))
+    (IOPATH C1 X (0.183:0.183:0.183) (0.294:0.294:0.294))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_1")
+  (INSTANCE _5971_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.280:0.280:0.280) (0.308:0.308:0.308))
+    (IOPATH A2 X (0.218:0.218:0.218) (0.253:0.257:0.260))
+    (IOPATH B1 X (0.231:0.231:0.232) (0.190:0.191:0.191))
+    (IOPATH C1 X (0.269:0.269:0.269) (0.192:0.192:0.192))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5972_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.123:0.123:0.123) (0.142:0.142:0.142))
+    (IOPATH B X (0.148:0.150:0.152) (0.158:0.163:0.168))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5973_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.099:0.102:0.105) (0.067:0.067:0.067))
+    (IOPATH B Y (0.083:0.083:0.083) (0.039:0.039:0.039))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5974_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.137:0.140:0.144) (0.088:0.088:0.088))
+    (IOPATH A2 Y (0.131:0.132:0.132) (0.065:0.066:0.066))
+    (IOPATH B1 Y (0.116:0.116:0.116) (0.117:0.117:0.117))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _5975_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.162:0.162:0.162) (0.183:0.186:0.190))
+    (IOPATH A2 X (0.109:0.109:0.110) (0.164:0.164:0.165))
+    (IOPATH B1 X (0.179:0.179:0.180) (0.126:0.132:0.139))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5976_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.119:0.119:0.120) (0.139:0.139:0.139))
+    (IOPATH B X (0.117:0.119:0.120) (0.149:0.153:0.156))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5977_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.129:0.129:0.129) (0.066:0.066:0.066))
+    (IOPATH A2 Y (0.124:0.124:0.124) (0.065:0.065:0.065))
+    (IOPATH B1 Y (0.147:0.147:0.147) (0.122:0.122:0.122))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o2bb2a_1")
+  (INSTANCE _5978_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1_N X (0.223:0.224:0.225) (0.200:0.201:0.202))
+    (IOPATH A2_N X (0.236:0.242:0.249) (0.222:0.222:0.223))
+    (IOPATH B1 X (0.163:0.163:0.163) (0.292:0.293:0.293))
+    (IOPATH B2 X (0.143:0.143:0.143) (0.267:0.277:0.288))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211ai_1")
+  (INSTANCE _5979_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.260:0.260:0.260) (0.154:0.154:0.154))
+    (IOPATH A2 Y (0.233:0.242:0.250) (0.127:0.130:0.134))
+    (IOPATH B1 Y (0.131:0.134:0.137) (0.123:0.123:0.124))
+    (IOPATH C1 Y (0.158:0.158:0.158) (0.185:0.185:0.185))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_1")
+  (INSTANCE _5980_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.297:0.297:0.297) (0.319:0.319:0.319))
+    (IOPATH A2 X (0.234:0.234:0.234) (0.265:0.269:0.273))
+    (IOPATH B1 X (0.262:0.275:0.288) (0.213:0.222:0.231))
+    (IOPATH C1 X (0.286:0.286:0.286) (0.203:0.203:0.203))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _5981_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.155:0.155:0.155) (0.193:0.194:0.194))
+    (IOPATH A2 X (0.142:0.144:0.145) (0.162:0.168:0.174))
+    (IOPATH B1_N X (0.204:0.208:0.211) (0.165:0.165:0.165))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5982_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.122:0.126:0.129) (0.103:0.103:0.103))
+    (IOPATH B Y (0.094:0.095:0.096) (0.040:0.040:0.040))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5983_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.091:0.099:0.108) (0.114:0.115:0.116))
+    (IOPATH B Y (0.102:0.102:0.102) (0.095:0.095:0.095))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _5984_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.162:0.163:0.165) (0.187:0.194:0.202))
+    (IOPATH A2 X (0.127:0.127:0.127) (0.174:0.174:0.175))
+    (IOPATH B1 X (0.166:0.166:0.166) (0.134:0.134:0.134))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5985_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.084:0.094:0.104) (0.105:0.107:0.108))
+    (IOPATH B Y (0.099:0.100:0.101) (0.094:0.094:0.094))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _5986_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.155:0.156:0.157) (0.182:0.191:0.199))
+    (IOPATH A2 X (0.125:0.125:0.125) (0.173:0.173:0.174))
+    (IOPATH B1 X (0.173:0.173:0.173) (0.170:0.170:0.170))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _5987_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.140:0.141:0.141) (0.188:0.189:0.190))
+    (IOPATH A2 X (0.130:0.132:0.133) (0.167:0.169:0.170))
+    (IOPATH B1 X (0.132:0.133:0.133) (0.117:0.124:0.131))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5988_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.130:0.132:0.135) (0.104:0.104:0.104))
+    (IOPATH B Y (0.104:0.105:0.106) (0.042:0.042:0.042))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _5989_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.139:0.141:0.142) (0.214:0.217:0.221))
+    (IOPATH A2 X (0.134:0.135:0.135) (0.222:0.223:0.223))
+    (IOPATH B1 X (0.142:0.142:0.142) (0.174:0.183:0.191))
+    (IOPATH B2 X (0.181:0.181:0.181) (0.230:0.229:0.229))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211o_1")
+  (INSTANCE _5990_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.163:0.164:0.165) (0.297:0.302:0.307))
+    (IOPATH A2 X (0.155:0.156:0.156) (0.321:0.322:0.323))
+    (IOPATH B1 X (0.135:0.135:0.136) (0.302:0.302:0.303))
+    (IOPATH C1 X (0.187:0.187:0.187) (0.298:0.298:0.298))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_1")
+  (INSTANCE _5991_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.311:0.311:0.311) (0.329:0.329:0.329))
+    (IOPATH A2 X (0.252:0.252:0.253) (0.275:0.286:0.297))
+    (IOPATH B1 X (0.264:0.264:0.265) (0.211:0.211:0.212))
+    (IOPATH C1 X (0.300:0.300:0.300) (0.212:0.212:0.212))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5992_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.166:0.166:0.166) (0.079:0.079:0.079))
+    (IOPATH A X (0.159:0.159:0.159) (0.165:0.165:0.165))
+    (IOPATH B X (0.152:0.160:0.167) (0.074:0.075:0.075))
+    (IOPATH B X (0.164:0.164:0.164) (0.151:0.159:0.167))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5993_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.128:0.132:0.137) (0.062:0.063:0.064))
+    (IOPATH A2 Y (0.137:0.140:0.142) (0.081:0.082:0.084))
+    (IOPATH B1 Y (0.227:0.229:0.231) (0.077:0.077:0.077))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5994_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.108:0.108:0.108) (0.047:0.047:0.047))
+    (IOPATH B Y (0.105:0.105:0.106) (0.060:0.060:0.060))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _5995_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.145:0.145:0.145) (0.185:0.186:0.186))
+    (IOPATH A2 X (0.121:0.121:0.122) (0.156:0.158:0.160))
+    (IOPATH B1_N X (0.212:0.215:0.218) (0.195:0.195:0.195))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _5996_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.144:0.144:0.144) (0.393:0.393:0.393))
+    (IOPATH B X (0.169:0.169:0.169) (0.380:0.380:0.380))
+    (IOPATH C X (0.093:0.093:0.093) (0.300:0.300:0.301))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5997_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.131:0.134:0.136) (0.069:0.084:0.099))
+    (IOPATH A2 Y (0.139:0.139:0.140) (0.073:0.074:0.074))
+    (IOPATH B1 Y (0.106:0.106:0.106) (0.040:0.041:0.041))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5998_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.129:0.129:0.129) (0.237:0.238:0.239))
+    (IOPATH B X (0.110:0.113:0.116) (0.205:0.212:0.220))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211o_1")
+  (INSTANCE _5999_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.135:0.136:0.137) (0.271:0.276:0.281))
+    (IOPATH A2 X (0.129:0.130:0.130) (0.302:0.302:0.303))
+    (IOPATH B1 X (0.178:0.178:0.178) (0.337:0.337:0.337))
+    (IOPATH C1 X (0.118:0.118:0.118) (0.239:0.239:0.240))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_1")
+  (INSTANCE _6000_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.187:0.187:0.187) (0.241:0.242:0.242))
+    (IOPATH A2 X (0.138:0.138:0.139) (0.217:0.217:0.217))
+    (IOPATH B1 X (0.152:0.152:0.153) (0.133:0.134:0.134))
+    (IOPATH C1 X (0.206:0.206:0.206) (0.166:0.166:0.166))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _6001_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.138:0.140:0.142) (0.205:0.207:0.209))
+    (IOPATH A2 X (0.132:0.139:0.146) (0.199:0.209:0.220))
+    (IOPATH B1_N X (0.185:0.187:0.188) (0.208:0.208:0.209))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _6002_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.172:0.172:0.173) (0.094:0.094:0.094))
+    (IOPATH B Y (0.148:0.152:0.156) (0.115:0.115:0.115))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _6003_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.147:0.147:0.147) (0.092:0.092:0.092))
+    (IOPATH A2 Y (0.124:0.131:0.138) (0.077:0.077:0.077))
+    (IOPATH B1 Y (0.122:0.122:0.122) (0.139:0.139:0.139))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _6004_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.133:0.133:0.133) (0.169:0.169:0.170))
+    (IOPATH A2 X (0.136:0.136:0.136) (0.180:0.186:0.193))
+    (IOPATH B1 X (0.092:0.094:0.096) (0.149:0.164:0.179))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _6005_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.332:0.332:0.332) (0.257:0.257:0.257))
+    (IOPATH B X (0.264:0.264:0.264) (0.230:0.230:0.231))
+    (IOPATH C X (0.263:0.264:0.264) (0.239:0.240:0.240))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6006_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.113:0.114:0.114) (0.114:0.114:0.115))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _6007_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.169:0.170:0.171) (0.243:0.251:0.258))
+    (IOPATH B X (0.134:0.134:0.134) (0.226:0.226:0.227))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _6008_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.185:0.190:0.195) (0.088:0.088:0.089))
+    (IOPATH A X (0.166:0.167:0.167) (0.183:0.189:0.194))
+    (IOPATH B X (0.145:0.145:0.145) (0.066:0.066:0.066))
+    (IOPATH B X (0.157:0.157:0.157) (0.145:0.145:0.145))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _6009_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.131:0.132:0.132) (0.064:0.064:0.065))
+    (IOPATH A2 Y (0.157:0.160:0.163) (0.081:0.090:0.100))
+    (IOPATH B1 Y (0.117:0.118:0.119) (0.049:0.049:0.049))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _6010_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.128:0.129:0.129) (0.074:0.074:0.074))
+    (IOPATH A2 Y (0.112:0.117:0.122) (0.078:0.080:0.081))
+    (IOPATH B1 Y (0.101:0.103:0.106) (0.078:0.080:0.081))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _6011_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.078:0.078:0.078) (0.078:0.078:0.078))
+    (IOPATH B Y (0.092:0.095:0.099) (0.079:0.091:0.102))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _6012_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.131:0.131:0.131) (0.239:0.239:0.239))
+    (IOPATH B X (0.125:0.139:0.154) (0.226:0.228:0.231))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _6013_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.137:0.139:0.140) (0.178:0.183:0.187))
+    (IOPATH A2 X (0.201:0.201:0.201) (0.242:0.242:0.242))
+    (IOPATH A3 X (0.148:0.148:0.148) (0.220:0.220:0.220))
+    (IOPATH B1 X (0.183:0.183:0.183) (0.233:0.233:0.233))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31oi_1")
+  (INSTANCE _6014_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.180:0.181:0.181) (0.098:0.098:0.098))
+    (IOPATH A2 Y (0.260:0.260:0.260) (0.157:0.157:0.157))
+    (IOPATH A3 Y (0.191:0.194:0.196) (0.101:0.104:0.106))
+    (IOPATH B1 Y (0.168:0.168:0.169) (0.050:0.051:0.052))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o31ai_1")
+  (INSTANCE _6015_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.466:0.467:0.467) (0.162:0.162:0.162))
+    (IOPATH A2 Y (0.502:0.502:0.502) (0.213:0.213:0.213))
+    (IOPATH A3 Y (0.408:0.411:0.415) (0.123:0.126:0.128))
+    (IOPATH B1 Y (0.126:0.141:0.156) (0.147:0.153:0.159))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_1")
+  (INSTANCE _6016_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.330:0.330:0.330) (0.341:0.341:0.341))
+    (IOPATH A2 X (0.275:0.277:0.278) (0.293:0.296:0.298))
+    (IOPATH B1 X (0.297:0.327:0.356) (0.234:0.244:0.255))
+    (IOPATH C1 X (0.319:0.319:0.319) (0.223:0.223:0.223))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211oi_1")
+  (INSTANCE _6017_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.215:0.216:0.216) (0.073:0.073:0.074))
+    (IOPATH A2 Y (0.226:0.227:0.227) (0.086:0.087:0.087))
+    (IOPATH B1 Y (0.197:0.197:0.198) (0.064:0.064:0.064))
+    (IOPATH C1 Y (0.160:0.161:0.161) (0.058:0.058:0.058))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4b_1")
+  (INSTANCE _6018_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.333:0.334:0.335) (0.264:0.267:0.269))
+    (IOPATH B X (0.346:0.346:0.346) (0.278:0.278:0.278))
+    (IOPATH C X (0.338:0.338:0.338) (0.319:0.319:0.319))
+    (IOPATH D X (0.296:0.306:0.315) (0.266:0.269:0.272))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _6019_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.170:0.174:0.178) (0.096:0.099:0.101))
+    (IOPATH B Y (0.157:0.157:0.157) (0.073:0.073:0.073))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _6020_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.060:0.064:0.069) (0.062:0.064:0.065))
+    (IOPATH B Y (0.071:0.071:0.071) (0.058:0.058:0.058))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3b_1")
+  (INSTANCE _6021_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.249:0.254:0.258) (0.225:0.225:0.225))
+    (IOPATH B X (0.272:0.272:0.272) (0.218:0.225:0.232))
+    (IOPATH C X (0.196:0.197:0.198) (0.198:0.202:0.207))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _6022_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.094:0.094:0.095) (0.072:0.072:0.073))
+    (IOPATH B Y (0.101:0.102:0.102) (0.066:0.067:0.067))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _6023_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.135:0.136:0.137) (0.077:0.077:0.077))
+    (IOPATH B Y (0.114:0.116:0.118) (0.055:0.055:0.055))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _6024_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.114:0.114:0.115) (0.120:0.121:0.123))
+    (IOPATH A Y (0.150:0.151:0.153) (0.069:0.070:0.070))
+    (IOPATH B Y (0.127:0.127:0.127) (0.114:0.117:0.120))
+    (IOPATH B Y (0.128:0.131:0.133) (0.074:0.074:0.074))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _6025_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.184:0.186:0.187) (0.091:0.091:0.092))
+    (IOPATH B Y (0.074:0.076:0.077) (0.036:0.043:0.050))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _6026_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.234:0.234:0.234) (0.439:0.439:0.439))
+    (IOPATH B X (0.148:0.148:0.149) (0.382:0.383:0.383))
+    (IOPATH C X (0.133:0.139:0.145) (0.335:0.344:0.352))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _6027_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.213:0.214:0.215) (0.202:0.202:0.202))
+    (IOPATH B X (0.148:0.148:0.148) (0.177:0.178:0.178))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _6028_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.138:0.146:0.153) (0.126:0.132:0.139))
+    (IOPATH A Y (0.157:0.163:0.169) (0.095:0.103:0.111))
+    (IOPATH B Y (0.119:0.119:0.119) (0.122:0.122:0.122))
+    (IOPATH B Y (0.137:0.137:0.137) (0.066:0.066:0.066))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _6029_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.103:0.103:0.103) (0.101:0.101:0.101))
+    (IOPATH B Y (0.066:0.072:0.077) (0.057:0.066:0.075))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_1")
+  (INSTANCE _6030_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.342:0.342:0.342) (0.350:0.350:0.351))
+    (IOPATH A2 X (0.300:0.301:0.301) (0.334:0.335:0.335))
+    (IOPATH B1 X (0.304:0.306:0.307) (0.225:0.236:0.247))
+    (IOPATH C1 X (0.352:0.352:0.352) (0.243:0.243:0.243))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _6031_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.175:0.177:0.179) (0.189:0.191:0.194))
+    (IOPATH B X (0.168:0.168:0.168) (0.173:0.173:0.173))
+    (IOPATH C X (0.205:0.206:0.208) (0.182:0.194:0.205))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _6032_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.156:0.161:0.167) (0.087:0.089:0.090))
+    (IOPATH B Y (0.133:0.133:0.134) (0.055:0.055:0.056))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _6033_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.136:0.137:0.138) (0.088:0.088:0.088))
+    (IOPATH A2 Y (0.114:0.118:0.122) (0.077:0.077:0.077))
+    (IOPATH B1 Y (0.067:0.072:0.077) (0.086:0.086:0.086))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _6034_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.124:0.124:0.124) (0.364:0.365:0.366))
+    (IOPATH B X (0.125:0.125:0.126) (0.334:0.337:0.340))
+    (IOPATH C X (0.119:0.119:0.119) (0.293:0.296:0.299))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3b_1")
+  (INSTANCE _6035_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.346:0.346:0.346) (0.281:0.281:0.281))
+    (IOPATH B X (0.251:0.255:0.258) (0.216:0.219:0.222))
+    (IOPATH C X (0.247:0.247:0.247) (0.239:0.239:0.239))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _6036_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.164:0.166:0.168) (0.167:0.171:0.174))
+    (IOPATH B X (0.165:0.166:0.166) (0.170:0.170:0.171))
+    (IOPATH C X (0.213:0.214:0.216) (0.187:0.198:0.209))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _6037_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.160:0.166:0.172) (0.095:0.095:0.095))
+    (IOPATH B Y (0.139:0.140:0.140) (0.058:0.059:0.059))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _6038_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.148:0.148:0.148) (0.188:0.188:0.189))
+    (IOPATH B X (0.147:0.159:0.170) (0.174:0.177:0.180))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _6039_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.186:0.186:0.186) (0.154:0.160:0.165))
+    (IOPATH A Y (0.247:0.252:0.257) (0.131:0.131:0.131))
+    (IOPATH B Y (0.164:0.164:0.164) (0.154:0.154:0.154))
+    (IOPATH B Y (0.231:0.231:0.231) (0.098:0.098:0.098))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _6040_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.158:0.160:0.162) (0.169:0.172:0.176))
+    (IOPATH B X (0.159:0.159:0.160) (0.166:0.166:0.167))
+    (IOPATH C X (0.197:0.198:0.200) (0.177:0.186:0.195))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _6041_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.139:0.145:0.150) (0.082:0.083:0.085))
+    (IOPATH B Y (0.113:0.114:0.114) (0.047:0.047:0.048))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _6042_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.213:0.215:0.216) (0.208:0.208:0.208))
+    (IOPATH B X (0.136:0.136:0.137) (0.169:0.169:0.170))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _6043_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.129:0.129:0.129) (0.113:0.119:0.125))
+    (IOPATH A Y (0.143:0.149:0.154) (0.085:0.085:0.085))
+    (IOPATH B Y (0.113:0.113:0.113) (0.117:0.118:0.118))
+    (IOPATH B Y (0.131:0.131:0.131) (0.061:0.061:0.061))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a221o_1")
+  (INSTANCE _6044_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.240:0.240:0.240) (0.370:0.370:0.370))
+    (IOPATH A2 X (0.198:0.215:0.232) (0.387:0.390:0.393))
+    (IOPATH B1 X (0.168:0.180:0.192) (0.320:0.322:0.323))
+    (IOPATH B2 X (0.210:0.210:0.210) (0.382:0.382:0.382))
+    (IOPATH C1 X (0.203:0.203:0.203) (0.327:0.327:0.327))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _6045_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.061:0.072:0.083) (0.087:0.087:0.088))
+    (IOPATH B Y (0.072:0.081:0.090) (0.086:0.087:0.087))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _6046_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.152:0.154:0.155) (0.223:0.233:0.243))
+    (IOPATH B X (0.138:0.140:0.141) (0.207:0.215:0.223))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _6047_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.127:0.129:0.131) (0.176:0.181:0.186))
+    (IOPATH A2 X (0.132:0.132:0.133) (0.194:0.194:0.194))
+    (IOPATH B1 X (0.150:0.150:0.150) (0.221:0.221:0.221))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+  (INSTANCE _6048_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.326:0.326:0.326) (0.357:0.358:0.358))
+    (IOPATH A2 X (0.300:0.300:0.301) (0.332:0.332:0.332))
+    (IOPATH B1 X (0.302:0.302:0.302) (0.203:0.204:0.204))
+    (IOPATH C1 X (0.350:0.350:0.350) (0.215:0.215:0.215))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _6049_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.151:0.152:0.153) (0.095:0.095:0.095))
+    (IOPATH A2 Y (0.155:0.163:0.170) (0.113:0.114:0.115))
+    (IOPATH B1 Y (0.235:0.237:0.238) (0.084:0.084:0.084))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _6050_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.181:0.181:0.181) (0.221:0.222:0.223))
+    (IOPATH A2 X (0.176:0.177:0.178) (0.193:0.201:0.208))
+    (IOPATH B1 X (0.155:0.162:0.169) (0.127:0.135:0.143))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _6051_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.071:0.079:0.088) (0.085:0.093:0.101))
+    (IOPATH B Y (0.082:0.091:0.101) (0.099:0.100:0.101))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _6052_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.124:0.134:0.144) (0.219:0.226:0.233))
+    (IOPATH B X (0.134:0.135:0.136) (0.203:0.211:0.219))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _6053_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.113:0.115:0.117) (0.139:0.143:0.147))
+    (IOPATH B X (0.113:0.113:0.113) (0.148:0.148:0.148))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _6054_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.076:0.080:0.083) (0.073:0.073:0.073))
+    (IOPATH B Y (0.066:0.073:0.080) (0.075:0.077:0.078))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _6055_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.154:0.154:0.154) (0.197:0.200:0.203))
+    (IOPATH A2 X (0.133:0.135:0.137) (0.164:0.170:0.177))
+    (IOPATH B1 X (0.166:0.166:0.166) (0.134:0.134:0.134))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _6056_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.317:0.317:0.317) (0.316:0.316:0.316))
+    (IOPATH A2 X (0.256:0.256:0.256) (0.303:0.303:0.304))
+    (IOPATH B1 X (0.243:0.243:0.244) (0.260:0.262:0.263))
+    (IOPATH B2 X (0.246:0.246:0.246) (0.273:0.273:0.274))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _6057_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.171:0.172:0.174) (0.252:0.261:0.269))
+    (IOPATH B X (0.161:0.163:0.165) (0.228:0.242:0.257))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _6058_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.065:0.074:0.084) (0.081:0.082:0.083))
+    (IOPATH B Y (0.066:0.082:0.098) (0.082:0.083:0.084))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _6059_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.122:0.122:0.122) (0.168:0.168:0.168))
+    (IOPATH A2 X (0.115:0.117:0.119) (0.184:0.187:0.190))
+    (IOPATH B1 X (0.133:0.133:0.133) (0.208:0.208:0.208))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o311a_2")
+  (INSTANCE _6060_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.440:0.440:0.440) (0.572:0.572:0.572))
+    (IOPATH A2 X (0.385:0.385:0.385) (0.515:0.516:0.517))
+    (IOPATH A3 X (0.394:0.394:0.394) (0.502:0.502:0.502))
+    (IOPATH B1 X (0.377:0.377:0.377) (0.237:0.238:0.238))
+    (IOPATH C1 X (0.457:0.457:0.457) (0.270:0.270:0.270))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _6061_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.207:0.208:0.208) (0.202:0.203:0.203))
+    (IOPATH B X (0.135:0.135:0.135) (0.162:0.163:0.163))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _6062_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.134:0.134:0.134) (0.065:0.065:0.065))
+    (IOPATH A2 Y (0.153:0.153:0.153) (0.070:0.070:0.070))
+    (IOPATH B1 Y (0.115:0.115:0.115) (0.039:0.039:0.039))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _6063_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.166:0.167:0.167) (0.104:0.104:0.104))
+    (IOPATH A2 Y (0.170:0.173:0.176) (0.089:0.099:0.109))
+    (IOPATH B1 Y (0.131:0.131:0.131) (0.131:0.131:0.131))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _6064_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.281:0.283:0.286) (0.130:0.136:0.143))
+    (IOPATH A X (0.278:0.282:0.285) (0.203:0.205:0.208))
+    (IOPATH B X (0.260:0.260:0.260) (0.111:0.112:0.112))
+    (IOPATH B X (0.270:0.270:0.270) (0.182:0.182:0.182))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _6065_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.161:0.162:0.163) (0.180:0.189:0.198))
+    (IOPATH A2 X (0.133:0.133:0.133) (0.204:0.204:0.205))
+    (IOPATH B1_N X (0.190:0.194:0.198) (0.202:0.203:0.205))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _6066_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.165:0.166:0.166) (0.157:0.158:0.158))
+    (IOPATH A Y (0.256:0.257:0.257) (0.106:0.106:0.107))
+    (IOPATH B Y (0.212:0.213:0.214) (0.169:0.180:0.191))
+    (IOPATH B Y (0.251:0.261:0.271) (0.155:0.157:0.159))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _6067_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.183:0.183:0.183) (0.221:0.222:0.223))
+    (IOPATH A2 X (0.178:0.179:0.180) (0.193:0.201:0.209))
+    (IOPATH B1 X (0.148:0.148:0.148) (0.127:0.127:0.127))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _6068_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.159:0.167:0.175) (0.092:0.106:0.119))
+    (IOPATH A2 Y (0.134:0.135:0.136) (0.069:0.069:0.069))
+    (IOPATH B1 Y (0.123:0.123:0.123) (0.106:0.106:0.106))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _6069_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.145:0.158:0.171) (0.180:0.189:0.197))
+    (IOPATH A2 X (0.136:0.136:0.136) (0.189:0.190:0.191))
+    (IOPATH B1 X (0.112:0.115:0.119) (0.163:0.169:0.175))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_1")
+  (INSTANCE _6070_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.216:0.216:0.216) (0.274:0.274:0.274))
+    (IOPATH A2 X (0.143:0.156:0.168) (0.211:0.216:0.220))
+    (IOPATH B1 X (0.138:0.138:0.139) (0.117:0.117:0.118))
+    (IOPATH C1 X (0.190:0.190:0.190) (0.155:0.155:0.155))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _6071_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.297:0.297:0.297) (0.199:0.199:0.199))
+    (IOPATH A2 Y (0.253:0.259:0.265) (0.164:0.166:0.167))
+    (IOPATH B1 Y (0.153:0.155:0.156) (0.109:0.110:0.111))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+  (INSTANCE _6072_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.417:0.419:0.421) (0.378:0.382:0.385))
+    (IOPATH A2 X (0.410:0.413:0.417) (0.369:0.378:0.386))
+    (IOPATH B1 X (0.445:0.456:0.466) (0.275:0.281:0.288))
+    (IOPATH C1 X (0.481:0.481:0.481) (0.274:0.274:0.274))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _6073_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.137:0.137:0.137) (0.243:0.244:0.244))
+    (IOPATH B_N X (0.196:0.199:0.202) (0.255:0.263:0.272))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _6074_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.188:0.193:0.198) (0.097:0.110:0.123))
+    (IOPATH A X (0.172:0.183:0.193) (0.187:0.192:0.197))
+    (IOPATH B X (0.141:0.141:0.141) (0.062:0.062:0.062))
+    (IOPATH B X (0.153:0.153:0.153) (0.142:0.142:0.142))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _6075_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.157:0.165:0.174) (0.107:0.108:0.109))
+    (IOPATH A X (0.175:0.176:0.176) (0.164:0.173:0.181))
+    (IOPATH B X (0.133:0.133:0.134) (0.071:0.071:0.072))
+    (IOPATH B X (0.151:0.151:0.152) (0.141:0.142:0.142))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _6076_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.151:0.152:0.154) (0.365:0.373:0.380))
+    (IOPATH B X (0.122:0.122:0.122) (0.335:0.338:0.341))
+    (IOPATH C X (0.148:0.150:0.152) (0.303:0.309:0.315))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _6077_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.250:0.255:0.261) (0.245:0.251:0.256))
+    (IOPATH A2 X (0.298:0.298:0.298) (0.335:0.335:0.335))
+    (IOPATH A3 X (0.233:0.234:0.234) (0.282:0.282:0.282))
+    (IOPATH B1 X (0.273:0.273:0.273) (0.290:0.290:0.290))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _6078_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.132:0.132:0.133) (0.085:0.085:0.085))
+    (IOPATH A2 Y (0.106:0.106:0.106) (0.055:0.055:0.055))
+    (IOPATH B1 Y (0.075:0.080:0.086) (0.070:0.082:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _6079_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.149:0.149:0.150) (0.159:0.159:0.159))
+    (IOPATH B X (0.210:0.210:0.210) (0.201:0.201:0.200))
+    (IOPATH C X (0.162:0.165:0.167) (0.171:0.179:0.187))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211o_1")
+  (INSTANCE _6080_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.324:0.324:0.324) (0.397:0.397:0.397))
+    (IOPATH A2 X (0.285:0.288:0.290) (0.402:0.408:0.415))
+    (IOPATH B1 X (0.282:0.282:0.282) (0.401:0.402:0.402))
+    (IOPATH C1 X (0.245:0.246:0.246) (0.340:0.341:0.341))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+  (INSTANCE _6081_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.434:0.434:0.434) (0.409:0.409:0.409))
+    (IOPATH A2 X (0.393:0.395:0.397) (0.356:0.365:0.374))
+    (IOPATH B1 X (0.442:0.442:0.442) (0.286:0.286:0.287))
+    (IOPATH C1 X (0.462:0.462:0.462) (0.267:0.267:0.267))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or4_1")
+  (INSTANCE _6082_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.168:0.168:0.168) (0.553:0.553:0.553))
+    (IOPATH B X (0.192:0.192:0.192) (0.551:0.551:0.551))
+    (IOPATH C X (0.176:0.176:0.176) (0.506:0.506:0.506))
+    (IOPATH D X (0.181:0.181:0.181) (0.452:0.452:0.452))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _6083_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.271:0.272:0.272) (0.255:0.256:0.256))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6084_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.200:0.200:0.200) (0.367:0.367:0.367))
+    (IOPATH A1 X (0.207:0.207:0.207) (0.347:0.347:0.347))
+    (IOPATH S X (0.241:0.241:0.241) (0.349:0.349:0.349))
+    (IOPATH S X (0.177:0.177:0.177) (0.345:0.345:0.345))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6085_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.085:0.087:0.089) (0.095:0.095:0.096))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6086_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.198:0.198:0.198) (0.360:0.360:0.360))
+    (IOPATH A1 X (0.197:0.197:0.197) (0.361:0.361:0.361))
+    (IOPATH S X (0.244:0.244:0.244) (0.353:0.353:0.353))
+    (IOPATH S X (0.179:0.179:0.179) (0.349:0.349:0.349))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6087_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.080:0.081:0.083) (0.092:0.092:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6088_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.188:0.188:0.188) (0.318:0.318:0.318))
+    (IOPATH A1 X (0.199:0.199:0.199) (0.340:0.340:0.340))
+    (IOPATH S X (0.239:0.239:0.239) (0.347:0.347:0.347))
+    (IOPATH S X (0.175:0.175:0.175) (0.343:0.343:0.343))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6089_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.090:0.092:0.094) (0.098:0.098:0.098))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6090_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.193:0.193:0.193) (0.357:0.357:0.357))
+    (IOPATH A1 X (0.183:0.183:0.183) (0.338:0.338:0.338))
+    (IOPATH S X (0.238:0.238:0.238) (0.346:0.346:0.346))
+    (IOPATH S X (0.174:0.174:0.174) (0.342:0.342:0.342))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6091_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.084:0.086:0.087) (0.094:0.094:0.095))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6092_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.205:0.205:0.205) (0.370:0.370:0.370))
+    (IOPATH A1 X (0.177:0.177:0.177) (0.318:0.318:0.318))
+    (IOPATH S X (0.249:0.249:0.249) (0.358:0.358:0.358))
+    (IOPATH S X (0.185:0.185:0.185) (0.354:0.354:0.354))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6093_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.088:0.089:0.090) (0.097:0.098:0.098))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6094_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.202:0.202:0.202) (0.336:0.336:0.336))
+    (IOPATH A1 X (0.167:0.167:0.167) (0.310:0.310:0.310))
+    (IOPATH S X (0.243:0.243:0.243) (0.352:0.352:0.352))
+    (IOPATH S X (0.179:0.179:0.179) (0.348:0.348:0.348))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6095_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.078:0.080:0.081) (0.091:0.091:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6096_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.198:0.198:0.198) (0.336:0.336:0.336))
+    (IOPATH A1 X (0.165:0.165:0.165) (0.305:0.305:0.305))
+    (IOPATH S X (0.238:0.238:0.238) (0.345:0.345:0.345))
+    (IOPATH S X (0.173:0.173:0.173) (0.341:0.341:0.341))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6097_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.087:0.088:0.090) (0.095:0.096:0.096))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6098_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.203:0.203:0.203) (0.340:0.340:0.340))
+    (IOPATH A1 X (0.163:0.163:0.163) (0.304:0.304:0.304))
+    (IOPATH S X (0.238:0.238:0.238) (0.346:0.346:0.346))
+    (IOPATH S X (0.174:0.174:0.174) (0.342:0.342:0.342))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6099_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.083:0.085:0.087) (0.094:0.094:0.094))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or4b_1")
+  (INSTANCE _6100_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.178:0.178:0.178) (0.569:0.569:0.569))
+    (IOPATH B X (0.193:0.193:0.193) (0.555:0.555:0.555))
+    (IOPATH C X (0.196:0.196:0.196) (0.534:0.534:0.534))
+    (IOPATH D_N X (0.240:0.240:0.240) (0.490:0.490:0.490))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _6101_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.326:0.327:0.328) (0.296:0.296:0.296))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6102_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.203:0.203:0.203) (0.372:0.372:0.372))
+    (IOPATH A1 X (0.204:0.204:0.204) (0.374:0.374:0.374))
+    (IOPATH S X (0.264:0.264:0.264) (0.362:0.362:0.362))
+    (IOPATH S X (0.194:0.194:0.194) (0.367:0.367:0.367))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6103_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.081:0.082:0.084) (0.093:0.093:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6104_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.197:0.197:0.197) (0.359:0.359:0.359))
+    (IOPATH A1 X (0.204:0.204:0.204) (0.375:0.375:0.375))
+    (IOPATH S X (0.263:0.263:0.263) (0.360:0.360:0.360))
+    (IOPATH S X (0.194:0.194:0.194) (0.366:0.366:0.366))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6105_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.084:0.086:0.087) (0.095:0.095:0.095))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6106_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.192:0.192:0.192) (0.322:0.322:0.322))
+    (IOPATH A1 X (0.201:0.201:0.201) (0.369:0.369:0.369))
+    (IOPATH S X (0.263:0.263:0.263) (0.360:0.360:0.360))
+    (IOPATH S X (0.193:0.193:0.193) (0.365:0.365:0.365))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6107_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.082:0.084:0.085) (0.093:0.093:0.094))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6108_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.195:0.195:0.195) (0.358:0.358:0.358))
+    (IOPATH A1 X (0.202:0.202:0.202) (0.376:0.376:0.376))
+    (IOPATH S X (0.260:0.260:0.260) (0.357:0.357:0.357))
+    (IOPATH S X (0.191:0.191:0.191) (0.362:0.362:0.362))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6109_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.080:0.082:0.084) (0.092:0.092:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6110_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.210:0.210:0.210) (0.374:0.374:0.374))
+    (IOPATH A1 X (0.170:0.170:0.170) (0.326:0.326:0.326))
+    (IOPATH S X (0.274:0.274:0.274) (0.372:0.372:0.372))
+    (IOPATH S X (0.205:0.205:0.205) (0.377:0.377:0.377))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6111_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.093:0.094:0.095) (0.101:0.101:0.102))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6112_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.218:0.218:0.218) (0.351:0.351:0.351))
+    (IOPATH A1 X (0.192:0.192:0.192) (0.331:0.331:0.331))
+    (IOPATH S X (0.277:0.277:0.277) (0.376:0.376:0.376))
+    (IOPATH S X (0.208:0.208:0.208) (0.381:0.381:0.381))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6113_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.118:0.119:0.120) (0.116:0.116:0.116))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6114_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.198:0.198:0.198) (0.335:0.335:0.335))
+    (IOPATH A1 X (0.191:0.191:0.191) (0.353:0.353:0.353))
+    (IOPATH S X (0.258:0.258:0.258) (0.354:0.354:0.354))
+    (IOPATH S X (0.189:0.189:0.189) (0.360:0.360:0.360))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6115_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.080:0.082:0.084) (0.092:0.092:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6116_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.207:0.207:0.207) (0.343:0.343:0.343))
+    (IOPATH A1 X (0.189:0.189:0.189) (0.347:0.347:0.347))
+    (IOPATH S X (0.261:0.261:0.261) (0.358:0.358:0.358))
+    (IOPATH S X (0.192:0.192:0.192) (0.363:0.363:0.363))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6117_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.090:0.092:0.094) (0.098:0.098:0.098))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or4b_1")
+  (INSTANCE _6118_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.175:0.175:0.175) (0.563:0.563:0.563))
+    (IOPATH B X (0.200:0.200:0.200) (0.562:0.562:0.562))
+    (IOPATH C X (0.183:0.183:0.183) (0.516:0.516:0.516))
+    (IOPATH D_N X (0.236:0.236:0.236) (0.485:0.485:0.485))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _6119_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.282:0.283:0.284) (0.266:0.266:0.266))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6120_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.197:0.197:0.197) (0.364:0.364:0.364))
+    (IOPATH A1 X (0.200:0.200:0.200) (0.372:0.372:0.372))
+    (IOPATH S X (0.242:0.242:0.242) (0.348:0.348:0.348))
+    (IOPATH S X (0.177:0.177:0.177) (0.345:0.345:0.345))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6121_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.082:0.084:0.086) (0.093:0.093:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6122_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.199:0.199:0.199) (0.362:0.362:0.362))
+    (IOPATH A1 X (0.218:0.218:0.218) (0.366:0.366:0.366))
+    (IOPATH S X (0.249:0.249:0.249) (0.356:0.356:0.356))
+    (IOPATH S X (0.184:0.184:0.184) (0.354:0.354:0.354))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6123_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.088:0.090:0.092) (0.097:0.098:0.098))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6124_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.184:0.184:0.184) (0.314:0.314:0.314))
+    (IOPATH A1 X (0.167:0.167:0.167) (0.305:0.305:0.305))
+    (IOPATH S X (0.240:0.240:0.240) (0.345:0.345:0.345))
+    (IOPATH S X (0.175:0.175:0.175) (0.343:0.343:0.343))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6125_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.082:0.083:0.084) (0.092:0.092:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6126_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.190:0.190:0.190) (0.354:0.354:0.354))
+    (IOPATH A1 X (0.171:0.171:0.171) (0.324:0.324:0.324))
+    (IOPATH S X (0.239:0.239:0.239) (0.345:0.345:0.345))
+    (IOPATH S X (0.174:0.175:0.175) (0.342:0.342:0.342))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6127_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.080:0.081:0.083) (0.091:0.091:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6128_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.194:0.194:0.194) (0.359:0.359:0.359))
+    (IOPATH A1 X (0.181:0.181:0.181) (0.335:0.335:0.335))
+    (IOPATH S X (0.243:0.243:0.243) (0.349:0.349:0.349))
+    (IOPATH S X (0.179:0.179:0.179) (0.347:0.347:0.347))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6129_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.079:0.081:0.082) (0.091:0.091:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6130_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.196:0.196:0.196) (0.329:0.329:0.329))
+    (IOPATH A1 X (0.168:0.168:0.168) (0.307:0.307:0.307))
+    (IOPATH S X (0.241:0.241:0.241) (0.347:0.347:0.347))
+    (IOPATH S X (0.176:0.176:0.176) (0.344:0.344:0.344))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6131_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.078:0.080:0.082) (0.090:0.090:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6132_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.200:0.200:0.200) (0.339:0.339:0.339))
+    (IOPATH A1 X (0.172:0.172:0.172) (0.311:0.311:0.311))
+    (IOPATH S X (0.243:0.243:0.243) (0.350:0.350:0.350))
+    (IOPATH S X (0.179:0.179:0.179) (0.347:0.347:0.347))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6133_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.081:0.083:0.084) (0.092:0.092:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6134_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.200:0.200:0.200) (0.337:0.337:0.337))
+    (IOPATH A1 X (0.146:0.146:0.146) (0.300:0.300:0.300))
+    (IOPATH S X (0.240:0.240:0.240) (0.345:0.345:0.345))
+    (IOPATH S X (0.175:0.175:0.175) (0.343:0.343:0.343))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6135_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.083:0.085:0.087) (0.093:0.093:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4bb_4")
+  (INSTANCE _6136_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.576:0.576:0.576) (0.356:0.356:0.356))
+    (IOPATH B_N X (0.629:0.629:0.629) (0.406:0.406:0.406))
+    (IOPATH C X (0.548:0.548:0.548) (0.391:0.391:0.391))
+    (IOPATH D X (0.538:0.538:0.538) (0.402:0.402:0.402))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6137_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.186:0.186:0.186) (0.339:0.339:0.339))
+    (IOPATH A1 X (0.201:0.201:0.201) (0.374:0.374:0.374))
+    (IOPATH S X (0.234:0.235:0.236) (0.358:0.358:0.358))
+    (IOPATH S X (0.194:0.194:0.194) (0.338:0.339:0.341))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6138_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.087:0.089:0.091) (0.096:0.096:0.096))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6139_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.193:0.193:0.193) (0.347:0.347:0.347))
+    (IOPATH A1 X (0.202:0.202:0.202) (0.371:0.371:0.371))
+    (IOPATH S X (0.239:0.241:0.242) (0.365:0.365:0.365))
+    (IOPATH S X (0.200:0.200:0.200) (0.345:0.347:0.348))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6140_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.090:0.091:0.093) (0.098:0.099:0.099))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6141_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.187:0.187:0.187) (0.343:0.343:0.343))
+    (IOPATH A1 X (0.188:0.188:0.188) (0.324:0.324:0.324))
+    (IOPATH S X (0.232:0.233:0.234) (0.355:0.355:0.356))
+    (IOPATH S X (0.192:0.192:0.192) (0.336:0.337:0.338))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6142_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.086:0.088:0.089) (0.095:0.095:0.096))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6143_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.183:0.183:0.183) (0.336:0.336:0.336))
+    (IOPATH A1 X (0.192:0.192:0.192) (0.361:0.361:0.361))
+    (IOPATH S X (0.230:0.231:0.232) (0.353:0.353:0.353))
+    (IOPATH S X (0.190:0.190:0.190) (0.333:0.335:0.336))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6144_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.079:0.080:0.082) (0.090:0.090:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6145_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.151:0.151:0.151) (0.297:0.297:0.297))
+    (IOPATH A1 X (0.192:0.192:0.192) (0.362:0.362:0.362))
+    (IOPATH S X (0.230:0.231:0.232) (0.353:0.353:0.353))
+    (IOPATH S X (0.190:0.190:0.190) (0.333:0.335:0.336))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6146_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.081:0.083:0.085) (0.092:0.092:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6147_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.166:0.166:0.166) (0.298:0.298:0.298))
+    (IOPATH A1 X (0.198:0.198:0.198) (0.338:0.338:0.338))
+    (IOPATH S X (0.232:0.233:0.235) (0.356:0.356:0.356))
+    (IOPATH S X (0.192:0.192:0.193) (0.336:0.337:0.338))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6148_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.096:0.097:0.099) (0.101:0.101:0.101))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6149_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.186:0.186:0.186) (0.338:0.338:0.338))
+    (IOPATH A1 X (0.204:0.204:0.204) (0.348:0.348:0.348))
+    (IOPATH S X (0.235:0.236:0.237) (0.359:0.360:0.360))
+    (IOPATH S X (0.195:0.196:0.196) (0.340:0.341:0.342))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6150_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.079:0.080:0.082) (0.091:0.091:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6151_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.198:0.198:0.198) (0.335:0.335:0.335))
+    (IOPATH A1 X (0.208:0.208:0.208) (0.350:0.350:0.350))
+    (IOPATH S X (0.234:0.235:0.237) (0.359:0.359:0.359))
+    (IOPATH S X (0.195:0.195:0.195) (0.339:0.340:0.341))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6152_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.080:0.082:0.084) (0.092:0.092:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or4b_1")
+  (INSTANCE _6153_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.173:0.173:0.173) (0.562:0.562:0.562))
+    (IOPATH B X (0.198:0.198:0.198) (0.560:0.560:0.560))
+    (IOPATH C X (0.191:0.191:0.191) (0.527:0.527:0.527))
+    (IOPATH D_N X (0.222:0.222:0.222) (0.477:0.477:0.477))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _6154_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.222:0.223:0.225) (0.208:0.208:0.208))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6155_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.199:0.199:0.199) (0.367:0.367:0.367))
+    (IOPATH A1 X (0.212:0.212:0.212) (0.354:0.354:0.354))
+    (IOPATH S X (0.217:0.217:0.217) (0.344:0.344:0.344))
+    (IOPATH S X (0.169:0.169:0.169) (0.325:0.325:0.325))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6156_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.083:0.085:0.087) (0.094:0.094:0.094))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6157_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.192:0.192:0.192) (0.353:0.353:0.353))
+    (IOPATH A1 X (0.196:0.196:0.196) (0.333:0.333:0.333))
+    (IOPATH S X (0.215:0.215:0.215) (0.341:0.341:0.341))
+    (IOPATH S X (0.166:0.166:0.166) (0.322:0.322:0.322))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6158_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.084:0.085:0.087) (0.094:0.094:0.094))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6159_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.194:0.194:0.194) (0.325:0.325:0.325))
+    (IOPATH A1 X (0.195:0.195:0.195) (0.355:0.355:0.355))
+    (IOPATH S X (0.221:0.221:0.221) (0.349:0.349:0.349))
+    (IOPATH S X (0.173:0.173:0.173) (0.330:0.330:0.330))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6160_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.080:0.081:0.082) (0.092:0.092:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6161_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.192:0.192:0.192) (0.356:0.356:0.356))
+    (IOPATH A1 X (0.197:0.197:0.197) (0.336:0.336:0.336))
+    (IOPATH S X (0.214:0.214:0.214) (0.340:0.340:0.340))
+    (IOPATH S X (0.166:0.166:0.166) (0.322:0.322:0.322))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6162_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.080:0.081:0.083) (0.091:0.091:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6163_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.197:0.197:0.197) (0.362:0.362:0.362))
+    (IOPATH A1 X (0.198:0.198:0.198) (0.365:0.365:0.365))
+    (IOPATH S X (0.219:0.219:0.219) (0.346:0.346:0.346))
+    (IOPATH S X (0.170:0.170:0.170) (0.327:0.327:0.327))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6164_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.081:0.082:0.084) (0.092:0.093:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6165_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.198:0.198:0.198) (0.331:0.331:0.331))
+    (IOPATH A1 X (0.191:0.191:0.191) (0.328:0.328:0.328))
+    (IOPATH S X (0.216:0.216:0.216) (0.343:0.343:0.343))
+    (IOPATH S X (0.168:0.168:0.168) (0.324:0.324:0.324))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6166_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.081:0.083:0.085) (0.092:0.092:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6167_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.196:0.196:0.196) (0.335:0.335:0.335))
+    (IOPATH A1 X (0.175:0.175:0.175) (0.330:0.330:0.330))
+    (IOPATH S X (0.213:0.213:0.213) (0.339:0.339:0.339))
+    (IOPATH S X (0.165:0.165:0.165) (0.321:0.321:0.321))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6168_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.082:0.084:0.086) (0.093:0.093:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6169_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.206:0.206:0.206) (0.343:0.343:0.343))
+    (IOPATH A1 X (0.183:0.183:0.183) (0.339:0.339:0.339))
+    (IOPATH S X (0.217:0.217:0.217) (0.344:0.344:0.344))
+    (IOPATH S X (0.169:0.169:0.169) (0.326:0.326:0.326))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6170_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.076:0.078:0.080) (0.089:0.089:0.090))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4bb_4")
+  (INSTANCE _6171_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.451:0.451:0.451) (0.305:0.305:0.305))
+    (IOPATH B_N X (0.520:0.520:0.520) (0.362:0.362:0.362))
+    (IOPATH C X (0.409:0.409:0.409) (0.321:0.321:0.321))
+    (IOPATH D X (0.412:0.412:0.412) (0.348:0.348:0.348))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6172_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.199:0.199:0.199) (0.333:0.333:0.333))
+    (IOPATH A1 X (0.202:0.202:0.202) (0.375:0.375:0.375))
+    (IOPATH S X (0.206:0.208:0.210) (0.339:0.339:0.339))
+    (IOPATH S X (0.161:0.161:0.161) (0.317:0.318:0.320))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6173_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.093:0.094:0.096) (0.099:0.099:0.100))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6174_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.188:0.188:0.188) (0.349:0.349:0.349))
+    (IOPATH A1 X (0.191:0.191:0.191) (0.359:0.359:0.359))
+    (IOPATH S X (0.201:0.203:0.205) (0.333:0.333:0.333))
+    (IOPATH S X (0.156:0.156:0.157) (0.311:0.312:0.313))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6175_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.079:0.081:0.083) (0.091:0.091:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6176_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.181:0.181:0.181) (0.329:0.329:0.329))
+    (IOPATH A1 X (0.194:0.194:0.194) (0.331:0.331:0.331))
+    (IOPATH S X (0.208:0.210:0.212) (0.341:0.342:0.342))
+    (IOPATH S X (0.163:0.163:0.163) (0.319:0.321:0.322))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6177_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.083:0.084:0.086) (0.094:0.094:0.094))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6178_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.196:0.196:0.196) (0.360:0.360:0.360))
+    (IOPATH A1 X (0.196:0.196:0.196) (0.366:0.366:0.366))
+    (IOPATH S X (0.204:0.206:0.208) (0.337:0.337:0.337))
+    (IOPATH S X (0.159:0.159:0.160) (0.315:0.316:0.317))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6179_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.081:0.083:0.085) (0.092:0.093:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6180_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.195:0.195:0.195) (0.352:0.352:0.352))
+    (IOPATH A1 X (0.204:0.204:0.204) (0.375:0.375:0.375))
+    (IOPATH S X (0.211:0.213:0.215) (0.345:0.345:0.346))
+    (IOPATH S X (0.166:0.166:0.166) (0.323:0.324:0.326))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6181_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.087:0.088:0.090) (0.097:0.097:0.097))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6182_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.179:0.179:0.179) (0.327:0.327:0.327))
+    (IOPATH A1 X (0.203:0.203:0.203) (0.343:0.343:0.343))
+    (IOPATH S X (0.207:0.209:0.210) (0.340:0.340:0.340))
+    (IOPATH S X (0.162:0.162:0.162) (0.318:0.319:0.320))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6183_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.094:0.095:0.097) (0.100:0.100:0.100))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6184_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.177:0.177:0.177) (0.326:0.326:0.326))
+    (IOPATH A1 X (0.208:0.208:0.208) (0.354:0.354:0.354))
+    (IOPATH S X (0.210:0.212:0.214) (0.344:0.344:0.345))
+    (IOPATH S X (0.165:0.165:0.166) (0.322:0.323:0.325))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6185_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.080:0.082:0.083) (0.092:0.092:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6186_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.190:0.190:0.190) (0.325:0.325:0.325))
+    (IOPATH A1 X (0.203:0.203:0.203) (0.345:0.345:0.345))
+    (IOPATH S X (0.202:0.203:0.205) (0.333:0.333:0.334))
+    (IOPATH S X (0.156:0.157:0.157) (0.311:0.313:0.314))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6187_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.083:0.085:0.087) (0.093:0.093:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4bb_4")
+  (INSTANCE _6188_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.608:0.608:0.608) (0.357:0.357:0.357))
+    (IOPATH B_N X (0.660:0.660:0.660) (0.423:0.423:0.423))
+    (IOPATH C X (0.549:0.549:0.549) (0.382:0.382:0.382))
+    (IOPATH D X (0.552:0.552:0.552) (0.408:0.408:0.408))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6189_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.205:0.205:0.205) (0.339:0.339:0.339))
+    (IOPATH A1 X (0.203:0.203:0.203) (0.377:0.377:0.377))
+    (IOPATH S X (0.237:0.238:0.240) (0.362:0.362:0.362))
+    (IOPATH S X (0.199:0.199:0.199) (0.342:0.343:0.345))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6190_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.084:0.086:0.088) (0.095:0.095:0.095))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6191_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.194:0.194:0.194) (0.350:0.350:0.350))
+    (IOPATH A1 X (0.202:0.202:0.202) (0.370:0.370:0.370))
+    (IOPATH S X (0.240:0.242:0.243) (0.366:0.366:0.366))
+    (IOPATH S X (0.202:0.202:0.202) (0.346:0.347:0.349))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6192_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.086:0.088:0.089) (0.096:0.096:0.097))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6193_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.206:0.206:0.206) (0.380:0.380:0.380))
+    (IOPATH A1 X (0.192:0.192:0.192) (0.329:0.329:0.329))
+    (IOPATH S X (0.237:0.238:0.239) (0.361:0.361:0.361))
+    (IOPATH S X (0.198:0.198:0.198) (0.341:0.343:0.344))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6194_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.078:0.079:0.081) (0.090:0.090:0.090))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6195_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.211:0.211:0.211) (0.394:0.394:0.394))
+    (IOPATH A1 X (0.197:0.197:0.197) (0.367:0.367:0.367))
+    (IOPATH S X (0.235:0.237:0.238) (0.360:0.360:0.360))
+    (IOPATH S X (0.197:0.197:0.197) (0.340:0.341:0.342))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6196_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.077:0.079:0.081) (0.089:0.089:0.090))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6197_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.207:0.207:0.207) (0.344:0.344:0.344))
+    (IOPATH A1 X (0.193:0.193:0.193) (0.363:0.363:0.363))
+    (IOPATH S X (0.232:0.233:0.235) (0.355:0.356:0.356))
+    (IOPATH S X (0.193:0.193:0.194) (0.336:0.337:0.338))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6198_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.081:0.083:0.086) (0.092:0.092:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6199_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.203:0.203:0.203) (0.341:0.341:0.341))
+    (IOPATH A1 X (0.199:0.199:0.199) (0.338:0.338:0.338))
+    (IOPATH S X (0.234:0.235:0.236) (0.357:0.357:0.357))
+    (IOPATH S X (0.195:0.195:0.195) (0.338:0.339:0.340))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6200_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.095:0.097:0.100) (0.100:0.101:0.101))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6201_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.202:0.202:0.202) (0.332:0.332:0.332))
+    (IOPATH A1 X (0.215:0.215:0.215) (0.358:0.358:0.358))
+    (IOPATH S X (0.246:0.247:0.249) (0.372:0.372:0.372))
+    (IOPATH S X (0.208:0.208:0.208) (0.352:0.353:0.354))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6202_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.093:0.094:0.095) (0.100:0.100:0.101))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6203_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.205:0.205:0.205) (0.333:0.333:0.333))
+    (IOPATH A1 X (0.222:0.222:0.222) (0.364:0.364:0.364))
+    (IOPATH S X (0.248:0.250:0.251) (0.374:0.374:0.374))
+    (IOPATH S X (0.210:0.210:0.210) (0.354:0.355:0.357))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6204_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.084:0.085:0.087) (0.095:0.095:0.096))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4b_1")
+  (INSTANCE _6205_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.301:0.301:0.301) (0.236:0.236:0.236))
+    (IOPATH B X (0.275:0.275:0.275) (0.260:0.260:0.260))
+    (IOPATH C X (0.256:0.256:0.256) (0.256:0.256:0.256))
+    (IOPATH D X (0.262:0.262:0.262) (0.287:0.287:0.287))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _6206_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.326:0.328:0.329) (0.269:0.270:0.271))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6207_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.202:0.202:0.202) (0.358:0.358:0.358))
+    (IOPATH A1 X (0.216:0.216:0.216) (0.389:0.389:0.389))
+    (IOPATH S X (0.271:0.271:0.271) (0.370:0.370:0.370))
+    (IOPATH S X (0.203:0.203:0.203) (0.375:0.375:0.375))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6208_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.083:0.084:0.085) (0.094:0.095:0.095))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6209_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.191:0.191:0.191) (0.349:0.349:0.349))
+    (IOPATH A1 X (0.196:0.196:0.196) (0.364:0.364:0.364))
+    (IOPATH S X (0.258:0.258:0.258) (0.355:0.355:0.355))
+    (IOPATH S X (0.189:0.189:0.189) (0.360:0.360:0.360))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6210_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.083:0.084:0.086) (0.093:0.093:0.094))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6211_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.201:0.201:0.201) (0.365:0.365:0.365))
+    (IOPATH A1 X (0.197:0.197:0.197) (0.334:0.334:0.334))
+    (IOPATH S X (0.263:0.263:0.263) (0.362:0.362:0.362))
+    (IOPATH S X (0.195:0.195:0.195) (0.367:0.367:0.367))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6212_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.081:0.083:0.084) (0.093:0.093:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6213_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.235:0.235:0.235) (0.411:0.411:0.411))
+    (IOPATH A1 X (0.198:0.198:0.198) (0.368:0.368:0.368))
+    (IOPATH S X (0.259:0.259:0.259) (0.357:0.357:0.357))
+    (IOPATH S X (0.190:0.190:0.190) (0.361:0.361:0.361))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6214_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.079:0.082:0.085) (0.091:0.091:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6215_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.207:0.207:0.207) (0.379:0.379:0.379))
+    (IOPATH A1 X (0.203:0.203:0.203) (0.373:0.373:0.373))
+    (IOPATH S X (0.263:0.263:0.263) (0.362:0.362:0.362))
+    (IOPATH S X (0.194:0.194:0.194) (0.366:0.366:0.366))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6216_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.084:0.086:0.088) (0.095:0.095:0.095))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6217_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.215:0.215:0.215) (0.352:0.352:0.352))
+    (IOPATH A1 X (0.208:0.208:0.208) (0.349:0.349:0.349))
+    (IOPATH S X (0.264:0.264:0.264) (0.363:0.363:0.363))
+    (IOPATH S X (0.196:0.196:0.196) (0.368:0.368:0.368))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6218_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.083:0.085:0.086) (0.094:0.094:0.094))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6219_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.200:0.200:0.200) (0.332:0.332:0.332))
+    (IOPATH A1 X (0.205:0.205:0.205) (0.348:0.348:0.348))
+    (IOPATH S X (0.260:0.260:0.260) (0.357:0.357:0.357))
+    (IOPATH S X (0.191:0.191:0.191) (0.362:0.362:0.362))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6220_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.082:0.084:0.085) (0.093:0.093:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6221_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.208:0.208:0.208) (0.344:0.344:0.344))
+    (IOPATH A1 X (0.213:0.213:0.213) (0.356:0.356:0.356))
+    (IOPATH S X (0.263:0.263:0.263) (0.362:0.362:0.362))
+    (IOPATH S X (0.194:0.194:0.194) (0.366:0.366:0.366))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6222_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.095:0.097:0.099) (0.102:0.102:0.102))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6223_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.438:0.438:0.438) (0.442:0.442:0.442))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.385:0.385:0.385))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.187:-0.187:-0.187))
+    (HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
+    (HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
+    (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056))
+    (SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6224_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.433:0.433:0.433) (0.436:0.436:0.436))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.356:0.356:0.356))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.198:-0.198:-0.198))
+    (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034))
+    (HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037))
+    (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059))
+    (SETUP (negedge D) (posedge CLK) (0.105:0.105:0.105))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6225_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.378:0.378:0.378) (0.399:0.399:0.399))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.377:0.377:0.377))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.178:-0.178:-0.178))
+    (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037))
+    (HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040))
+    (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063))
+    (SETUP (negedge D) (posedge CLK) (0.109:0.109:0.109))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6226_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.406:0.406:0.406) (0.419:0.419:0.419))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.351:0.351:0.351))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.203:-0.203:-0.203))
+    (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036))
+    (HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039))
+    (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061))
+    (SETUP (negedge D) (posedge CLK) (0.107:0.107:0.107))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6227_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.351:0.351:0.351) (0.383:0.383:0.383))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.381:0.381:0.381))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.191:-0.191:-0.191))
+    (HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
+    (HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
+    (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056))
+    (SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+  (INSTANCE _6228_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.450:0.450:0.450) (0.471:0.471:0.471))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.381:0.381:0.381))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.172:-0.172:-0.172))
+    (HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
+    (HOLD (negedge D) (posedge CLK) (-0.027:-0.027:-0.027))
+    (SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058))
+    (SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+  (INSTANCE _6229_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.444:0.444:0.444) (0.466:0.466:0.466))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.381:0.381:0.381))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.172:-0.172:-0.172))
+    (HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
+    (HOLD (negedge D) (posedge CLK) (-0.029:-0.029:-0.029))
+    (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061))
+    (SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_4")
+  (INSTANCE _6230_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.481:0.481:0.481) (0.535:0.535:0.535))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.381:0.381:0.381))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.165:-0.165:-0.165))
+    (HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
+    (HOLD (negedge D) (posedge CLK) (-0.028:-0.028:-0.028))
+    (SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060))
+    (SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_4")
+  (INSTANCE _6231_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.622:0.622:0.622) (0.621:0.621:0.621))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.426:0.426:0.426))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.098:-0.098:-0.098))
+    (HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
+    (HOLD (negedge D) (posedge CLK) (-0.033:-0.033:-0.033))
+    (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062))
+    (SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6232_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.382:0.382:0.382) (0.403:0.403:0.403))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.353:0.353:0.353))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.204:-0.204:-0.204))
+    (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034))
+    (HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037))
+    (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059))
+    (SETUP (negedge D) (posedge CLK) (0.105:0.105:0.105))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6233_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.368:0.368:0.368) (0.394:0.394:0.394))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.352:0.352:0.352))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.204:-0.204:-0.204))
+    (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034))
+    (HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037))
+    (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059))
+    (SETUP (negedge D) (posedge CLK) (0.105:0.105:0.105))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6234_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.348:0.348:0.348) (0.379:0.379:0.379))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.352:0.352:0.352))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.204:-0.204:-0.204))
+    (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034))
+    (HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037))
+    (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059))
+    (SETUP (negedge D) (posedge CLK) (0.105:0.105:0.105))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6235_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.446:0.446:0.446) (0.444:0.444:0.444))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.353:0.353:0.353))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.202:-0.202:-0.202))
+    (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036))
+    (HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039))
+    (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061))
+    (SETUP (negedge D) (posedge CLK) (0.107:0.107:0.107))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6236_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.377:0.377:0.377) (0.401:0.401:0.401))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.426:0.426:0.426))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.135:-0.135:-0.135))
+    (HOLD (posedge D) (posedge CLK) (-0.043:-0.043:-0.043))
+    (HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045))
+    (SETUP (posedge D) (posedge CLK) (0.069:0.069:0.069))
+    (SETUP (negedge D) (posedge CLK) (0.114:0.114:0.114))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6237_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.336:0.336:0.336) (0.370:0.370:0.370))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.354:0.354:0.354))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.203:-0.203:-0.203))
+    (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034))
+    (HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037))
+    (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059))
+    (SETUP (negedge D) (posedge CLK) (0.105:0.105:0.105))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_4")
+  (INSTANCE _6238_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.691:0.691:0.691) (0.660:0.660:0.660))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.426:0.426:0.426))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.098:-0.098:-0.098))
+    (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036))
+    (HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
+    (SETUP (posedge D) (posedge CLK) (0.065:0.065:0.065))
+    (SETUP (negedge D) (posedge CLK) (0.107:0.107:0.107))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6239_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.341:0.341:0.341) (0.373:0.373:0.373))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.427:0.427:0.427))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.137:-0.137:-0.137))
+    (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034))
+    (HOLD (negedge D) (posedge CLK) (-0.036:-0.036:-0.036))
+    (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059))
+    (SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6240_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.342:0.342:0.342) (0.375:0.375:0.375))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.380:0.380:0.380))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.181:-0.181:-0.181))
+    (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035))
+    (HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037))
+    (SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060))
+    (SETUP (negedge D) (posedge CLK) (0.105:0.105:0.105))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6241_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.366:0.366:0.366) (0.393:0.393:0.393))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.380:0.380:0.380))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.182:-0.182:-0.182))
+    (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034))
+    (HOLD (negedge D) (posedge CLK) (-0.036:-0.036:-0.036))
+    (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059))
+    (SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6242_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.416:0.416:0.416) (0.426:0.426:0.426))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.353:0.353:0.353))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.205:-0.205:-0.205))
+    (HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
+    (HOLD (negedge D) (posedge CLK) (-0.036:-0.036:-0.036))
+    (SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058))
+    (SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+  (INSTANCE _6243_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.449:0.449:0.449) (0.467:0.467:0.467))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.359:0.359:0.359))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.185:-0.185:-0.185))
+    (HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
+    (HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031))
+    (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061))
+    (SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6244_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.371:0.371:0.371) (0.396:0.396:0.396))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.353:0.353:0.353))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.205:-0.205:-0.205))
+    (HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
+    (HOLD (negedge D) (posedge CLK) (-0.036:-0.036:-0.036))
+    (SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058))
+    (SETUP (negedge D) (posedge CLK) (0.103:0.104:0.104))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6245_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.459:0.459:0.459) (0.454:0.454:0.454))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.353:0.353:0.353))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.205:-0.205:-0.205))
+    (HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
+    (HOLD (negedge D) (posedge CLK) (-0.036:-0.036:-0.036))
+    (SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058))
+    (SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_4")
+  (INSTANCE _6246_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.471:0.471:0.471) (0.526:0.526:0.526))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.354:0.354:0.354))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.186:-0.186:-0.186))
+    (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034))
+    (HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
+    (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062))
+    (SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6247_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.369:0.369:0.369) (0.397:0.397:0.397))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.357:0.357:0.357))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.209:-0.209:-0.209))
+    (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034))
+    (HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
+    (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059))
+    (SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6248_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.386:0.386:0.386) (0.409:0.409:0.409))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.357:0.357:0.357))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.209:-0.209:-0.209))
+    (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034))
+    (HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
+    (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059))
+    (SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6249_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.342:0.342:0.342) (0.375:0.375:0.375))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.357:0.357:0.357))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.209:-0.209:-0.209))
+    (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034))
+    (HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
+    (SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058))
+    (SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_4")
+  (INSTANCE _6250_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.486:0.486:0.486) (0.537:0.537:0.537))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.357:0.357:0.357))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.189:-0.189:-0.189))
+    (HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
+    (HOLD (negedge D) (posedge CLK) (-0.029:-0.029:-0.029))
+    (SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060))
+    (SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6251_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.418:0.418:0.418) (0.427:0.427:0.427))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.355:0.355:0.355))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.204:-0.204:-0.204))
+    (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034))
+    (HOLD (negedge D) (posedge CLK) (-0.036:-0.036:-0.036))
+    (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059))
+    (SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6252_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.382:0.382:0.382) (0.405:0.405:0.405))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.358:0.358:0.358))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.208:-0.208:-0.208))
+    (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036))
+    (HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037))
+    (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061))
+    (SETUP (negedge D) (posedge CLK) (0.106:0.106:0.106))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6253_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.412:0.412:0.412) (0.422:0.422:0.422))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.355:0.355:0.355))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.204:-0.204:-0.204))
+    (HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
+    (HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
+    (SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057))
+    (SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_4")
+  (INSTANCE _6254_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.580:0.580:0.580) (0.597:0.597:0.597))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.427:0.427:0.427))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.099:-0.099:-0.099))
+    (HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
+    (HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
+    (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061))
+    (SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6255_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.432:0.432:0.432) (0.435:0.435:0.435))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.359:0.359:0.359))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.201:-0.201:-0.201))
+    (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034))
+    (HOLD (negedge D) (posedge CLK) (-0.036:-0.036:-0.036))
+    (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059))
+    (SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+  (INSTANCE _6256_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.438:0.438:0.438) (0.460:0.460:0.460))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.359:0.359:0.359))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.185:-0.185:-0.185))
+    (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034))
+    (HOLD (negedge D) (posedge CLK) (-0.033:-0.033:-0.033))
+    (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063))
+    (SETUP (negedge D) (posedge CLK) (0.105:0.105:0.105))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6257_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.364:0.364:0.364) (0.392:0.392:0.392))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.359:0.359:0.359))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.201:-0.201:-0.201))
+    (HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
+    (HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
+    (SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057))
+    (SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+  (INSTANCE _6258_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.421:0.421:0.421) (0.449:0.449:0.449))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.354:0.354:0.354))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.190:-0.190:-0.190))
+    (HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
+    (HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
+    (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061))
+    (SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+  (INSTANCE _6259_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.429:0.429:0.429) (0.454:0.454:0.454))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.359:0.359:0.359))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.185:-0.185:-0.185))
+    (HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
+    (HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031))
+    (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061))
+    (SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_4")
+  (INSTANCE _6260_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.591:0.591:0.591) (0.604:0.604:0.604))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.359:0.359:0.359))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.180:-0.180:-0.180))
+    (HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
+    (HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
+    (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062))
+    (SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_4")
+  (INSTANCE _6261_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.534:0.534:0.534) (0.570:0.570:0.570))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.358:0.358:0.358))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.179:-0.179:-0.179))
+    (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034))
+    (HOLD (negedge D) (posedge CLK) (-0.033:-0.033:-0.033))
+    (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063))
+    (SETUP (negedge D) (posedge CLK) (0.105:0.105:0.105))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_4")
+  (INSTANCE _6262_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.622:0.622:0.622) (0.619:0.619:0.619))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.358:0.358:0.358))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.179:-0.179:-0.179))
+    (HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
+    (HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031))
+    (SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060))
+    (SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6263_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.421:0.421:0.421) (0.429:0.429:0.429))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.376:0.376:0.376))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.184:-0.184:-0.184))
+    (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037))
+    (HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039))
+    (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062))
+    (SETUP (negedge D) (posedge CLK) (0.107:0.107:0.107))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+  (INSTANCE _6264_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.441:0.441:0.441) (0.462:0.462:0.462))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.376:0.376:0.376))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.166:-0.166:-0.166))
+    (HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
+    (HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
+    (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061))
+    (SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6265_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.385:0.385:0.385) (0.403:0.403:0.403))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.374:0.374:0.374))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.182:-0.182:-0.182))
+    (HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035))
+    (HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038))
+    (SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060))
+    (SETUP (negedge D) (posedge CLK) (0.106:0.106:0.106))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6266_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.480:0.480:0.480) (0.467:0.467:0.467))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.353:0.353:0.353))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.205:-0.205:-0.205))
+    (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034))
+    (HOLD (negedge D) (posedge CLK) (-0.036:-0.036:-0.036))
+    (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059))
+    (SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6267_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.384:0.384:0.384) (0.403:0.403:0.403))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.377:0.377:0.377))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.178:-0.178:-0.178))
+    (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036))
+    (HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039))
+    (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061))
+    (SETUP (negedge D) (posedge CLK) (0.107:0.107:0.107))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_4")
+  (INSTANCE _6268_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.587:0.587:0.587) (0.599:0.599:0.599))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.351:0.351:0.351))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.182:-0.182:-0.182))
+    (HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.038))
+    (HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037))
+    (SETUP (posedge D) (posedge CLK) (0.067:0.067:0.067))
+    (SETUP (negedge D) (posedge CLK) (0.109:0.109:0.109))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_4")
+  (INSTANCE _6269_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.591:0.591:0.591) (0.603:0.603:0.603))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.353:0.353:0.353))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.185:-0.185:-0.185))
+    (HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
+    (HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
+    (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061))
+    (SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_4")
+  (INSTANCE _6270_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.630:0.630:0.630) (0.628:0.628:0.628))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.359:0.359:0.359))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.191:-0.191:-0.191))
+    (HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
+    (HOLD (negedge D) (posedge CLK) (-0.028:-0.028:-0.028))
+    (SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060))
+    (SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6271_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.443:0.443:0.443) (0.443:0.443:0.443))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.427:0.427:0.427))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.137:-0.137:-0.137))
+    (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034))
+    (HOLD (negedge D) (posedge CLK) (-0.036:-0.036:-0.036))
+    (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059))
+    (SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6272_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.452:0.452:0.452) (0.451:0.451:0.451))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.356:0.356:0.356))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.209:-0.209:-0.209))
+    (HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
+    (HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
+    (SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058))
+    (SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_4")
+  (INSTANCE _6273_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.477:0.477:0.477) (0.531:0.531:0.531))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.365:0.365:0.365))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.179:-0.179:-0.179))
+    (HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
+    (HOLD (negedge D) (posedge CLK) (-0.029:-0.029:-0.029))
+    (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059))
+    (SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_4")
+  (INSTANCE _6274_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.656:0.656:0.656) (0.640:0.640:0.640))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.355:0.355:0.355))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.184:-0.184:-0.184))
+    (HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
+    (HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031))
+    (SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060))
+    (SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+  (INSTANCE _6275_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.443:0.443:0.443) (0.465:0.465:0.465))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.358:0.358:0.358))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.192:-0.192:-0.192))
+    (HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
+    (HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.030))
+    (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061))
+    (SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6276_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.384:0.384:0.384) (0.406:0.406:0.406))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.365:0.365:0.365))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.201:-0.201:-0.201))
+    (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036))
+    (HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037))
+    (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061))
+    (SETUP (negedge D) (posedge CLK) (0.106:0.106:0.106))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6277_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.350:0.350:0.350) (0.380:0.380:0.380))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.360:0.360:0.360))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.196:-0.196:-0.196))
+    (HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036))
+    (HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039))
+    (SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061))
+    (SETUP (negedge D) (posedge CLK) (0.107:0.107:0.107))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+  (INSTANCE _6278_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.455:0.455:0.455) (0.474:0.474:0.474))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.364:0.364:0.364))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.185:-0.185:-0.185))
+    (HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
+    (HOLD (negedge D) (posedge CLK) (-0.029:-0.029:-0.029))
+    (SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060))
+    (SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6279_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.449:0.449:0.449) (0.447:0.447:0.447))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.427:0.427:0.427))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.137:-0.137:-0.137))
+    (HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
+    (HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
+    (SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057))
+    (SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6280_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.376:0.376:0.376) (0.400:0.400:0.400))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.357:0.357:0.357))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.209:-0.209:-0.209))
+    (HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
+    (HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
+    (SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057))
+    (SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6281_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.439:0.439:0.439) (0.440:0.440:0.440))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.352:0.352:0.352))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.204:-0.204:-0.204))
+    (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034))
+    (HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037))
+    (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059))
+    (SETUP (negedge D) (posedge CLK) (0.105:0.105:0.105))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+  (INSTANCE _6282_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.435:0.435:0.435) (0.458:0.458:0.458))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.353:0.353:0.353))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.187:-0.187:-0.187))
+    (HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
+    (HOLD (negedge D) (posedge CLK) (-0.033:-0.033:-0.033))
+    (SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062))
+    (SETUP (negedge D) (posedge CLK) (0.106:0.106:0.106))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_4")
+  (INSTANCE _6283_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.573:0.573:0.573) (0.592:0.592:0.592))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.354:0.354:0.354))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.182:-0.182:-0.182))
+    (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034))
+    (HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
+    (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063))
+    (SETUP (negedge D) (posedge CLK) (0.105:0.105:0.105))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_4")
+  (INSTANCE _6284_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.636:0.636:0.636) (0.627:0.627:0.627))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.354:0.354:0.354))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.182:-0.182:-0.182))
+    (HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034))
+    (HOLD (negedge D) (posedge CLK) (-0.033:-0.033:-0.033))
+    (SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063))
+    (SETUP (negedge D) (posedge CLK) (0.105:0.105:0.105))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6285_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.476:0.476:0.476) (0.467:0.467:0.467))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.365:0.365:0.365))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.201:-0.201:-0.201))
+    (HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
+    (HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
+    (SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057))
+    (SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_4")
+  (INSTANCE _6286_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.612:0.612:0.612) (0.615:0.615:0.615))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.426:0.426:0.426))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.098:-0.098:-0.098))
+    (HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037))
+    (HOLD (negedge D) (posedge CLK) (-0.036:-0.036:-0.036))
+    (SETUP (posedge D) (posedge CLK) (0.066:0.066:0.066))
+    (SETUP (negedge D) (posedge CLK) (0.108:0.108:0.108))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE input1)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.304:0.304:0.304) (0.252:0.252:0.252))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE input2)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.411:0.411:0.411) (0.320:0.320:0.320))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE input3)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.395:0.395:0.395) (0.311:0.311:0.311))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE input4)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.345:0.345:0.345) (0.252:0.252:0.252))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE input5)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.403:0.403:0.403) (0.313:0.313:0.313))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE input6)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.401:0.401:0.401) (0.310:0.310:0.310))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE input7)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.403:0.403:0.403) (0.280:0.280:0.280))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE input8)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.380:0.380:0.380) (0.256:0.256:0.256))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE input9)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.410:0.410:0.410) (0.276:0.276:0.276))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE input10)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.278:0.278:0.278) (0.207:0.207:0.207))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE input11)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.426:0.426:0.426) (0.277:0.277:0.277))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE input12)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.439:0.439:0.439) (0.285:0.285:0.285))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE input13)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.394:0.394:0.394) (0.264:0.264:0.264))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE input14)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.171:0.171:0.171) (0.126:0.126:0.126))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE input15)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.330:0.330:0.330) (0.268:0.268:0.268))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE output16)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.257:0.258:0.258) (0.213:0.217:0.221))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE output17)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.280:0.280:0.280) (0.227:0.230:0.233))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE output18)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.292:0.292:0.292) (0.241:0.245:0.248))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE output19)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.249:0.249:0.249) (0.208:0.210:0.212))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE output20)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.279:0.279:0.279) (0.229:0.231:0.233))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE output21)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.280:0.280:0.280) (0.230:0.233:0.235))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE output22)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.271:0.271:0.271) (0.233:0.238:0.243))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE output23)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.268:0.268:0.268) (0.218:0.220:0.222))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE output24)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.233:0.234:0.234) (0.200:0.202:0.204))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE output25)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.240:0.240:0.240) (0.206:0.208:0.210))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE output26)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.247:0.247:0.248) (0.212:0.214:0.216))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE output27)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.201:0.201:0.201) (0.173:0.173:0.173))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE output28)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.253:0.253:0.253) (0.217:0.219:0.221))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE output29)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.265:0.265:0.265) (0.228:0.229:0.231))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE output30)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.249:0.249:0.249) (0.202:0.205:0.209))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE output31)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.277:0.277:0.277) (0.228:0.235:0.241))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE output32)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.285:0.285:0.285) (0.233:0.236:0.240))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE fanout33)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.262:0.262:0.262) (0.235:0.235:0.235))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE fanout34)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.296:0.296:0.296) (0.262:0.262:0.262))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE fanout35)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.343:0.343:0.343) (0.294:0.294:0.294))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE fanout36)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.367:0.367:0.367) (0.294:0.294:0.294))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE fanout37)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.270:0.270:0.270) (0.229:0.229:0.229))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE fanout38)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.265:0.265:0.265) (0.225:0.225:0.225))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE fanout39)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.301:0.301:0.301) (0.285:0.285:0.285))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE fanout40)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.486:0.486:0.486) (0.373:0.373:0.373))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
+  (INSTANCE clkbuf_0_clk)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.277:0.277:0.277) (0.238:0.238:0.238))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
+  (INSTANCE clkbuf_3_0__f_clk)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.195:0.195:0.195) (0.195:0.195:0.195))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
+  (INSTANCE clkbuf_3_1__f_clk)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.171:0.171:0.171) (0.177:0.177:0.177))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
+  (INSTANCE clkbuf_3_2__f_clk)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.178:0.178:0.178) (0.182:0.182:0.182))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
+  (INSTANCE clkbuf_3_3__f_clk)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.180:0.180:0.180) (0.184:0.184:0.184))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
+  (INSTANCE clkbuf_3_4__f_clk)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.176:0.176:0.176) (0.181:0.181:0.181))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
+  (INSTANCE clkbuf_3_5__f_clk)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.175:0.175:0.175) (0.180:0.180:0.180))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
+  (INSTANCE clkbuf_3_6__f_clk)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.180:0.180:0.180) (0.184:0.184:0.184))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
+  (INSTANCE clkbuf_3_7__f_clk)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.187:0.187:0.187) (0.188:0.188:0.188))
+   )
+  )
+ )
+)
diff --git a/sdf/multicorner/max/user_project_wrapper.ff.sdf b/sdf/multicorner/max/user_project_wrapper.ff.sdf
new file mode 100644
index 0000000..1490520
--- /dev/null
+++ b/sdf/multicorner/max/user_project_wrapper.ff.sdf
@@ -0,0 +1,38 @@
+(DELAYFILE
+ (SDFVERSION "3.0")
+ (DESIGN "user_project_wrapper")
+ (DATE "Thu Dec 22 05:44:45 2022")
+ (VENDOR "Parallax")
+ (PROGRAM "STA")
+ (VERSION "2.3.2")
+ (DIVIDER .)
+ (VOLTAGE 1.600::1.600)
+ (PROCESS "1.000::1.000")
+ (TEMPERATURE 100.000::100.000)
+ (TIMESCALE 1ns)
+ (CELL
+  (CELLTYPE "user_project_wrapper")
+  (INSTANCE)
+  (DELAY
+   (ABSOLUTE
+    (INTERCONNECT io_in[22] puf1.ipulse (0.681:0.681:0.681) (0.413:0.413:0.413))
+    (INTERCONNECT io_in[23] puf1.ichallenge[0] (0.969:0.969:0.969) (0.636:0.636:0.636))
+    (INTERCONNECT io_in[24] puf1.ichallenge[1] (1.251:1.251:1.251) (0.849:0.849:0.849))
+    (INTERCONNECT io_in[25] puf1.ichallenge[2] (1.294:1.294:1.294) (0.849:0.849:0.849))
+    (INTERCONNECT io_in[26] puf1.ichallenge[3] (1.148:1.148:1.148) (0.731:0.731:0.731))
+    (INTERCONNECT io_in[27] puf1.ichallenge[4] (1.004:1.004:1.004) (0.623:0.623:0.623))
+    (INTERCONNECT io_in[28] puf1.ichallenge[5] (0.851:0.851:0.851) (0.520:0.520:0.520))
+    (INTERCONNECT io_in[29] puf1.ichallenge[6] (0.556:0.556:0.556) (0.318:0.318:0.318))
+    (INTERCONNECT io_in[30] puf1.ichallenge[7] (0.637:0.637:0.637) (0.378:0.378:0.378))
+    (INTERCONNECT io_in[31] puf1.ichallenge[8] (0.528:0.528:0.528) (0.260:0.260:0.260))
+    (INTERCONNECT io_in[32] puf1.ichallenge[9] (0.574:0.574:0.574) (0.283:0.283:0.283))
+    (INTERCONNECT io_in[33] puf1.ichallenge[10] (0.660:0.660:0.660) (0.326:0.326:0.326))
+    (INTERCONNECT io_in[34] puf1.ichallenge[11] (0.830:0.830:0.830) (0.409:0.409:0.409))
+    (INTERCONNECT io_in[35] puf1.ichallenge[12] (0.864:0.864:0.864) (0.427:0.427:0.427))
+    (INTERCONNECT io_in[36] puf1.ichallenge[13] (0.951:0.951:0.951) (0.470:0.470:0.470))
+    (INTERCONNECT io_in[37] puf1.ichallenge[14] (1.326:1.326:1.326) (0.931:0.931:0.931))
+    (INTERCONNECT puf1.oresponse io_out[20] (0.173:0.173:0.173))
+   )
+  )
+ )
+)
diff --git a/sdf/multicorner/max/user_project_wrapper.ss.sdf b/sdf/multicorner/max/user_project_wrapper.ss.sdf
new file mode 100644
index 0000000..87a7c55
--- /dev/null
+++ b/sdf/multicorner/max/user_project_wrapper.ss.sdf
@@ -0,0 +1,38 @@
+(DELAYFILE
+ (SDFVERSION "3.0")
+ (DESIGN "user_project_wrapper")
+ (DATE "Thu Dec 22 05:44:45 2022")
+ (VENDOR "Parallax")
+ (PROGRAM "STA")
+ (VERSION "2.3.2")
+ (DIVIDER .)
+ (VOLTAGE 1.600::1.600)
+ (PROCESS "1.000::1.000")
+ (TEMPERATURE 100.000::100.000)
+ (TIMESCALE 1ns)
+ (CELL
+  (CELLTYPE "user_project_wrapper")
+  (INSTANCE)
+  (DELAY
+   (ABSOLUTE
+    (INTERCONNECT io_in[22] puf1.ipulse (1.326:1.326:1.326) (0.840:0.840:0.840))
+    (INTERCONNECT io_in[23] puf1.ichallenge[0] (1.820:1.820:1.820) (1.173:1.173:1.173))
+    (INTERCONNECT io_in[24] puf1.ichallenge[1] (2.333:2.333:2.333) (1.508:1.508:1.508))
+    (INTERCONNECT io_in[25] puf1.ichallenge[2] (2.461:2.461:2.461) (1.566:1.566:1.566))
+    (INTERCONNECT io_in[26] puf1.ichallenge[3] (2.212:2.212:2.212) (1.393:1.393:1.393))
+    (INTERCONNECT io_in[27] puf1.ichallenge[4] (1.963:1.963:1.963) (1.228:1.228:1.228))
+    (INTERCONNECT io_in[28] puf1.ichallenge[5] (1.698:1.698:1.698) (1.054:1.054:1.054))
+    (INTERCONNECT io_in[29] puf1.ichallenge[6] (1.125:1.125:1.125) (0.695:0.695:0.695))
+    (INTERCONNECT io_in[30] puf1.ichallenge[7] (1.273:1.273:1.273) (0.791:0.791:0.791))
+    (INTERCONNECT io_in[31] puf1.ichallenge[8] (1.114:1.114:1.114) (0.682:0.682:0.682))
+    (INTERCONNECT io_in[32] puf1.ichallenge[9] (1.207:1.207:1.207) (0.738:0.738:0.738))
+    (INTERCONNECT io_in[33] puf1.ichallenge[10] (1.382:1.382:1.382) (0.846:0.846:0.846))
+    (INTERCONNECT io_in[34] puf1.ichallenge[11] (1.726:1.726:1.726) (1.058:1.058:1.058))
+    (INTERCONNECT io_in[35] puf1.ichallenge[12] (1.793:1.793:1.793) (1.101:1.101:1.101))
+    (INTERCONNECT io_in[36] puf1.ichallenge[13] (1.966:1.966:1.966) (1.209:1.209:1.209))
+    (INTERCONNECT io_in[37] puf1.ichallenge[14] (2.346:2.346:2.346) (1.566:1.566:1.566))
+    (INTERCONNECT puf1.oresponse io_out[20] (0.173:0.173:0.173))
+   )
+  )
+ )
+)
diff --git a/sdf/multicorner/max/user_project_wrapper.tt.sdf b/sdf/multicorner/max/user_project_wrapper.tt.sdf
new file mode 100644
index 0000000..98c5063
--- /dev/null
+++ b/sdf/multicorner/max/user_project_wrapper.tt.sdf
@@ -0,0 +1,38 @@
+(DELAYFILE
+ (SDFVERSION "3.0")
+ (DESIGN "user_project_wrapper")
+ (DATE "Thu Dec 22 05:44:45 2022")
+ (VENDOR "Parallax")
+ (PROGRAM "STA")
+ (VERSION "2.3.2")
+ (DIVIDER .)
+ (VOLTAGE 1.600::1.600)
+ (PROCESS "1.000::1.000")
+ (TEMPERATURE 100.000::100.000)
+ (TIMESCALE 1ns)
+ (CELL
+  (CELLTYPE "user_project_wrapper")
+  (INSTANCE)
+  (DELAY
+   (ABSOLUTE
+    (INTERCONNECT io_in[22] puf1.ipulse (0.862:0.862:0.862) (0.515:0.515:0.515))
+    (INTERCONNECT io_in[23] puf1.ichallenge[0] (1.203:1.203:1.203) (0.762:0.762:0.762))
+    (INTERCONNECT io_in[24] puf1.ichallenge[1] (1.541:1.541:1.541) (0.998:0.998:0.998))
+    (INTERCONNECT io_in[25] puf1.ichallenge[2] (1.603:1.603:1.603) (1.009:1.009:1.009))
+    (INTERCONNECT io_in[26] puf1.ichallenge[3] (1.436:1.436:1.436) (0.880:0.880:0.880))
+    (INTERCONNECT io_in[27] puf1.ichallenge[4] (1.266:1.266:1.266) (0.762:0.762:0.762))
+    (INTERCONNECT io_in[28] puf1.ichallenge[5] (1.084:1.084:1.084) (0.639:0.639:0.639))
+    (INTERCONNECT io_in[29] puf1.ichallenge[6] (0.719:0.719:0.719) (0.407:0.407:0.407))
+    (INTERCONNECT io_in[30] puf1.ichallenge[7] (0.816:0.816:0.816) (0.475:0.475:0.475))
+    (INTERCONNECT io_in[31] puf1.ichallenge[8] (0.692:0.692:0.692) (0.370:0.370:0.370))
+    (INTERCONNECT io_in[32] puf1.ichallenge[9] (0.751:0.751:0.751) (0.402:0.402:0.402))
+    (INTERCONNECT io_in[33] puf1.ichallenge[10] (0.861:0.861:0.861) (0.463:0.463:0.463))
+    (INTERCONNECT io_in[34] puf1.ichallenge[11] (1.079:1.079:1.079) (0.582:0.582:0.582))
+    (INTERCONNECT io_in[35] puf1.ichallenge[12] (1.122:1.122:1.122) (0.607:0.607:0.607))
+    (INTERCONNECT io_in[36] puf1.ichallenge[13] (1.233:1.233:1.233) (0.668:0.668:0.668))
+    (INTERCONNECT io_in[37] puf1.ichallenge[14] (1.601:1.601:1.601) (1.083:1.083:1.083))
+    (INTERCONNECT puf1.oresponse io_out[20] (0.173:0.173:0.173))
+   )
+  )
+ )
+)
diff --git a/sdf/multicorner/min/user_project_wrapper.ff.sdf b/sdf/multicorner/min/user_project_wrapper.ff.sdf
new file mode 100644
index 0000000..2f712c6
--- /dev/null
+++ b/sdf/multicorner/min/user_project_wrapper.ff.sdf
@@ -0,0 +1,38 @@
+(DELAYFILE
+ (SDFVERSION "3.0")
+ (DESIGN "user_project_wrapper")
+ (DATE "Thu Dec 22 05:44:31 2022")
+ (VENDOR "Parallax")
+ (PROGRAM "STA")
+ (VERSION "2.3.2")
+ (DIVIDER .)
+ (VOLTAGE 1.600::1.600)
+ (PROCESS "1.000::1.000")
+ (TEMPERATURE 100.000::100.000)
+ (TIMESCALE 1ns)
+ (CELL
+  (CELLTYPE "user_project_wrapper")
+  (INSTANCE)
+  (DELAY
+   (ABSOLUTE
+    (INTERCONNECT io_in[22] puf1.ipulse (0.581:0.581:0.581) (0.324:0.324:0.324))
+    (INTERCONNECT io_in[23] puf1.ichallenge[0] (0.802:0.802:0.802) (0.477:0.477:0.477))
+    (INTERCONNECT io_in[24] puf1.ichallenge[1] (1.012:1.012:1.012) (0.611:0.611:0.611))
+    (INTERCONNECT io_in[25] puf1.ichallenge[2] (1.034:1.034:1.034) (0.608:0.608:0.608))
+    (INTERCONNECT io_in[26] puf1.ichallenge[3] (0.929:0.929:0.929) (0.532:0.532:0.532))
+    (INTERCONNECT io_in[27] puf1.ichallenge[4] (0.822:0.822:0.822) (0.460:0.460:0.460))
+    (INTERCONNECT io_in[28] puf1.ichallenge[5] (0.709:0.709:0.709) (0.389:0.389:0.389))
+    (INTERCONNECT io_in[29] puf1.ichallenge[6] (0.471:0.471:0.471) (0.249:0.249:0.249))
+    (INTERCONNECT io_in[30] puf1.ichallenge[7] (0.539:0.539:0.539) (0.292:0.292:0.292))
+    (INTERCONNECT io_in[31] puf1.ichallenge[8] (0.448:0.448:0.448) (0.219:0.219:0.219))
+    (INTERCONNECT io_in[32] puf1.ichallenge[9] (0.516:0.516:0.516) (0.252:0.252:0.252))
+    (INTERCONNECT io_in[33] puf1.ichallenge[10] (0.560:0.560:0.560) (0.274:0.274:0.274))
+    (INTERCONNECT io_in[34] puf1.ichallenge[11] (0.698:0.698:0.698) (0.341:0.341:0.341))
+    (INTERCONNECT io_in[35] puf1.ichallenge[12] (0.734:0.734:0.734) (0.360:0.360:0.360))
+    (INTERCONNECT io_in[36] puf1.ichallenge[13] (0.803:0.803:0.803) (0.394:0.394:0.394))
+    (INTERCONNECT io_in[37] puf1.ichallenge[14] (1.073:1.073:1.073) (0.682:0.682:0.682))
+    (INTERCONNECT puf1.oresponse io_out[20] (0.117:0.117:0.117))
+   )
+  )
+ )
+)
diff --git a/sdf/multicorner/min/user_project_wrapper.ss.sdf b/sdf/multicorner/min/user_project_wrapper.ss.sdf
new file mode 100644
index 0000000..d981a76
--- /dev/null
+++ b/sdf/multicorner/min/user_project_wrapper.ss.sdf
@@ -0,0 +1,38 @@
+(DELAYFILE
+ (SDFVERSION "3.0")
+ (DESIGN "user_project_wrapper")
+ (DATE "Thu Dec 22 05:44:31 2022")
+ (VENDOR "Parallax")
+ (PROGRAM "STA")
+ (VERSION "2.3.2")
+ (DIVIDER .)
+ (VOLTAGE 1.600::1.600)
+ (PROCESS "1.000::1.000")
+ (TEMPERATURE 100.000::100.000)
+ (TIMESCALE 1ns)
+ (CELL
+  (CELLTYPE "user_project_wrapper")
+  (INSTANCE)
+  (DELAY
+   (ABSOLUTE
+    (INTERCONNECT io_in[22] puf1.ipulse (1.165:1.165:1.165) (0.729:0.729:0.729))
+    (INTERCONNECT io_in[23] puf1.ichallenge[0] (1.588:1.588:1.588) (0.995:0.995:0.995))
+    (INTERCONNECT io_in[24] puf1.ichallenge[1] (1.993:1.993:1.993) (1.244:1.244:1.244))
+    (INTERCONNECT io_in[25] puf1.ichallenge[2] (2.079:2.079:2.079) (1.285:1.285:1.285))
+    (INTERCONNECT io_in[26] puf1.ichallenge[3] (1.878:1.878:1.878) (1.156:1.156:1.156))
+    (INTERCONNECT io_in[27] puf1.ichallenge[4] (1.674:1.674:1.674) (1.029:1.029:1.029))
+    (INTERCONNECT io_in[28] puf1.ichallenge[5] (1.463:1.463:1.463) (0.892:0.892:0.892))
+    (INTERCONNECT io_in[29] puf1.ichallenge[6] (0.973:0.973:0.973) (0.600:0.600:0.600))
+    (INTERCONNECT io_in[30] puf1.ichallenge[7] (1.103:1.103:1.103) (0.680:0.680:0.680))
+    (INTERCONNECT io_in[31] puf1.ichallenge[8] (0.951:0.951:0.951) (0.580:0.580:0.580))
+    (INTERCONNECT io_in[32] puf1.ichallenge[9] (1.093:1.093:1.093) (0.668:0.668:0.668))
+    (INTERCONNECT io_in[33] puf1.ichallenge[10] (1.183:1.183:1.183) (0.723:0.723:0.723))
+    (INTERCONNECT io_in[34] puf1.ichallenge[11] (1.468:1.468:1.468) (0.896:0.896:0.896))
+    (INTERCONNECT io_in[35] puf1.ichallenge[12] (1.541:1.541:1.541) (0.941:0.941:0.941))
+    (INTERCONNECT io_in[36] puf1.ichallenge[13] (1.681:1.681:1.681) (1.027:1.027:1.027))
+    (INTERCONNECT io_in[37] puf1.ichallenge[14] (2.031:2.031:2.031) (1.299:1.299:1.299))
+    (INTERCONNECT puf1.oresponse io_out[20] (0.117:0.117:0.117))
+   )
+  )
+ )
+)
diff --git a/sdf/multicorner/min/user_project_wrapper.tt.sdf b/sdf/multicorner/min/user_project_wrapper.tt.sdf
new file mode 100644
index 0000000..01451a2
--- /dev/null
+++ b/sdf/multicorner/min/user_project_wrapper.tt.sdf
@@ -0,0 +1,38 @@
+(DELAYFILE
+ (SDFVERSION "3.0")
+ (DESIGN "user_project_wrapper")
+ (DATE "Thu Dec 22 05:44:31 2022")
+ (VENDOR "Parallax")
+ (PROGRAM "STA")
+ (VERSION "2.3.2")
+ (DIVIDER .)
+ (VOLTAGE 1.600::1.600)
+ (PROCESS "1.000::1.000")
+ (TEMPERATURE 100.000::100.000)
+ (TIMESCALE 1ns)
+ (CELL
+  (CELLTYPE "user_project_wrapper")
+  (INSTANCE)
+  (DELAY
+   (ABSOLUTE
+    (INTERCONNECT io_in[22] puf1.ipulse (0.750:0.750:0.750) (0.423:0.423:0.423))
+    (INTERCONNECT io_in[23] puf1.ichallenge[0] (1.025:1.025:1.025) (0.599:0.599:0.599))
+    (INTERCONNECT io_in[24] puf1.ichallenge[1] (1.279:1.279:1.279) (0.759:0.759:0.759))
+    (INTERCONNECT io_in[25] puf1.ichallenge[2] (1.323:1.323:1.323) (0.765:0.765:0.765))
+    (INTERCONNECT io_in[26] puf1.ichallenge[3] (1.195:1.195:1.195) (0.677:0.677:0.677))
+    (INTERCONNECT io_in[27] puf1.ichallenge[4] (1.063:1.063:1.063) (0.594:0.594:0.594))
+    (INTERCONNECT io_in[28] puf1.ichallenge[5] (0.924:0.924:0.924) (0.506:0.506:0.506))
+    (INTERCONNECT io_in[29] puf1.ichallenge[6] (0.619:0.619:0.619) (0.334:0.334:0.334))
+    (INTERCONNECT io_in[30] puf1.ichallenge[7] (0.703:0.703:0.703) (0.386:0.386:0.386))
+    (INTERCONNECT io_in[31] puf1.ichallenge[8] (0.590:0.590:0.590) (0.313:0.313:0.313))
+    (INTERCONNECT io_in[32] puf1.ichallenge[9] (0.678:0.678:0.678) (0.361:0.361:0.361))
+    (INTERCONNECT io_in[33] puf1.ichallenge[10] (0.734:0.734:0.734) (0.392:0.392:0.392))
+    (INTERCONNECT io_in[34] puf1.ichallenge[11] (0.912:0.912:0.912) (0.488:0.488:0.488))
+    (INTERCONNECT io_in[35] puf1.ichallenge[12] (0.959:0.959:0.959) (0.514:0.514:0.514))
+    (INTERCONNECT io_in[36] puf1.ichallenge[13] (1.046:1.046:1.046) (0.562:0.562:0.562))
+    (INTERCONNECT io_in[37] puf1.ichallenge[14] (1.335:1.335:1.335) (0.829:0.829:0.829))
+    (INTERCONNECT puf1.oresponse io_out[20] (0.117:0.117:0.117))
+   )
+  )
+ )
+)
diff --git a/sdf/multicorner/nom/user_project_wrapper.ff.sdf b/sdf/multicorner/nom/user_project_wrapper.ff.sdf
new file mode 100644
index 0000000..629c41b
--- /dev/null
+++ b/sdf/multicorner/nom/user_project_wrapper.ff.sdf
@@ -0,0 +1,38 @@
+(DELAYFILE
+ (SDFVERSION "3.0")
+ (DESIGN "user_project_wrapper")
+ (DATE "Thu Dec 22 05:44:58 2022")
+ (VENDOR "Parallax")
+ (PROGRAM "STA")
+ (VERSION "2.3.2")
+ (DIVIDER .)
+ (VOLTAGE 1.600::1.600)
+ (PROCESS "1.000::1.000")
+ (TEMPERATURE 100.000::100.000)
+ (TIMESCALE 1ns)
+ (CELL
+  (CELLTYPE "user_project_wrapper")
+  (INSTANCE)
+  (DELAY
+   (ABSOLUTE
+    (INTERCONNECT io_in[22] puf1.ipulse (0.647:0.647:0.647) (0.377:0.377:0.377))
+    (INTERCONNECT io_in[23] puf1.ichallenge[0] (0.916:0.916:0.916) (0.575:0.575:0.575))
+    (INTERCONNECT io_in[24] puf1.ichallenge[1] (1.165:1.165:1.165) (0.751:0.751:0.751))
+    (INTERCONNECT io_in[25] puf1.ichallenge[2] (1.197:1.197:1.197) (0.747:0.747:0.747))
+    (INTERCONNECT io_in[26] puf1.ichallenge[3] (1.073:1.073:1.073) (0.650:0.650:0.650))
+    (INTERCONNECT io_in[27] puf1.ichallenge[4] (0.948:0.948:0.948) (0.561:0.561:0.561))
+    (INTERCONNECT io_in[28] puf1.ichallenge[5] (0.813:0.813:0.813) (0.473:0.473:0.473))
+    (INTERCONNECT io_in[29] puf1.ichallenge[6] (0.534:0.534:0.534) (0.293:0.293:0.293))
+    (INTERCONNECT io_in[30] puf1.ichallenge[7] (0.609:0.609:0.609) (0.346:0.346:0.346))
+    (INTERCONNECT io_in[31] puf1.ichallenge[8] (0.485:0.485:0.485) (0.237:0.237:0.237))
+    (INTERCONNECT io_in[32] puf1.ichallenge[9] (0.555:0.555:0.555) (0.272:0.272:0.272))
+    (INTERCONNECT io_in[33] puf1.ichallenge[10] (0.602:0.602:0.602) (0.296:0.296:0.296))
+    (INTERCONNECT io_in[34] puf1.ichallenge[11] (0.767:0.767:0.767) (0.377:0.377:0.377))
+    (INTERCONNECT io_in[35] puf1.ichallenge[12] (0.787:0.787:0.787) (0.388:0.388:0.388))
+    (INTERCONNECT io_in[36] puf1.ichallenge[13] (0.862:0.862:0.862) (0.424:0.424:0.424))
+    (INTERCONNECT io_in[37] puf1.ichallenge[14] (1.228:1.228:1.228) (0.827:0.827:0.827))
+    (INTERCONNECT puf1.oresponse io_out[20] (0.144:0.144:0.144))
+   )
+  )
+ )
+)
diff --git a/sdf/multicorner/nom/user_project_wrapper.ss.sdf b/sdf/multicorner/nom/user_project_wrapper.ss.sdf
new file mode 100644
index 0000000..b1968d7
--- /dev/null
+++ b/sdf/multicorner/nom/user_project_wrapper.ss.sdf
@@ -0,0 +1,38 @@
+(DELAYFILE
+ (SDFVERSION "3.0")
+ (DESIGN "user_project_wrapper")
+ (DATE "Thu Dec 22 05:44:58 2022")
+ (VENDOR "Parallax")
+ (PROGRAM "STA")
+ (VERSION "2.3.2")
+ (DIVIDER .)
+ (VOLTAGE 1.600::1.600)
+ (PROCESS "1.000::1.000")
+ (TEMPERATURE 100.000::100.000)
+ (TIMESCALE 1ns)
+ (CELL
+  (CELLTYPE "user_project_wrapper")
+  (INSTANCE)
+  (DELAY
+   (ABSOLUTE
+    (INTERCONNECT io_in[22] puf1.ipulse (1.278:1.278:1.278) (0.803:0.803:0.803))
+    (INTERCONNECT io_in[23] puf1.ichallenge[0] (1.769:1.769:1.769) (1.117:1.117:1.117))
+    (INTERCONNECT io_in[24] puf1.ichallenge[1] (2.225:2.225:2.225) (1.410:1.410:1.410))
+    (INTERCONNECT io_in[25] puf1.ichallenge[2] (2.330:2.330:2.330) (1.465:1.465:1.465))
+    (INTERCONNECT io_in[26] puf1.ichallenge[3] (2.116:2.116:2.116) (1.317:1.317:1.317))
+    (INTERCONNECT io_in[27] puf1.ichallenge[4] (1.897:1.897:1.897) (1.173:1.173:1.173))
+    (INTERCONNECT io_in[28] puf1.ichallenge[5] (1.663:1.663:1.663) (1.018:1.018:1.018))
+    (INTERCONNECT io_in[29] puf1.ichallenge[6] (1.094:1.094:1.094) (0.673:0.673:0.673))
+    (INTERCONNECT io_in[30] puf1.ichallenge[7] (1.235:1.235:1.235) (0.764:0.764:0.764))
+    (INTERCONNECT io_in[31] puf1.ichallenge[8] (1.025:1.025:1.025) (0.627:0.627:0.627))
+    (INTERCONNECT io_in[32] puf1.ichallenge[9] (1.171:1.171:1.171) (0.716:0.716:0.716))
+    (INTERCONNECT io_in[33] puf1.ichallenge[10] (1.268:1.268:1.268) (0.775:0.775:0.775))
+    (INTERCONNECT io_in[34] puf1.ichallenge[11] (1.603:1.603:1.603) (0.981:0.981:0.981))
+    (INTERCONNECT io_in[35] puf1.ichallenge[12] (1.642:1.642:1.642) (1.005:1.005:1.005))
+    (INTERCONNECT io_in[36] puf1.ichallenge[13] (1.792:1.792:1.792) (1.098:1.098:1.098))
+    (INTERCONNECT io_in[37] puf1.ichallenge[14] (2.241:2.241:2.241) (1.469:1.469:1.469))
+    (INTERCONNECT puf1.oresponse io_out[20] (0.144:0.144:0.144))
+   )
+  )
+ )
+)
diff --git a/sdf/multicorner/nom/user_project_wrapper.tt.sdf b/sdf/multicorner/nom/user_project_wrapper.tt.sdf
new file mode 100644
index 0000000..66671e3
--- /dev/null
+++ b/sdf/multicorner/nom/user_project_wrapper.tt.sdf
@@ -0,0 +1,38 @@
+(DELAYFILE
+ (SDFVERSION "3.0")
+ (DESIGN "user_project_wrapper")
+ (DATE "Thu Dec 22 05:44:58 2022")
+ (VENDOR "Parallax")
+ (PROGRAM "STA")
+ (VERSION "2.3.2")
+ (DIVIDER .)
+ (VOLTAGE 1.600::1.600)
+ (PROCESS "1.000::1.000")
+ (TEMPERATURE 100.000::100.000)
+ (TIMESCALE 1ns)
+ (CELL
+  (CELLTYPE "user_project_wrapper")
+  (INSTANCE)
+  (DELAY
+   (ABSOLUTE
+    (INTERCONNECT io_in[22] puf1.ipulse (0.828:0.828:0.828) (0.479:0.479:0.479))
+    (INTERCONNECT io_in[23] puf1.ichallenge[0] (1.149:1.149:1.149) (0.703:0.703:0.703))
+    (INTERCONNECT io_in[24] puf1.ichallenge[1] (1.452:1.452:1.452) (0.901:0.901:0.901))
+    (INTERCONNECT io_in[25] puf1.ichallenge[2] (1.505:1.505:1.505) (0.906:0.906:0.906))
+    (INTERCONNECT io_in[26] puf1.ichallenge[3] (1.359:1.359:1.359) (0.804:0.804:0.804))
+    (INTERCONNECT io_in[27] puf1.ichallenge[4] (1.207:1.207:1.207) (0.700:0.700:0.700))
+    (INTERCONNECT io_in[28] puf1.ichallenge[5] (1.049:1.049:1.049) (0.599:0.599:0.599))
+    (INTERCONNECT io_in[29] puf1.ichallenge[6] (0.696:0.696:0.696) (0.383:0.383:0.383))
+    (INTERCONNECT io_in[30] puf1.ichallenge[7] (0.788:0.788:0.788) (0.444:0.444:0.444))
+    (INTERCONNECT io_in[31] puf1.ichallenge[8] (0.637:0.637:0.637) (0.339:0.339:0.339))
+    (INTERCONNECT io_in[32] puf1.ichallenge[9] (0.728:0.728:0.728) (0.389:0.389:0.389))
+    (INTERCONNECT io_in[33] puf1.ichallenge[10] (0.788:0.788:0.788) (0.422:0.422:0.422))
+    (INTERCONNECT io_in[34] puf1.ichallenge[11] (0.999:0.999:0.999) (0.537:0.537:0.537))
+    (INTERCONNECT io_in[35] puf1.ichallenge[12] (1.024:1.024:1.024) (0.551:0.551:0.551))
+    (INTERCONNECT io_in[36] puf1.ichallenge[13] (1.120:1.120:1.120) (0.604:0.604:0.604))
+    (INTERCONNECT io_in[37] puf1.ichallenge[14] (1.505:1.505:1.505) (0.979:0.979:0.979))
+    (INTERCONNECT puf1.oresponse io_out[20] (0.144:0.144:0.144))
+   )
+  )
+ )
+)
diff --git a/sdf/user_proj_example.sdf b/sdf/user_proj_example.sdf
new file mode 100644
index 0000000..69cb625
--- /dev/null
+++ b/sdf/user_proj_example.sdf
@@ -0,0 +1,45035 @@
+(DELAYFILE
+ (SDFVERSION "3.0")
+ (DESIGN "user_proj_example")
+ (DATE "Sun Dec 18 09:41:09 2022")
+ (VENDOR "Parallax")
+ (PROGRAM "STA")
+ (VERSION "2.3.2")
+ (DIVIDER .)
+ (VOLTAGE 1.800::1.800)
+ (PROCESS "1.000::1.000")
+ (TEMPERATURE 25.000::25.000)
+ (TIMESCALE 1ns)
+ (CELL
+  (CELLTYPE "user_proj_example")
+  (INSTANCE)
+  (DELAY
+   (ABSOLUTE
+    (INTERCONNECT io_in[22] input1.A (0.016:0.016:0.016) (0.007:0.007:0.007))
+    (INTERCONNECT io_in[22] ANTENNA_input1_A.DIODE (0.016:0.016:0.016) (0.007:0.007:0.007))
+    (INTERCONNECT io_in[23] input2.A (0.017:0.017:0.017) (0.007:0.007:0.007))
+    (INTERCONNECT io_in[23] ANTENNA_input2_A.DIODE (0.017:0.017:0.017) (0.007:0.007:0.007))
+    (INTERCONNECT io_in[24] input3.A (0.015:0.015:0.015) (0.006:0.006:0.006))
+    (INTERCONNECT io_in[24] ANTENNA_input3_A.DIODE (0.015:0.015:0.015) (0.006:0.006:0.006))
+    (INTERCONNECT io_in[25] input4.A (0.015:0.015:0.015) (0.006:0.006:0.006))
+    (INTERCONNECT io_in[25] ANTENNA_input4_A.DIODE (0.015:0.015:0.015) (0.006:0.006:0.006))
+    (INTERCONNECT io_in[26] input5.A (0.014:0.014:0.014) (0.006:0.006:0.006))
+    (INTERCONNECT io_in[26] ANTENNA_input5_A.DIODE (0.014:0.014:0.014) (0.006:0.006:0.006))
+    (INTERCONNECT io_in[27] input6.A (0.015:0.015:0.015) (0.006:0.006:0.006))
+    (INTERCONNECT io_in[27] ANTENNA_input6_A.DIODE (0.015:0.015:0.015) (0.006:0.006:0.006))
+    (INTERCONNECT io_in[28] input7.A (0.015:0.015:0.015) (0.006:0.006:0.006))
+    (INTERCONNECT io_in[28] ANTENNA_input7_A.DIODE (0.015:0.015:0.015) (0.006:0.006:0.006))
+    (INTERCONNECT io_in[29] input8.A (0.023:0.023:0.023) (0.010:0.010:0.010))
+    (INTERCONNECT io_in[29] ANTENNA_input8_A.DIODE (0.023:0.023:0.023) (0.010:0.010:0.010))
+    (INTERCONNECT io_in[30] input9.A (0.023:0.023:0.023) (0.010:0.010:0.010))
+    (INTERCONNECT io_in[30] ANTENNA_input9_A.DIODE (0.023:0.023:0.023) (0.010:0.010:0.010))
+    (INTERCONNECT io_in[31] input10.A (0.023:0.023:0.023) (0.010:0.010:0.010))
+    (INTERCONNECT io_in[31] ANTENNA_input10_A.DIODE (0.023:0.023:0.023) (0.010:0.010:0.010))
+    (INTERCONNECT io_in[32] input11.A (0.023:0.023:0.023) (0.010:0.010:0.010))
+    (INTERCONNECT io_in[32] ANTENNA_input11_A.DIODE (0.023:0.023:0.023) (0.010:0.010:0.010))
+    (INTERCONNECT io_in[33] input12.A (0.031:0.031:0.031) (0.013:0.013:0.013))
+    (INTERCONNECT io_in[33] ANTENNA_input12_A.DIODE (0.031:0.031:0.031) (0.013:0.013:0.013))
+    (INTERCONNECT io_in[34] input13.A (0.031:0.031:0.031) (0.013:0.013:0.013))
+    (INTERCONNECT io_in[34] ANTENNA_input13_A.DIODE (0.030:0.030:0.030) (0.013:0.013:0.013))
+    (INTERCONNECT io_in[35] input14.A (0.031:0.031:0.031) (0.013:0.013:0.013))
+    (INTERCONNECT io_in[35] ANTENNA_input14_A.DIODE (0.031:0.031:0.031) (0.013:0.013:0.013))
+    (INTERCONNECT io_in[36] input15.A (0.031:0.031:0.031) (0.013:0.013:0.013))
+    (INTERCONNECT io_in[36] ANTENNA_input15_A.DIODE (0.031:0.031:0.031) (0.013:0.013:0.013))
+    (INTERCONNECT io_in[37] input16.A (0.031:0.031:0.031) (0.013:0.013:0.013))
+    (INTERCONNECT io_in[37] ANTENNA_input16_A.DIODE (0.031:0.031:0.031) (0.013:0.013:0.013))
+    (INTERCONNECT _3033_.X _3034_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3034_.X _3587_.A (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _3034_.X _5520_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3034_.X _5726_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3034_.X _5813_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3034_.X _5836_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3034_.X _5844_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3034_.X _5855_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3034_.X _5915_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3034_.X _5924_.B1 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3034_.X _5932_.B1 (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _3034_.X ANTENNA__5932__B1.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _3034_.X ANTENNA__5924__B1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3034_.X ANTENNA__5915__B1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3034_.X ANTENNA__5855__B1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3034_.X ANTENNA__5844__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3034_.X ANTENNA__5836__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3034_.X ANTENNA__5813__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3034_.X ANTENNA__5726__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3034_.X ANTENNA__5520__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3034_.X ANTENNA__3587__A.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _3035_.X _3037_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3035_.X _3039_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3035_.X _3093_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3035_.X _3117_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3035_.X _3179_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3035_.X _3203_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3035_.X _3204_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3035_.X _3224_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3035_.X _3239_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3035_.X _4758_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3036_.X _3037_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3036_.X _3047_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3036_.X _3148_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3036_.X _3179_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3036_.X _3180_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3036_.X _4722_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3036_.X _4757_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3036_.X _4758_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3036_.X _4765_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3036_.X _4797_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3037_.X _3042_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3037_.X _3043_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3037_.X _3091_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3038_.X _3039_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3038_.X _3050_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3038_.X _3092_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3038_.X _3205_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3038_.X _3220_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3038_.X _3247_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3038_.X _4773_.C (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3038_.X _4794_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3038_.X _4796_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3038_.X _4818_.D (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3038_.X ANTENNA__4818__D.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3038_.X ANTENNA__4796__B2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3038_.X ANTENNA__4794__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3038_.X ANTENNA__4773__C.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3038_.X ANTENNA__3247__D.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3038_.X ANTENNA__3220__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3038_.X ANTENNA__3205__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3038_.X ANTENNA__3092__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3038_.X ANTENNA__3050__B1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3038_.X ANTENNA__3039__B1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3039_.Y _3042_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3039_.Y _3091_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3040_.X _3041_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3040_.X _3047_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3040_.X _3050_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3040_.X _3072_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3040_.X _3074_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3040_.X _3119_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3040_.X _3120_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _3040_.X _3225_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3040_.X _4669_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3040_.X _4796_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3041_.Y _3042_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3041_.Y _3091_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3042_.X _3043_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3042_.X _3098_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3042_.X _3104_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3043_.X _3053_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3043_.X _3070_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3044_.X _3046_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3044_.X _3072_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3044_.X _3078_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3044_.X _3099_.A1 (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _3044_.X _3100_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3044_.X _3119_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3044_.X _3206_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3044_.X _4669_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3044_.X _4701_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3044_.X _5300_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3045_.X _3046_.B (0.016:0.016:0.016) (0.015:0.015:0.015))
+    (INTERCONNECT _3045_.X _3076_.A (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT _3045_.X _3079_.A2 (0.016:0.016:0.016) (0.015:0.015:0.015))
+    (INTERCONNECT _3045_.X _3183_.C (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT _3045_.X _3209_.A1_N (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT _3045_.X _3595_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3045_.X _3620_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3045_.X _3761_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3045_.X _3776_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3045_.X _4104_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3045_.X ANTENNA__4104__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3045_.X ANTENNA__3776__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3045_.X ANTENNA__3761__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3045_.X ANTENNA__3620__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3045_.X ANTENNA__3595__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3045_.X ANTENNA__3209__A1_N.DIODE (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT _3045_.X ANTENNA__3183__C.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015))
+    (INTERCONNECT _3045_.X ANTENNA__3079__A2.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT _3045_.X ANTENNA__3076__A.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT _3045_.X ANTENNA__3046__B.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT _3046_.Y _3052_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3047_.X _3051_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3047_.X _3079_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3048_.X _3050_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3048_.X _3072_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3048_.X _3074_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3048_.X _3094_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3048_.X _3096_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3048_.X _3152_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3048_.X _3592_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3048_.X _3615_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3048_.X _5300_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3048_.X _5301_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3048_.X ANTENNA__5301__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3048_.X ANTENNA__5300__B2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3048_.X ANTENNA__3615__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3048_.X ANTENNA__3592__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3048_.X ANTENNA__3152__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3048_.X ANTENNA__3096__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3048_.X ANTENNA__3094__B2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3048_.X ANTENNA__3074__C.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3048_.X ANTENNA__3072__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3048_.X ANTENNA__3050__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3049_.X _3050_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3049_.X _3072_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3049_.X _3074_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3049_.X _3094_.A1 (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _3049_.X _3096_.A (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _3049_.X _3589_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3049_.X _3618_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3049_.X _5247_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3049_.X _5300_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3049_.X _5301_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3049_.X ANTENNA__5301__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3049_.X ANTENNA__5300__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3049_.X ANTENNA__5247__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3049_.X ANTENNA__3618__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3049_.X ANTENNA__3589__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3049_.X ANTENNA__3096__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3049_.X ANTENNA__3094__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3049_.X ANTENNA__3074__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3049_.X ANTENNA__3072__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3049_.X ANTENNA__3050__B2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3050_.X _3051_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3050_.X _3079_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3051_.X _3052_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3052_.Y _3053_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3052_.Y _3070_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3053_.X _3085_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3053_.X _3086_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3054_.X _3055_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3054_.X _4660_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3054_.X _4662_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3054_.X _4665_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3054_.X _4680_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3054_.X _4681_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3054_.X _4697_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3054_.X _4699_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3054_.X _4738_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3054_.X _5301_.D (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3054_.X ANTENNA__5301__D.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3054_.X ANTENNA__4738__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3054_.X ANTENNA__4699__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3054_.X ANTENNA__4697__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3054_.X ANTENNA__4681__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3054_.X ANTENNA__4680__B2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3054_.X ANTENNA__4665__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3054_.X ANTENNA__4662__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3054_.X ANTENNA__4660__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3054_.X ANTENNA__3055__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3055_.X _3062_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3055_.X _3063_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3055_.X _3076_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3055_.X _3099_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3055_.X _3100_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3055_.X _3122_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3055_.X _3188_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3055_.X _3195_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3055_.X _3202_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3055_.X _5300_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3056_.X _3057_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3056_.X _3099_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3056_.X _3100_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3056_.X _3119_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3056_.X _3120_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3056_.X _3598_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3056_.X _3612_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3056_.X _3613_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3056_.X _3675_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3056_.X _3774_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3056_.X ANTENNA__3774__B2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3056_.X ANTENNA__3675__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3056_.X ANTENNA__3613__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3056_.X ANTENNA__3612__B2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3056_.X ANTENNA__3598__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3056_.X ANTENNA__3120__C.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3056_.X ANTENNA__3119__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3056_.X ANTENNA__3100__C.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3056_.X ANTENNA__3099__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3056_.X ANTENNA__3057__A.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _3057_.X _3062_.A2 (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _3057_.X _3063_.B (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _3057_.X _3081_.A1 (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _3057_.X _3082_.A (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _3057_.X _3691_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3057_.X _3706_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3057_.X _3708_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3057_.X _5241_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3057_.X _5299_.A (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _3057_.X _5952_.A0 (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _3057_.X ANTENNA__5952__A0.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3057_.X ANTENNA__5299__A.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _3057_.X ANTENNA__5241__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3057_.X ANTENNA__3708__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3057_.X ANTENNA__3706__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3057_.X ANTENNA__3691__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3057_.X ANTENNA__3082__A.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _3057_.X ANTENNA__3081__A1.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _3057_.X ANTENNA__3063__B.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _3057_.X ANTENNA__3062__A2.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _3058_.X _3062_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3058_.X _3081_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3058_.X _3082_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3058_.X _3102_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3058_.X _3158_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3058_.X _3159_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3058_.X _3186_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3058_.X _4703_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3058_.X _5303_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3058_.X _5304_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3059_.X _3060_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3059_.X _3120_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3059_.X _3179_.B (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _3059_.X _3598_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3059_.X _3599_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3059_.X _3613_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3059_.X _3622_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3059_.X _3623_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3059_.X _3674_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3059_.X _3675_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3059_.X ANTENNA__3675__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3059_.X ANTENNA__3674__B2.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _3059_.X ANTENNA__3623__A1.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _3059_.X ANTENNA__3622__B.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _3059_.X ANTENNA__3613__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3059_.X ANTENNA__3599__B.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _3059_.X ANTENNA__3598__B2.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _3059_.X ANTENNA__3179__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3059_.X ANTENNA__3120__D.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3059_.X ANTENNA__3060__A.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _3060_.X _3061_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3060_.X _3099_.B1 (0.015:0.015:0.015) (0.014:0.014:0.014))
+    (INTERCONNECT _3060_.X _3100_.D (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT _3060_.X _3119_.B1 (0.015:0.015:0.015) (0.014:0.014:0.014))
+    (INTERCONNECT _3060_.X _3224_.A (0.014:0.014:0.014) (0.013:0.013:0.013))
+    (INTERCONNECT _3060_.X _3240_.A1 (0.014:0.014:0.014) (0.013:0.013:0.013))
+    (INTERCONNECT _3060_.X _3612_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3060_.X _3774_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3060_.X _3775_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3060_.X _4102_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3060_.X ANTENNA__4102__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3060_.X ANTENNA__3775__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3060_.X ANTENNA__3774__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3060_.X ANTENNA__3612__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3060_.X ANTENNA__3240__A1.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3060_.X ANTENNA__3224__A.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT _3060_.X ANTENNA__3119__B1.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT _3060_.X ANTENNA__3100__D.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT _3060_.X ANTENNA__3099__B1.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT _3060_.X ANTENNA__3061__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3061_.X _3062_.B2 (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3061_.X _3063_.D (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3061_.X _3081_.B1 (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3061_.X _3082_.C (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3061_.X _3691_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3061_.X _3707_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3061_.X _3708_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3061_.X _4094_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3061_.X _4101_.A1 (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _3061_.X _5950_.A0 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3061_.X ANTENNA__5950__A0.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _3061_.X ANTENNA__4101__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3061_.X ANTENNA__4094__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3061_.X ANTENNA__3708__B2.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _3061_.X ANTENNA__3707__A.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _3061_.X ANTENNA__3691__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3061_.X ANTENNA__3082__C.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3061_.X ANTENNA__3081__B1.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _3061_.X ANTENNA__3063__D.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3061_.X ANTENNA__3062__B2.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _3062_.Y _3064_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3062_.Y _3088_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3063_.X _3064_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3063_.X _3088_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3064_.Y _3069_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3065_.X _3068_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3065_.X _3131_.A (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _3065_.X _3137_.A (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _3065_.X _3165_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3065_.X _5299_.B (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _3065_.X _5354_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3065_.X _5355_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3065_.X _5390_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3065_.X _5391_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3065_.X _5481_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3065_.X ANTENNA__5481__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3065_.X ANTENNA__5391__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3065_.X ANTENNA__5390__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3065_.X ANTENNA__5355__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3065_.X ANTENNA__5354__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3065_.X ANTENNA__5299__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3065_.X ANTENNA__3165__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3065_.X ANTENNA__3137__A.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _3065_.X ANTENNA__3131__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3065_.X ANTENNA__3068__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3066_.X _3067_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3066_.X _3205_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3066_.X _3224_.D (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3066_.X _3627_.B1 (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _3066_.X _3630_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3066_.X _3640_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3066_.X _3656_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3066_.X _3657_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3066_.X _3682_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3066_.X _3712_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3066_.X ANTENNA__3712__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3066_.X ANTENNA__3682__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3066_.X ANTENNA__3657__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3066_.X ANTENNA__3656__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3066_.X ANTENNA__3640__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3066_.X ANTENNA__3630__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3066_.X ANTENNA__3627__B1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3066_.X ANTENNA__3224__D.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3066_.X ANTENNA__3205__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3066_.X ANTENNA__3067__A.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _3067_.X _3068_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3067_.X _3102_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3067_.X _3122_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3067_.X _3221_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3067_.X _3240_.B2 (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _3067_.X _3248_.B (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _3067_.X _3733_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3067_.X _3744_.B (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3067_.X _3780_.B (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3067_.X _5948_.A0 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3067_.X ANTENNA__5948__A0.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3067_.X ANTENNA__3780__B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3067_.X ANTENNA__3744__B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3067_.X ANTENNA__3733__A2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3067_.X ANTENNA__3248__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3067_.X ANTENNA__3240__B2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3067_.X ANTENNA__3221__B.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _3067_.X ANTENNA__3122__B.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3067_.X ANTENNA__3102__B.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3067_.X ANTENNA__3068__B.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _3068_.Y _3069_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3068_.Y _3088_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3069_.Y _3071_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3069_.Y _3090_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3070_.Y _3071_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3070_.Y _3090_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3071_.X _3085_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3071_.X _3086_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3072_.Y _3075_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3072_.Y _5306_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3073_.X _3074_.D (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3073_.X _3120_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3073_.X _3145_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3073_.X _4664_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3073_.X _4670_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3073_.X _4680_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3073_.X _4681_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3073_.X _4736_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3073_.X _4767_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3073_.X _5301_.C (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3073_.X ANTENNA__5301__C.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3073_.X ANTENNA__4767__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3073_.X ANTENNA__4736__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3073_.X ANTENNA__4681__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3073_.X ANTENNA__4680__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3073_.X ANTENNA__4670__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3073_.X ANTENNA__4664__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3073_.X ANTENNA__3145__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3073_.X ANTENNA__3120__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3073_.X ANTENNA__3074__D.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _3074_.X _3075_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3074_.X _5306_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3075_.Y _3077_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3076_.Y _3077_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3076_.Y _5306_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3077_.Y _3080_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3077_.Y _5309_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3078_.X _3079_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3078_.X _3213_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3078_.X _3228_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3078_.X _3233_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3078_.X _5118_.A1 (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _3078_.X _5119_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3078_.X _5120_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3078_.X _5361_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3078_.X _5397_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3078_.X _6057_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3078_.X ANTENNA__6057__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3078_.X ANTENNA__5397__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3078_.X ANTENNA__5361__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3078_.X ANTENNA__5120__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3078_.X ANTENNA__5119__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3078_.X ANTENNA__5118__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3078_.X ANTENNA__3233__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3078_.X ANTENNA__3228__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3078_.X ANTENNA__3213__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3078_.X ANTENNA__3079__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3079_.X _3080_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3079_.X _5309_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3080_.Y _3084_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3080_.Y _5309_.A1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3081_.Y _3083_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3082_.X _3083_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3082_.X _5311_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3082_.X _5312_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3083_.X _3084_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3083_.X _5309_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3084_.X _3085_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3084_.X _3086_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3085_.Y _3087_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3085_.Y _5314_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3085_.Y _5315_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3086_.X _3087_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3087_.X _3089_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3087_.X _5298_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3088_.X _3089_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3088_.X _5298_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3089_.Y _3112_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3089_.Y _5316_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3090_.Y _3107_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3090_.Y _3108_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3091_.Y _3098_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3091_.Y _3104_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3092_.X _3097_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3092_.X _3115_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3092_.X _3116_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3093_.X _3094_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3093_.X _3096_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3093_.X _3152_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3093_.X _3247_.B (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _3093_.X _3249_.A1 (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _3093_.X _4723_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3093_.X _4773_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3093_.X _4791_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3093_.X _4795_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3093_.X _4818_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3093_.X ANTENNA__4818__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3093_.X ANTENNA__4795__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3093_.X ANTENNA__4791__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3093_.X ANTENNA__4773__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3093_.X ANTENNA__4723__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3093_.X ANTENNA__3249__A1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3093_.X ANTENNA__3247__B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3093_.X ANTENNA__3152__B1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3093_.X ANTENNA__3096__D.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3093_.X ANTENNA__3094__B1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3094_.X _3097_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3094_.X _3115_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3094_.X _3116_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3095_.X _3096_.C (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3095_.X _3183_.D (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _3095_.X _3209_.A2_N (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3095_.X _3240_.A2 (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _3095_.X _3248_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3095_.X _3254_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3095_.X _3559_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3095_.X _4789_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3095_.X _4817_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3095_.X _4830_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3095_.X ANTENNA__4830__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3095_.X ANTENNA__4817__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3095_.X ANTENNA__4789__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3095_.X ANTENNA__3559__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3095_.X ANTENNA__3254__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3095_.X ANTENNA__3248__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3095_.X ANTENNA__3240__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3095_.X ANTENNA__3209__A2_N.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3095_.X ANTENNA__3183__D.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3095_.X ANTENNA__3096__C.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3096_.Y _3097_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3096_.Y _3115_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3096_.Y _3116_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3097_.X _3098_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3097_.X _3104_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3098_.Y _3105_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3098_.Y _3106_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3098_.Y _3114_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3099_.X _3101_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3100_.Y _3101_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3100_.Y _3109_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3101_.Y _3103_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3101_.Y _3109_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3102_.Y _3103_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3102_.Y _3109_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3103_.X _3105_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3103_.X _3114_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3104_.X _3105_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3104_.X _3114_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3105_.Y _3106_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3105_.Y _3133_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3105_.Y _3135_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3106_.Y _3107_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3106_.Y _3108_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3107_.X _3111_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3108_.X _3110_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3108_.X _3113_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3109_.Y _3110_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3109_.Y _3113_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3110_.X _3111_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3111_.Y _3112_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3111_.Y _5316_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3112_.Y _3141_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3112_.Y _3142_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3113_.Y _3136_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3113_.Y _3139_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3114_.X _3133_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3114_.X _3135_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3115_.Y _3118_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3115_.Y _3124_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3116_.X _3118_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3116_.X _3124_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3117_.X _3118_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3117_.X _3124_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3117_.X _3153_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3118_.Y _3125_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3118_.Y _3126_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3118_.Y _3155_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3119_.Y _3121_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3119_.Y _3127_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3120_.X _3121_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3120_.X _3127_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3121_.X _3123_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3122_.Y _3123_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3122_.Y _3127_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3123_.X _3125_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3123_.X _3155_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3124_.X _3125_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3124_.X _3155_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3125_.Y _3126_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3125_.Y _3156_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3125_.Y _3167_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3126_.Y _3134_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3126_.Y _3135_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3127_.Y _3132_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3127_.Y _3137_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3128_.X _3129_.A (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _3128_.X _3247_.C (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3128_.X _3627_.A2 (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3128_.X _3632_.D (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3128_.X _3642_.D (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3128_.X _3683_.B1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3128_.X _3713_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3128_.X _3714_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3128_.X _3731_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3128_.X _3732_.C (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _3128_.X ANTENNA__3732__C.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3128_.X ANTENNA__3731__A2.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3128_.X ANTENNA__3714__B.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3128_.X ANTENNA__3713__A1.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3128_.X ANTENNA__3683__B1.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3128_.X ANTENNA__3642__D.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3128_.X ANTENNA__3632__D.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _3128_.X ANTENNA__3627__A2.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3128_.X ANTENNA__3247__C.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _3128_.X ANTENNA__3129__A.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _3129_.X _3130_.A (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _3129_.X _3188_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3129_.X _3206_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3129_.X _3226_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3129_.X _3242_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3129_.X _3243_.C (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _3129_.X _3249_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3129_.X _3254_.D (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3129_.X _3560_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3129_.X _3779_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3129_.X ANTENNA__3779__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3129_.X ANTENNA__3560__B1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3129_.X ANTENNA__3254__D.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3129_.X ANTENNA__3249__A2.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _3129_.X ANTENNA__3243__C.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3129_.X ANTENNA__3242__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3129_.X ANTENNA__3226__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3129_.X ANTENNA__3206__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3129_.X ANTENNA__3188__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3129_.X ANTENNA__3130__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3130_.X _3131_.B (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3130_.X _3137_.B (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _3130_.X _3158_.C1 (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3130_.X _3160_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3130_.X _3196_.B (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3130_.X _3213_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3130_.X _3232_.B (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _3130_.X _3748_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3130_.X _4029_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3130_.X _5946_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3130_.X ANTENNA__5946__A0.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3130_.X ANTENNA__4029__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3130_.X ANTENNA__3748__D.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3130_.X ANTENNA__3232__B.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3130_.X ANTENNA__3213__B.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3130_.X ANTENNA__3196__B.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3130_.X ANTENNA__3160__A2.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _3130_.X ANTENNA__3158__C1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3130_.X ANTENNA__3137__B.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3130_.X ANTENNA__3131__B.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3131_.Y _3132_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3132_.Y _3135_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3132_.Y _3144_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3133_.Y _3134_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3134_.Y _3135_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3134_.Y _3144_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3135_.X _3136_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3135_.X _3139_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3136_.X _3141_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3136_.X _3142_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3137_.X _3138_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3138_.Y _3140_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3138_.Y _3174_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3139_.Y _3140_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3139_.Y _3174_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3140_.Y _3141_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3140_.Y _3142_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3141_.X _3143_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3142_.Y _3143_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3142_.Y _5321_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3142_.Y _5475_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3143_.X _3273_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3143_.X _5320_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3144_.Y _3170_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3144_.Y _3172_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3145_.Y _3150_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3145_.Y _3151_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3145_.Y _3157_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3146_.X _3148_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3146_.X _3149_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3146_.X _3178_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3146_.X _4670_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3146_.X _4722_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3146_.X _4724_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3146_.X _4737_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3146_.X _4766_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3146_.X _4767_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3146_.X _4797_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3147_.X _3148_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3147_.X _3179_.A (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _3147_.X _3180_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3147_.X _3203_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3147_.X _3204_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3147_.X _3599_.A (0.020:0.020:0.020) (0.019:0.019:0.019))
+    (INTERCONNECT _3147_.X _3622_.A (0.020:0.020:0.020) (0.020:0.020:0.020))
+    (INTERCONNECT _3147_.X _3623_.B2 (0.020:0.020:0.020) (0.020:0.020:0.020))
+    (INTERCONNECT _3147_.X _3674_.A1 (0.020:0.020:0.020) (0.020:0.020:0.020))
+    (INTERCONNECT _3147_.X _3772_.A (0.019:0.019:0.019) (0.018:0.018:0.018))
+    (INTERCONNECT _3147_.X ANTENNA__3772__A.DIODE (0.019:0.019:0.019) (0.019:0.019:0.019))
+    (INTERCONNECT _3147_.X ANTENNA__3674__A1.DIODE (0.021:0.021:0.021) (0.021:0.021:0.021))
+    (INTERCONNECT _3147_.X ANTENNA__3623__B2.DIODE (0.020:0.020:0.020) (0.020:0.020:0.020))
+    (INTERCONNECT _3147_.X ANTENNA__3622__A.DIODE (0.020:0.020:0.020) (0.020:0.020:0.020))
+    (INTERCONNECT _3147_.X ANTENNA__3599__A.DIODE (0.021:0.021:0.021) (0.021:0.021:0.021))
+    (INTERCONNECT _3147_.X ANTENNA__3204__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3147_.X ANTENNA__3203__A1.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _3147_.X ANTENNA__3180__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3147_.X ANTENNA__3179__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3147_.X ANTENNA__3148__B2.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _3148_.Y _3150_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3148_.Y _3151_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3148_.Y _3157_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3149_.X _3150_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3149_.X _3151_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3149_.X _3157_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3149_.X _3158_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3149_.X _3160_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _3150_.X _3154_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3150_.X _3177_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3151_.Y _3154_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3151_.Y _3177_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3152_.Y _3153_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3153_.Y _3154_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3153_.Y _3177_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3154_.Y _3156_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3154_.Y _3167_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3154_.Y _3184_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3154_.Y _3185_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3154_.Y _3191_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3155_.X _3156_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3155_.X _3167_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3156_.Y _3168_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3156_.Y _3169_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3156_.Y _3193_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3157_.Y _3158_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3157_.Y _3160_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3158_.X _3161_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3158_.X _3171_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3159_.X _3160_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3159_.X _3197_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3159_.X _5354_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3159_.X _5387_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3159_.X _5477_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3159_.X _5612_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3159_.X _5613_.C (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3159_.X _5673_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3159_.X _5674_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3159_.X _6061_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3159_.X ANTENNA__6061__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3159_.X ANTENNA__5674__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3159_.X ANTENNA__5673__B2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3159_.X ANTENNA__5613__C.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _3159_.X ANTENNA__5612__A2.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _3159_.X ANTENNA__5477__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3159_.X ANTENNA__5387__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3159_.X ANTENNA__5354__B2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3159_.X ANTENNA__3197__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3159_.X ANTENNA__3160__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3160_.Y _3161_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3160_.Y _3171_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3161_.Y _3166_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3162_.X _3163_.A (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _3162_.X _3247_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3162_.X _3631_.A2 (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _3162_.X _3632_.C (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _3162_.X _3642_.C (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _3162_.X _3683_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3162_.X _3713_.B2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3162_.X _3714_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3162_.X _3731_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3162_.X _3732_.A (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _3162_.X ANTENNA__3732__A.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _3162_.X ANTENNA__3731__B2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3162_.X ANTENNA__3714__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3162_.X ANTENNA__3713__B2.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3162_.X ANTENNA__3683__A2.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3162_.X ANTENNA__3642__C.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3162_.X ANTENNA__3632__C.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3162_.X ANTENNA__3631__A2.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _3162_.X ANTENNA__3247__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3162_.X ANTENNA__3163__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3163_.X _3164_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3163_.X _3186_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3163_.X _3202_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3163_.X _3228_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3163_.X _3242_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3163_.X _3243_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3163_.X _3249_.B2 (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _3163_.X _3254_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3163_.X _3560_.A1 (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _3163_.X _3748_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3163_.X ANTENNA__3748__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3163_.X ANTENNA__3560__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3163_.X ANTENNA__3254__B.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _3163_.X ANTENNA__3249__B2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3163_.X ANTENNA__3243__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3163_.X ANTENNA__3242__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3163_.X ANTENNA__3228__B.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _3163_.X ANTENNA__3202__B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3163_.X ANTENNA__3186__B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3163_.X ANTENNA__3164__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3164_.X _3165_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3164_.X _3197_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3164_.X _3214_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3164_.X _3233_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3164_.X _3565_.C (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3164_.X _4029_.A1 (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _3164_.X _4036_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3164_.X _5834_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3164_.X _5835_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3164_.X _5944_.A0 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3164_.X ANTENNA__5944__A0.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3164_.X ANTENNA__5835__A2.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _3164_.X ANTENNA__5834__A1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3164_.X ANTENNA__4036__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3164_.X ANTENNA__4029__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3164_.X ANTENNA__3565__C.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3164_.X ANTENNA__3233__A2.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _3164_.X ANTENNA__3214__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3164_.X ANTENNA__3197__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3164_.X ANTENNA__3165__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3165_.Y _3166_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3165_.Y _3171_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3166_.Y _3168_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3166_.Y _3193_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3167_.X _3168_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3167_.X _3193_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3168_.Y _3169_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3168_.Y _3194_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3168_.Y _3198_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3169_.Y _3170_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3169_.Y _3172_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3170_.X _3175_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3170_.X _3271_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3171_.X _3173_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3171_.X _3266_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3172_.Y _3173_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3172_.Y _3266_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3173_.X _3175_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3173_.X _3271_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3174_.Y _3175_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3174_.Y _3271_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3175_.X _3176_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3175_.X _3523_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3176_.Y _3272_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3176_.Y _5320_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3177_.X _3184_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3177_.X _3185_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3177_.X _3191_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3178_.X _3181_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3178_.X _3182_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3178_.X _3187_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3179_.Y _3181_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3179_.Y _3182_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3179_.Y _3187_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3180_.X _3181_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3180_.X _3182_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3180_.X _3187_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3181_.X _3183_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3181_.X _3209_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3182_.Y _3183_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3182_.Y _3209_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3183_.X _3184_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3183_.X _3185_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3183_.X _3191_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3183_.X _3210_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3184_.X _3194_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3184_.X _3198_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3185_.Y _3192_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3185_.Y _3201_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3186_.Y _3190_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3187_.X _3189_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3187_.X _3196_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3188_.Y _3189_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3189_.Y _3190_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3189_.Y _3197_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3190_.Y _3192_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3190_.Y _3201_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3191_.X _3192_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3191_.X _3201_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3192_.X _3194_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3192_.X _3198_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3192_.X _3212_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3192_.X _3215_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3193_.X _3194_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3193_.X _3198_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3194_.X _3199_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3194_.X _3200_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3194_.X _3267_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3195_.X _3196_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _3195_.X _3214_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3195_.X _5127_.A (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _3195_.X _5357_.A1 (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _3195_.X _5358_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3195_.X _5359_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3195_.X _5386_.A (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _3195_.X _5478_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3195_.X _5479_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3195_.X _6059_.A1 (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _3195_.X ANTENNA__6059__A1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3195_.X ANTENNA__5479__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3195_.X ANTENNA__5478__A2.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _3195_.X ANTENNA__5386__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3195_.X ANTENNA__5359__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3195_.X ANTENNA__5358__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3195_.X ANTENNA__5357__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3195_.X ANTENNA__5127__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3195_.X ANTENNA__3214__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3195_.X ANTENNA__3196__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3196_.X _3197_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3197_.Y _3199_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3197_.Y _3200_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3198_.Y _3199_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3198_.Y _3200_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3199_.Y _3217_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3199_.Y _3264_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3199_.Y _3267_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3200_.X _3217_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3200_.X _3264_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3201_.Y _3212_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3201_.Y _3215_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3202_.Y _3208_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3203_.X _3205_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3203_.X _3222_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3204_.X _3205_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3204_.X _3222_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3205_.X _3207_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3205_.X _3213_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3206_.Y _3207_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3207_.Y _3208_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3207_.Y _3214_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3208_.Y _3211_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3208_.Y _3219_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3209_.X _3210_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3210_.Y _3211_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3210_.Y _3219_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3211_.Y _3212_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3211_.Y _3215_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3212_.X _3216_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3212_.X _3217_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3212_.X _3218_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3212_.X _3264_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3213_.X _3214_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3214_.X _3216_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3214_.X _3218_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3215_.Y _3216_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3215_.Y _3218_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3216_.Y _3217_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3216_.Y _3236_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3216_.Y _3262_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3216_.Y _3264_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3217_.Y _3265_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3217_.Y _3529_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3217_.Y _3530_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3218_.X _3236_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3218_.X _3262_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3219_.Y _3231_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3219_.Y _3234_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3220_.X _3221_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3220_.X _3242_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3220_.X _3243_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3220_.X _3249_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3220_.X _4672_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3220_.X _4689_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3220_.X _4690_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3220_.X _4714_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3220_.X _4816_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3220_.X _6053_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3220_.X ANTENNA__6053__A1.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _3220_.X ANTENNA__4816__B1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3220_.X ANTENNA__4714__B.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3220_.X ANTENNA__4690__B.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3220_.X ANTENNA__4689__A2.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3220_.X ANTENNA__4672__B.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3220_.X ANTENNA__3249__B1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3220_.X ANTENNA__3243__D.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3220_.X ANTENNA__3242__B2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3220_.X ANTENNA__3221__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3221_.Y _3223_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3222_.X _3223_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3223_.Y _3230_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3223_.Y _3238_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3224_.X _3227_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3224_.X _3232_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3224_.X _3241_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3225_.X _3226_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3225_.X _3232_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3225_.X _3242_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3225_.X _3243_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3225_.X _4683_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3225_.X _4709_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3225_.X _4710_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3225_.X _5117_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3225_.X _5370_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3225_.X _6055_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3225_.X ANTENNA__6055__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3225_.X ANTENNA__5370__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3225_.X ANTENNA__5117__A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3225_.X ANTENNA__4710__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3225_.X ANTENNA__4709__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3225_.X ANTENNA__4683__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3225_.X ANTENNA__3243__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3225_.X ANTENNA__3242__A1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3225_.X ANTENNA__3232__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3225_.X ANTENNA__3226__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3226_.Y _3227_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3227_.Y _3229_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3227_.Y _3233_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3228_.Y _3229_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3229_.Y _3230_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3229_.Y _3238_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3230_.Y _3231_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3230_.Y _3234_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3231_.Y _3236_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3231_.Y _3262_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3232_.X _3233_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3233_.X _3235_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3233_.X _3237_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3234_.X _3235_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3234_.X _3237_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3235_.X _3236_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3235_.X _3262_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3236_.X _3263_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3236_.X _3534_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3236_.X _3535_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3237_.Y _3261_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3237_.Y _3540_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3238_.X _3258_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3238_.X _3260_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3239_.X _3240_.B1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3239_.X _3254_.C (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3239_.X _3560_.A2 (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3239_.X _4694_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3239_.X _4726_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3239_.X _4789_.B1 (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _3239_.X _4816_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3239_.X _4830_.C (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3239_.X _5056_.A2 (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3239_.X _6051_.A1 (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3239_.X ANTENNA__6051__A1.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _3239_.X ANTENNA__5056__A2.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _3239_.X ANTENNA__4830__C.DIODE (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT _3239_.X ANTENNA__4816__A1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3239_.X ANTENNA__4789__B1.DIODE (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT _3239_.X ANTENNA__4726__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3239_.X ANTENNA__4694__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3239_.X ANTENNA__3560__A2.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _3239_.X ANTENNA__3254__C.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _3239_.X ANTENNA__3240__B1.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT _3240_.Y _3241_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3241_.X _3244_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3241_.X _3246_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3242_.Y _3244_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3242_.Y _3245_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3243_.X _3244_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3243_.X _3245_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3244_.Y _3258_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3244_.Y _3260_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3245_.Y _3246_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3246_.Y _3252_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3246_.Y _3256_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3247_.X _3250_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3247_.X _3251_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3247_.X _3253_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3248_.Y _3250_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3248_.Y _3253_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3249_.Y _3250_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3249_.Y _3253_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3250_.X _3251_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3250_.X _3255_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3250_.X _3555_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3251_.X _3252_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3251_.X _3256_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3252_.Y _3259_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3252_.Y _3547_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3253_.Y _3255_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3253_.Y _3555_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3254_.X _3255_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3254_.X _3555_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3254_.X _3561_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3255_.X _3257_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3255_.X _3550_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3255_.X _3556_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3256_.X _3257_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3256_.X _3550_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3257_.Y _3259_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3257_.Y _3547_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3257_.Y _3551_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3258_.Y _3259_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3258_.Y _3547_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3259_.Y _3260_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3259_.Y _3548_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3260_.Y _3261_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3260_.Y _3540_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3261_.Y _3263_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3261_.Y _3534_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3261_.Y _3535_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3262_.Y _3263_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3262_.Y _3534_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3262_.Y _3535_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3263_.X _3265_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3263_.X _3529_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3263_.X _3530_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3264_.X _3265_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3264_.X _3529_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3264_.X _3530_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3265_.X _3270_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3265_.X _3526_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3266_.Y _3268_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3266_.Y _3269_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3267_.Y _3268_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3267_.Y _3269_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3268_.Y _3270_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3268_.Y _3526_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3269_.X _3270_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3270_.X _3272_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3270_.X _3524_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3270_.X _5320_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3271_.Y _3272_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3271_.Y _3523_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3271_.Y _5320_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3272_.Y _3273_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3273_.X _3521_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3273_.X _5267_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3274_.X _3275_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3274_.X _3287_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3274_.X _3344_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3274_.X _3401_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3274_.X _3808_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3274_.X _3821_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3274_.X _3898_.B1 (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _3274_.X _3899_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3274_.X _3969_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3274_.X _5278_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3274_.X ANTENNA__5278__B.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _3274_.X ANTENNA__3969__B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3274_.X ANTENNA__3899__A1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3274_.X ANTENNA__3898__B1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3274_.X ANTENNA__3821__A1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3274_.X ANTENNA__3808__A1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3274_.X ANTENNA__3401__A1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3274_.X ANTENNA__3344__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3274_.X ANTENNA__3287__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3274_.X ANTENNA__3275__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3275_.X _3276_.A (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _3275_.X _3449_.B1 (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _3275_.X _3450_.A1 (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _3275_.X _3968_.A2 (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT _3275_.X _4064_.A2 (0.016:0.016:0.016) (0.015:0.015:0.015))
+    (INTERCONNECT _3275_.X _4065_.B (0.016:0.016:0.016) (0.015:0.015:0.015))
+    (INTERCONNECT _3275_.X _5219_.B (0.015:0.015:0.015) (0.014:0.014:0.014))
+    (INTERCONNECT _3275_.X _5500_.B (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _3275_.X _5549_.B (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _3275_.X _5550_.A1 (0.014:0.014:0.014) (0.013:0.013:0.013))
+    (INTERCONNECT _3275_.X ANTENNA__5550__A1.DIODE (0.017:0.017:0.017) (0.017:0.017:0.017))
+    (INTERCONNECT _3275_.X ANTENNA__5549__B.DIODE (0.017:0.017:0.017) (0.017:0.017:0.017))
+    (INTERCONNECT _3275_.X ANTENNA__5500__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3275_.X ANTENNA__5219__B.DIODE (0.017:0.017:0.017) (0.017:0.017:0.017))
+    (INTERCONNECT _3275_.X ANTENNA__4065__B.DIODE (0.017:0.017:0.017) (0.017:0.017:0.017))
+    (INTERCONNECT _3275_.X ANTENNA__4064__A2.DIODE (0.017:0.017:0.017) (0.017:0.017:0.017))
+    (INTERCONNECT _3275_.X ANTENNA__3968__A2.DIODE (0.017:0.017:0.017) (0.017:0.017:0.017))
+    (INTERCONNECT _3275_.X ANTENNA__3450__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3275_.X ANTENNA__3449__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3275_.X ANTENNA__3276__A.DIODE (0.017:0.017:0.017) (0.017:0.017:0.017))
+    (INTERCONNECT _3276_.X _3290_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3276_.X _5501_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3276_.X _5558_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3276_.X _5623_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3276_.X _5624_.C (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3276_.X _5627_.A1_N (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _3276_.X _5654_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3276_.X _5655_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3276_.X _5658_.A1_N (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3276_.X _5990_.A0 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3276_.X ANTENNA__5990__A0.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3276_.X ANTENNA__5658__A1_N.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3276_.X ANTENNA__5655__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3276_.X ANTENNA__5654__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3276_.X ANTENNA__5627__A1_N.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _3276_.X ANTENNA__5624__C.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3276_.X ANTENNA__5623__A2.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _3276_.X ANTENNA__5558__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3276_.X ANTENNA__5501__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3276_.X ANTENNA__3290__A1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3277_.X _3278_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3277_.X _3308_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3277_.X _3357_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3277_.X _3397_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3277_.X _3412_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3277_.X _3441_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3277_.X _3471_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3277_.X _4888_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3277_.X _4889_.D (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3277_.X _4983_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3277_.X ANTENNA__4983__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3277_.X ANTENNA__4889__D.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3277_.X ANTENNA__4888__B1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3277_.X ANTENNA__3471__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3277_.X ANTENNA__3441__B2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3277_.X ANTENNA__3412__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3277_.X ANTENNA__3397__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3277_.X ANTENNA__3357__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3277_.X ANTENNA__3308__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3277_.X ANTENNA__3278__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3278_.X _3283_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3278_.X _3287_.B (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3278_.X _3290_.A2 (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _3278_.X _3348_.B (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3278_.X _4855_.B (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _3278_.X _4870_.A2 (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3278_.X _4871_.B (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _3278_.X _5089_.B (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3278_.X _5090_.A2 (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3278_.X _6073_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3278_.X ANTENNA__6073__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3278_.X ANTENNA__5090__A2.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _3278_.X ANTENNA__5089__B.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _3278_.X ANTENNA__4871__B.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _3278_.X ANTENNA__4870__A2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3278_.X ANTENNA__4855__B.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _3278_.X ANTENNA__3348__B.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3278_.X ANTENNA__3290__A2.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3278_.X ANTENNA__3287__B.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3278_.X ANTENNA__3283__A2.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _3279_.X _3283_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3279_.X _3321_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3279_.X _3338_.A (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _3279_.X _3361_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3279_.X _3967_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3279_.X _3979_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3279_.X _4063_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3279_.X _5216_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3279_.X _5218_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3279_.X _5277_.A1 (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _3279_.X ANTENNA__5277__A1.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _3279_.X ANTENNA__5218__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3279_.X ANTENNA__5216__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3279_.X ANTENNA__4063__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3279_.X ANTENNA__3979__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3279_.X ANTENNA__3967__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3279_.X ANTENNA__3361__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3279_.X ANTENNA__3338__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3279_.X ANTENNA__3321__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3279_.X ANTENNA__3283__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3280_.X _3281_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3280_.X _3282_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3280_.X _3319_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3280_.X _3359_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3280_.X _3414_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3280_.X _3442_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3280_.X _4883_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3280_.X _4918_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3280_.X _4954_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3280_.X _4955_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3281_.X _3283_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3281_.X _3307_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3282_.X _3283_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3282_.X _3307_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3283_.X _3288_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3283_.X _3290_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3284_.X _3285_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3284_.X _3398_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3284_.X _3440_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3284_.X _3471_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3284_.X _3472_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3284_.X _3496_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3284_.X _4910_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3284_.X _4946_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3284_.X _4983_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3284_.X _4984_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3285_.X _3286_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3285_.X _3378_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3285_.X _3397_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3285_.X _3432_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3285_.X _3433_.D (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3285_.X _3495_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3285_.X _4961_.D (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3285_.X _4981_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3285_.X _5003_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3285_.X _5005_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3286_.X _3289_.B (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _3286_.X _3329_.A2 (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3286_.X _3361_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3286_.X _3362_.B (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3286_.X _3448_.D (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _3286_.X _4875_.B (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _3286_.X _4891_.B (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3286_.X _4898_.A2 (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _3286_.X _4899_.B (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _3286_.X _6071_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3286_.X ANTENNA__6071__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3286_.X ANTENNA__4899__B.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _3286_.X ANTENNA__4898__A2.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _3286_.X ANTENNA__4891__B.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3286_.X ANTENNA__4875__B.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _3286_.X ANTENNA__3448__D.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3286_.X ANTENNA__3362__B.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _3286_.X ANTENNA__3361__A2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3286_.X ANTENNA__3329__A2.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3286_.X ANTENNA__3289__B.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _3287_.Y _3288_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3288_.Y _3289_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3288_.Y _3329_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3289_.X _3290_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3289_.X _3332_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3289_.X _3388_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3290_.X _3354_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3290_.X _5271_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3291_.X _3293_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3291_.X _3315_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3291_.X _3316_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3291_.X _3373_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3291_.X _3413_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3291_.X _4847_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3291_.X _4852_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3291_.X _4853_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3291_.X _4858_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3291_.X _4859_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3292_.X _3293_.B (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _3292_.X _3304_.B1 (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _3292_.X _3371_.B (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _3292_.X _3412_.B (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3292_.X _3440_.B (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3292_.X _3833_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3292_.X _3836_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3292_.X _3846_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3292_.X _3863_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3292_.X _3888_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3292_.X ANTENNA__3888__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3292_.X ANTENNA__3863__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3292_.X ANTENNA__3846__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3292_.X ANTENNA__3836__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3292_.X ANTENNA__3833__B1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3292_.X ANTENNA__3440__B.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3292_.X ANTENNA__3412__B.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3292_.X ANTENNA__3371__B.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _3292_.X ANTENNA__3304__B1.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _3292_.X ANTENNA__3293__B.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _3293_.X _3298_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3293_.X _3369_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3293_.X _3370_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3294_.X _3296_.A2 (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3294_.X _3297_.C (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3294_.X _3414_.C (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3294_.X _3441_.A2 (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3294_.X _3442_.C (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3294_.X _3472_.B (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3294_.X _3837_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3294_.X _3838_.C (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3294_.X _3848_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3294_.X _3890_.C (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3294_.X ANTENNA__3890__C.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _3294_.X ANTENNA__3848__C.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3294_.X ANTENNA__3838__C.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3294_.X ANTENNA__3837__A2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3294_.X ANTENNA__3472__B.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3294_.X ANTENNA__3442__C.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3294_.X ANTENNA__3441__A2.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3294_.X ANTENNA__3414__C.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _3294_.X ANTENNA__3297__C.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3294_.X ANTENNA__3296__A2.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _3295_.X _3296_.B1 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3295_.X _3297_.D (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _3295_.X _3414_.D (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3295_.X _3442_.D (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3295_.X _3472_.C (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3295_.X _3833_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3295_.X _3837_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3295_.X _3847_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3295_.X _3848_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3295_.X _3864_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3295_.X ANTENNA__3864__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3295_.X ANTENNA__3848__D.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3295_.X ANTENNA__3847__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3295_.X ANTENNA__3837__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3295_.X ANTENNA__3833__A2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3295_.X ANTENNA__3472__C.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _3295_.X ANTENNA__3442__D.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3295_.X ANTENNA__3414__D.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3295_.X ANTENNA__3297__D.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _3295_.X ANTENNA__3296__B1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3296_.X _3298_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3296_.X _3369_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3296_.X _3370_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3297_.Y _3298_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3297_.Y _3369_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3297_.Y _3370_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3298_.Y _3306_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3298_.Y _3310_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3299_.X _3304_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3299_.X _3305_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3299_.X _3323_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3299_.X _3333_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3299_.X _4843_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _3299_.X _4844_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3299_.X _4846_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3299_.X _4848_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3299_.X _4864_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3299_.X _5094_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3300_.X _3305_.A2 (0.018:0.018:0.018) (0.017:0.017:0.017))
+    (INTERCONNECT _3300_.X _3324_.B (0.018:0.018:0.018) (0.017:0.017:0.017))
+    (INTERCONNECT _3300_.X _3470_.B (0.017:0.017:0.017) (0.016:0.016:0.016))
+    (INTERCONNECT _3300_.X _3492_.B (0.016:0.016:0.016) (0.015:0.015:0.015))
+    (INTERCONNECT _3300_.X _3862_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3300_.X _3918_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3300_.X _3939_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3300_.X _3950_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3300_.X _3983_.B (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3300_.X _5982_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3300_.X ANTENNA__5982__A0.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3300_.X ANTENNA__3983__B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3300_.X ANTENNA__3950__B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3300_.X ANTENNA__3939__A2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3300_.X ANTENNA__3918__B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3300_.X ANTENNA__3862__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3300_.X ANTENNA__3492__B.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+    (INTERCONNECT _3300_.X ANTENNA__3470__B.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _3300_.X ANTENNA__3324__B.DIODE (0.017:0.017:0.017) (0.017:0.017:0.017))
+    (INTERCONNECT _3300_.X ANTENNA__3305__A2.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+    (INTERCONNECT _3301_.X _3305_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3301_.X _3325_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3301_.X _3341_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3302_.X _3304_.A2 (0.015:0.015:0.015) (0.014:0.014:0.014))
+    (INTERCONNECT _3302_.X _3373_.B1 (0.015:0.015:0.015) (0.014:0.014:0.014))
+    (INTERCONNECT _3302_.X _3413_.B1 (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _3302_.X _3441_.B1 (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _3302_.X _3471_.B1 (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _3302_.X _3493_.A (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _3302_.X _3496_.C (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3302_.X _3838_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3302_.X _3889_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3302_.X _3920_.C (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _3302_.X ANTENNA__3920__C.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3302_.X ANTENNA__3889__B1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3302_.X ANTENNA__3838__D.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3302_.X ANTENNA__3496__C.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _3302_.X ANTENNA__3493__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3302_.X ANTENNA__3471__B1.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _3302_.X ANTENNA__3441__B1.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _3302_.X ANTENNA__3413__B1.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
+    (INTERCONNECT _3302_.X ANTENNA__3373__B1.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+    (INTERCONNECT _3302_.X ANTENNA__3304__A2.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+    (INTERCONNECT _3303_.X _3304_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3303_.X _3312_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3303_.X _3317_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3303_.X _3373_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3303_.X _4843_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3303_.X _4844_.B2 (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _3303_.X _4846_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3303_.X _4848_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3303_.X _4858_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3303_.X _4859_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3304_.Y _3305_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3305_.Y _3306_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3305_.Y _3310_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3306_.X _3327_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3306_.X _3330_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3307_.X _3309_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3308_.Y _3309_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3309_.Y _3311_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3309_.Y _3381_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3310_.Y _3311_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3310_.Y _3381_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3311_.X _3327_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3311_.X _3330_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3312_.X _3318_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3312_.X _3336_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3312_.X _3337_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3312_.X _3343_.A1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3312_.X _4863_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3312_.X _5093_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3312_.X _5094_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3312_.X _5272_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3312_.X _5276_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3312_.X _5332_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3313_.X _3315_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3313_.X _3317_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3313_.X _3333_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3313_.X _3334_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3313_.X _3397_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3313_.X _3432_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3313_.X _3433_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3313_.X _3816_.A2 (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3313_.X _3976_.B (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3313_.X _4070_.B (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _3313_.X ANTENNA__4070__B.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _3313_.X ANTENNA__3976__B.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _3313_.X ANTENNA__3816__A2.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3313_.X ANTENNA__3433__A.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _3313_.X ANTENNA__3432__B2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3313_.X ANTENNA__3397__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3313_.X ANTENNA__3334__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3313_.X ANTENNA__3333__A.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _3313_.X ANTENNA__3317__A1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3313_.X ANTENNA__3315__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3314_.X _3315_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3314_.X _3317_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3314_.X _3333_.C (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _3314_.X _3335_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3314_.X _3397_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3314_.X _3433_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3314_.X _3466_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3314_.X _3816_.B1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3314_.X _3828_.B1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3314_.X _3914_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3314_.X ANTENNA__3914__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3314_.X ANTENNA__3828__B1.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _3314_.X ANTENNA__3816__B1.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _3314_.X ANTENNA__3466__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3314_.X ANTENNA__3433__B.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _3314_.X ANTENNA__3397__B2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3314_.X ANTENNA__3335__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3314_.X ANTENNA__3333__C.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3314_.X ANTENNA__3317__B2.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _3314_.X ANTENNA__3315__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3315_.X _3318_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3315_.X _3343_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3316_.X _3317_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3316_.X _3339_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3316_.X _4881_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3316_.X _5096_.B (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _3316_.X _5277_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3316_.X _5278_.A (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _3316_.X _5335_.B (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3316_.X _5406_.B (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3316_.X _5499_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3316_.X _6077_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3316_.X ANTENNA__6077__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3316_.X ANTENNA__5499__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3316_.X ANTENNA__5406__B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3316_.X ANTENNA__5335__B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3316_.X ANTENNA__5278__A.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _3316_.X ANTENNA__5277__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3316_.X ANTENNA__5096__B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3316_.X ANTENNA__4881__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3316_.X ANTENNA__3339__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3316_.X ANTENNA__3317__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3317_.X _3318_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3318_.X _3322_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3318_.X _3343_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3319_.X _3320_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3319_.X _3357_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3319_.X _3371_.A (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _3319_.X _3413_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3319_.X _3441_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3319_.X _4853_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3319_.X _4854_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3319_.X _4888_.A2 (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _3319_.X _4889_.C (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _3319_.X _4916_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3319_.X ANTENNA__4916__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3319_.X ANTENNA__4889__C.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3319_.X ANTENNA__4888__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3319_.X ANTENNA__4854__A1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3319_.X ANTENNA__4853__B1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3319_.X ANTENNA__3441__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3319_.X ANTENNA__3413__B2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3319_.X ANTENNA__3371__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3319_.X ANTENNA__3357__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3319_.X ANTENNA__3320__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3320_.X _3321_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3320_.X _3344_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3320_.X _4861_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3320_.X _4869_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3320_.X _5086_.A2 (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _3320_.X _5087_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3320_.X _5282_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3320_.X _5339_.B (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _3320_.X _5347_.A2 (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _3320_.X _6075_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3320_.X ANTENNA__6075__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3320_.X ANTENNA__5347__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3320_.X ANTENNA__5339__B.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3320_.X ANTENNA__5282__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3320_.X ANTENNA__5087__B.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3320_.X ANTENNA__5086__A2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3320_.X ANTENNA__4869__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3320_.X ANTENNA__4861__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3320_.X ANTENNA__3344__B.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _3320_.X ANTENNA__3321__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3321_.Y _3322_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3321_.Y _3343_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3322_.X _3326_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3322_.X _3341_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3323_.X _3324_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3323_.X _3336_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3323_.X _5095_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3323_.X _5273_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3323_.X _5274_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3323_.X _5331_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3323_.X _5332_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3323_.X _5334_.A1_N (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3323_.X _5410_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3323_.X _5411_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3324_.Y _3325_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3324_.Y _3341_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3325_.Y _3326_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3326_.Y _3327_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3326_.Y _3330_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3327_.X _3331_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3327_.X _3351_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3327_.X _3352_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3328_.X _3329_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3328_.X _3348_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3328_.X _3423_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3328_.X _3810_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3328_.X _3965_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3328_.X _4058_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3328_.X _4062_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3328_.X _5282_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3328_.X _5499_.B (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3328_.X _5655_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3328_.X ANTENNA__5655__B1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3328_.X ANTENNA__5499__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3328_.X ANTENNA__5282__B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3328_.X ANTENNA__4062__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3328_.X ANTENNA__4058__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3328_.X ANTENNA__3965__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3328_.X ANTENNA__3810__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3328_.X ANTENNA__3423__A1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3328_.X ANTENNA__3348__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3328_.X ANTENNA__3329__A1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3329_.Y _3332_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3329_.Y _3388_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3330_.Y _3331_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3331_.Y _3332_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3331_.Y _3389_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3332_.X _3351_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3332_.X _3352_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3333_.X _3337_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3333_.X _5276_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3334_.X _3336_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3334_.X _3448_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3334_.X _3465_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3334_.X _3466_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3334_.X _3897_.A (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3334_.X _3913_.A (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _3334_.X _3914_.B2 (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3334_.X _3974_.A2 (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3334_.X _4069_.A2 (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3334_.X _5984_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3334_.X ANTENNA__5984__A0.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3334_.X ANTENNA__4069__A2.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _3334_.X ANTENNA__3974__A2.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _3334_.X ANTENNA__3914__B2.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _3334_.X ANTENNA__3913__A.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
+    (INTERCONNECT _3334_.X ANTENNA__3897__A.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _3334_.X ANTENNA__3466__B2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3334_.X ANTENNA__3465__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3334_.X ANTENNA__3448__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3334_.X ANTENNA__3336__A1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3335_.X _3336_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3335_.X _3448_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3335_.X _3464_.A (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _3335_.X _3897_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3335_.X _3912_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3335_.X _3974_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3335_.X _5215_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3335_.X _5273_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3335_.X _5274_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3335_.X _5986_.A0 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3335_.X ANTENNA__5986__A0.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3335_.X ANTENNA__5274__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3335_.X ANTENNA__5273__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3335_.X ANTENNA__5215__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3335_.X ANTENNA__3974__B1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3335_.X ANTENNA__3912__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3335_.X ANTENNA__3897__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3335_.X ANTENNA__3464__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3335_.X ANTENNA__3448__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3335_.X ANTENNA__3336__B1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3336_.X _3337_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3336_.X _5277_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3337_.X _3340_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3338_.X _3339_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3338_.X _4073_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3338_.X _5215_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3338_.X _5273_.B2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3338_.X _5274_.D (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3338_.X _5503_.B (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3338_.X _5504_.A2 (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _3338_.X _5552_.B (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _3338_.X _5553_.A2 (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _3338_.X _5988_.A0 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3338_.X ANTENNA__5988__A0.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3338_.X ANTENNA__5553__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3338_.X ANTENNA__5552__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3338_.X ANTENNA__5504__A2.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _3338_.X ANTENNA__5503__B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3338_.X ANTENNA__5274__D.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3338_.X ANTENNA__5273__B2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3338_.X ANTENNA__5215__B1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3338_.X ANTENNA__4073__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3338_.X ANTENNA__3339__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3339_.Y _3340_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3340_.X _3342_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3340_.X _5287_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3341_.X _3342_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3341_.X _5287_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3342_.X _3350_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3342_.X _5288_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3343_.Y _3345_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3343_.Y _3346_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3344_.X _3345_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3344_.X _3346_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3345_.Y _3347_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3345_.Y _5292_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3346_.X _3347_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3346_.X _5292_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3347_.Y _3349_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3348_.Y _3349_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3348_.Y _5292_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3349_.Y _3350_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3349_.Y _5288_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3350_.Y _3351_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3350_.Y _3352_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3351_.Y _3353_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3351_.Y _5294_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3351_.Y _5295_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3352_.X _3353_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3353_.X _3354_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3353_.X _5271_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3354_.Y _3394_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3354_.Y _5269_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3355_.X _3357_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3355_.X _3359_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3355_.X _3398_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3355_.X _3803_.A2 (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _3355_.X _3804_.B (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _3355_.X _3817_.C (0.014:0.014:0.014) (0.013:0.013:0.013))
+    (INTERCONNECT _3355_.X _3826_.C (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _3355_.X _3828_.A2 (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _3355_.X _3880_.B2 (0.014:0.014:0.014) (0.013:0.013:0.013))
+    (INTERCONNECT _3355_.X _3881_.A (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _3355_.X ANTENNA__3881__A.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _3355_.X ANTENNA__3880__B2.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _3355_.X ANTENNA__3828__A2.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3355_.X ANTENNA__3826__C.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _3355_.X ANTENNA__3817__C.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _3355_.X ANTENNA__3804__B.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _3355_.X ANTENNA__3803__A2.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _3355_.X ANTENNA__3398__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3355_.X ANTENNA__3359__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3355_.X ANTENNA__3357__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3356_.X _3357_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3356_.X _3398_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3356_.X _3432_.A1 (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _3356_.X _3803_.B1 (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3356_.X _3804_.C (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3356_.X _3817_.D (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3356_.X _3826_.D (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3356_.X _3880_.A1 (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _3356_.X _3881_.B (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3356_.X _3975_.B (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3356_.X ANTENNA__3975__B.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _3356_.X ANTENNA__3881__B.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _3356_.X ANTENNA__3880__A1.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _3356_.X ANTENNA__3826__D.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _3356_.X ANTENNA__3817__D.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _3356_.X ANTENNA__3804__C.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
+    (INTERCONNECT _3356_.X ANTENNA__3803__B1.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _3356_.X ANTENNA__3432__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3356_.X ANTENNA__3398__B.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _3356_.X ANTENNA__3357__B2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3357_.Y _3360_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3358_.X _3359_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3358_.X _3398_.C (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _3358_.X _3442_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3358_.X _3472_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3358_.X _4910_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3358_.X _4911_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3358_.X _4917_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3358_.X _4954_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3358_.X _4955_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3358_.X _4984_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3359_.X _3360_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3359_.X _3361_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3360_.Y _3361_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3360_.Y _3379_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3361_.X _3363_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3361_.X _3367_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3361_.X ANTENNA__3367__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3361_.X ANTENNA__3363__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3362_.X _3363_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3362_.X _3367_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3363_.Y _3368_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3363_.Y _3383_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3364_.X _3365_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3364_.X _3396_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3364_.X _3464_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3364_.X _3466_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3364_.X _3495_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3364_.X _4927_.B (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _3364_.X _4961_.C (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3364_.X _4976_.B1 (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _3364_.X _4978_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3364_.X _5003_.A1 (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _3364_.X ANTENNA__5003__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3364_.X ANTENNA__4978__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3364_.X ANTENNA__4976__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3364_.X ANTENNA__4961__C.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3364_.X ANTENNA__4927__B.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _3364_.X ANTENNA__3495__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3364_.X ANTENNA__3466__B1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3364_.X ANTENNA__3464__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3364_.X ANTENNA__3396__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3364_.X ANTENNA__3365__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3365_.X _3366_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3365_.X _3400_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3365_.X _3401_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3365_.X _3448_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3365_.X _3508_.C (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3365_.X _3562_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3365_.X _4903_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3365_.X _5017_.C (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3365_.X _5057_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3365_.X _6069_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3365_.X ANTENNA__6069__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3365_.X ANTENNA__5057__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3365_.X ANTENNA__5017__C.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3365_.X ANTENNA__4903__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3365_.X ANTENNA__3562__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3365_.X ANTENNA__3508__C.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3365_.X ANTENNA__3448__C.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3365_.X ANTENNA__3401__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3365_.X ANTENNA__3400__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3365_.X ANTENNA__3366__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3366_.Y _3368_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3366_.Y _3384_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3367_.X _3368_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3367_.X _3383_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3368_.X _3393_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3368_.X _3427_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3369_.Y _3376_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3369_.Y _3377_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3370_.X _3376_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3370_.X _3377_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3371_.Y _3375_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3371_.Y _3410_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3371_.Y _3411_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3372_.X _3373_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3372_.X _3413_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3372_.X _3471_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3372_.X _3494_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3372_.X _3496_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3372_.X _3889_.A2 (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3372_.X _3919_.A2 (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _3372_.X _3920_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3372_.X _3937_.B2 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3372_.X _3938_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3372_.X ANTENNA__3938__A.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _3372_.X ANTENNA__3937__B2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3372_.X ANTENNA__3920__B.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3372_.X ANTENNA__3919__A2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3372_.X ANTENNA__3889__A2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3372_.X ANTENNA__3496__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3372_.X ANTENNA__3494__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3372_.X ANTENNA__3471__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3372_.X ANTENNA__3413__A2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3372_.X ANTENNA__3373__A2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3373_.Y _3375_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3373_.Y _3410_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3373_.Y _3411_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3374_.X _3375_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3374_.X _3410_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _3374_.X _3411_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3375_.Y _3376_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3375_.Y _3377_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3376_.X _3380_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3376_.X _3382_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3376_.X _3385_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3376_.X _3406_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3376_.X _3407_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3377_.Y _3380_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3377_.Y _3406_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3377_.Y _3407_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3378_.Y _3379_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3379_.Y _3380_.C_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3379_.Y _3406_.C_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3379_.Y _3407_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3380_.Y _3382_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3380_.Y _3385_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3381_.Y _3382_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3381_.Y _3385_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3382_.Y _3386_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3382_.Y _3390_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3382_.Y _3391_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3383_.Y _3384_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3384_.Y _3387_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3384_.Y _3395_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3385_.X _3386_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3386_.X _3387_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3386_.X _3395_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3387_.Y _3390_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3387_.Y _3391_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3388_.Y _3389_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3389_.X _3390_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3389_.X _3391_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3390_.X _3392_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3390_.X _3393_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3391_.Y _3392_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3392_.Y _3393_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3392_.Y _3427_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3393_.X _3394_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3393_.X _5269_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3394_.Y _3520_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3394_.Y _5270_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3395_.Y _3424_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3395_.Y _3426_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _3396_.Y _3399_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3396_.Y _3409_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3397_.Y _3399_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3397_.Y _3408_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3398_.X _3399_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3398_.X _3408_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3399_.Y _3400_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3399_.Y _3401_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3400_.X _3402_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3400_.X _3423_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3401_.Y _3402_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3402_.Y _3405_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3402_.Y _3423_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3403_.X _3404_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3403_.X _3422_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3403_.X _3465_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3403_.X _3508_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3403_.X _3562_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3403_.X _4962_.C1 (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _3403_.X _4963_.A2 (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _3403_.X _4976_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3403_.X _5004_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3403_.X _5017_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3403_.X ANTENNA__5017__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3403_.X ANTENNA__5004__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3403_.X ANTENNA__4976__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3403_.X ANTENNA__4963__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3403_.X ANTENNA__4962__C1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3403_.X ANTENNA__3562__B2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3403_.X ANTENNA__3508__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3403_.X ANTENNA__3465__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3403_.X ANTENNA__3422__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3403_.X ANTENNA__3404__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3404_.Y _3405_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3405_.Y _3421_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3405_.Y _3454_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3405_.Y _3455_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3406_.X _3419_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3406_.X _3420_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3407_.Y _3419_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3407_.Y _3420_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3408_.Y _3409_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3409_.Y _3418_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _3409_.Y _3430_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _3409_.Y _3431_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3410_.X _3416_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3410_.X _3417_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3411_.Y _3416_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3411_.Y _3417_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3412_.Y _3415_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3412_.Y _3438_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3412_.Y _3439_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3413_.Y _3415_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3413_.Y _3438_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3413_.Y _3439_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3414_.X _3415_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3414_.X _3438_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3414_.X _3439_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3415_.Y _3416_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3415_.Y _3417_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3416_.X _3418_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3416_.X _3430_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3416_.X _3431_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3417_.Y _3418_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3417_.Y _3430_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3417_.Y _3431_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3418_.X _3419_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3418_.X _3420_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3419_.X _3421_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3419_.X _3454_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3419_.X _3455_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3420_.Y _3421_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3420_.Y _3454_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _3420_.Y _3455_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3421_.Y _3424_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3421_.Y _3426_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3422_.X _3423_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3422_.X _3449_.C1 (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _3422_.X _3450_.A2 (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3422_.X _3565_.B (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _3422_.X _4931_.B (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _3422_.X _5057_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3422_.X _5059_.D (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3422_.X _5835_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3422_.X _5838_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3422_.X _6067_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3422_.X ANTENNA__6067__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3422_.X ANTENNA__5838__B2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3422_.X ANTENNA__5835__B2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3422_.X ANTENNA__5059__D.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3422_.X ANTENNA__5057__B2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3422_.X ANTENNA__4931__B.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _3422_.X ANTENNA__3565__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3422_.X ANTENNA__3450__A2.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3422_.X ANTENNA__3449__C1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3422_.X ANTENNA__3423__A2.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3423_.Y _3425_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3423_.Y _3429_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3424_.X _3425_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3424_.X _3429_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3425_.X _3426_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3426_.Y _3428_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3426_.Y _3518_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3427_.Y _3428_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3427_.Y _3518_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3428_.Y _3519_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3428_.Y _3522_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3429_.Y _3460_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3429_.Y _3516_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3430_.Y _3447_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3430_.Y _3452_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3431_.X _3447_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3431_.X _3452_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3432_.X _3435_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3432_.X _3436_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3433_.Y _3435_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3433_.Y _3436_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3434_.X _3435_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3434_.X _3436_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3435_.Y _3437_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3436_.X _3437_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3436_.X _3449_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3436_.X _3450_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3437_.Y _3446_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3437_.Y _3462_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3437_.Y _3463_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3438_.X _3444_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3438_.X _3445_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3439_.Y _3444_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3439_.Y _3445_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3440_.Y _3443_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3440_.Y _3468_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3440_.Y _3469_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3441_.Y _3443_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3441_.Y _3468_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3441_.Y _3469_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3442_.X _3443_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3442_.X _3468_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3442_.X _3469_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3443_.Y _3444_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3443_.Y _3445_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3444_.X _3446_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3444_.X _3462_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3444_.X _3463_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3445_.Y _3446_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3445_.Y _3462_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3445_.Y _3463_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3446_.X _3447_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3446_.X _3452_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3447_.Y _3453_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3447_.Y _3456_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3447_.Y _3457_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3447_.Y _3481_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _3448_.X _3449_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3448_.X _3450_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3449_.X _3451_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3449_.X _3458_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3449_.X _3461_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3450_.Y _3451_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3451_.Y _3453_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3451_.Y _3481_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3452_.X _3453_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3452_.X _3481_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3453_.Y _3456_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3453_.Y _3457_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3453_.Y _3482_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3453_.Y _3487_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3454_.X _3456_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3454_.X _3457_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3455_.Y _3456_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3455_.Y _3457_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3456_.X _3458_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3456_.X _3459_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3456_.X _3461_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3457_.Y _3458_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3457_.Y _3461_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3458_.Y _3459_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3458_.Y _3483_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3458_.Y _3514_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3459_.X _3460_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3459_.X _3516_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3460_.Y _3517_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3460_.Y _3527_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3461_.X _3483_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3461_.X _3514_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3462_.Y _3477_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3462_.Y _3478_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3463_.X _3477_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3463_.X _3478_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3464_.Y _3467_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3464_.Y _3479_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3465_.Y _3467_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3465_.Y _3479_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3465_.Y _3500_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3465_.Y _3504_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _3465_.Y _3505_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3466_.X _3467_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3467_.X _3476_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3467_.X _3488_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3467_.X _3489_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3468_.X _3474_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3468_.X _3475_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3469_.Y _3474_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3469_.Y _3475_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3470_.Y _3473_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3470_.Y _3490_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3470_.Y _3491_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3471_.Y _3473_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3471_.Y _3490_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3471_.Y _3491_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3472_.X _3473_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3472_.X _3490_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3472_.X _3491_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3473_.Y _3474_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3473_.Y _3475_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3474_.X _3476_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3474_.X _3488_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3474_.X _3489_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3475_.Y _3476_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3475_.Y _3488_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3475_.Y _3489_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3476_.X _3477_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3476_.X _3478_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3477_.X _3480_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3477_.X _3482_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3477_.X _3484_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3477_.X _3487_.C1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _3478_.Y _3480_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3478_.Y _3484_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3479_.Y _3480_.C_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3479_.Y _3484_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3480_.Y _3482_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3480_.Y _3485_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3480_.Y _3487_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3481_.X _3482_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3481_.X _3487_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3482_.X _3483_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _3482_.X _3502_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3482_.X _3512_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _3482_.X _3514_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _3482_.X _3536_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3483_.X _3515_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3483_.X _3531_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3483_.X _3532_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3484_.X _3485_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3485_.X _3486_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3485_.X _3502_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3485_.X _3512_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3485_.X _3536_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3485_.X _3543_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3486_.Y _3513_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3486_.Y _3537_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3486_.Y _3538_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3487_.Y _3502_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3487_.Y _3512_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3487_.Y _3536_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3488_.Y _3501_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3488_.Y _3503_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3489_.X _3501_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3489_.X _3503_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3490_.X _3498_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3490_.X _3499_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3491_.Y _3498_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3491_.Y _3499_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3492_.Y _3497_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3492_.Y _3506_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3492_.Y _3507_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3493_.X _3495_.A2 (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3493_.X _3508_.D (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3493_.X _3562_.B1 (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3493_.X _3919_.B1 (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3493_.X _3937_.A2 (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3493_.X _3938_.C (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3493_.X _3954_.D (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3493_.X _3982_.B (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3493_.X _4031_.B1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3493_.X _5980_.A0 (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3493_.X ANTENNA__5980__A0.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3493_.X ANTENNA__4031__B1.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3493_.X ANTENNA__3982__B.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3493_.X ANTENNA__3954__D.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3493_.X ANTENNA__3938__C.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3493_.X ANTENNA__3937__A2.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3493_.X ANTENNA__3919__B1.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3493_.X ANTENNA__3562__B1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3493_.X ANTENNA__3508__D.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3493_.X ANTENNA__3495__A2.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3494_.X _3495_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3494_.X _3508_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3494_.X _3562_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3494_.X _3565_.D (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _3494_.X _3954_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3494_.X _4031_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3494_.X _4036_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3494_.X _5834_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3494_.X _5835_.B1 (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _3494_.X _5978_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3495_.Y _3497_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3495_.Y _3506_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3495_.Y _3507_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3496_.X _3497_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3496_.X _3506_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3496_.X _3507_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3497_.Y _3498_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3497_.Y _3499_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3498_.Y _3500_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3498_.Y _3504_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3498_.Y _3505_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3499_.X _3500_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3499_.X _3504_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3499_.X _3505_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3500_.Y _3501_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3500_.Y _3503_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3501_.Y _3502_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3501_.Y _3511_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3501_.Y _3512_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3501_.Y _3536_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3501_.Y _3541_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3501_.Y _3545_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3502_.Y _3513_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3502_.Y _3537_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3502_.Y _3538_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3503_.X _3511_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3503_.X _3545_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3504_.X _3510_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3504_.X _3552_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3505_.Y _3510_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3505_.Y _3552_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3506_.X _3509_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3506_.X _3557_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3507_.Y _3509_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3507_.Y _3557_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3508_.X _3509_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3508_.X _3557_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3508_.X _3563_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3508_.X _3567_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3509_.X _3510_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3509_.X _3552_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3509_.X _3558_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3510_.X _3511_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3510_.X _3545_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3510_.X _3553_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3511_.X _3513_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3511_.X _3537_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3511_.X _3538_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3511_.X _3542_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3511_.X _3546_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3512_.Y _3513_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3513_.X _3515_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3513_.X _3531_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3513_.X _3532_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3514_.Y _3515_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3514_.Y _3531_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3514_.Y _3532_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3515_.X _3517_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3515_.X _3527_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3516_.X _3517_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3517_.X _3519_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3517_.X _3522_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3518_.X _3519_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3519_.X _3520_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3519_.X _5270_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3520_.X _3521_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3520_.X _5267_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3521_.Y _3585_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3521_.Y _3586_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3521_.Y _5268_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3522_.Y _3525_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3522_.Y _3584_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3523_.Y _3524_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3524_.X _3525_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3524_.X _3584_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3525_.Y _3583_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3525_.Y _5932_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3526_.Y _3528_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3526_.Y _3581_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3527_.X _3528_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3527_.X _3581_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3528_.Y _3582_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3528_.Y _5924_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3528_.Y _5925_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3529_.X _3533_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3529_.X _3579_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3530_.Y _3533_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3530_.Y _3579_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3531_.Y _3533_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3531_.Y _3579_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3532_.X _3533_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3532_.X _3579_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3533_.Y _3580_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3533_.Y _5914_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3533_.Y _5915_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3534_.Y _3539_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3534_.Y _3577_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3535_.X _3539_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3535_.X _3577_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3536_.X _3537_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3536_.X _3538_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3537_.X _3539_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3537_.X _3577_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3538_.Y _3539_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3538_.Y _3577_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3539_.X _3578_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3539_.X _5899_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3540_.Y _3544_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3540_.Y _3575_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3541_.Y _3542_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3542_.Y _3543_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3543_.Y _3544_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3543_.Y _3575_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3544_.Y _3576_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3544_.Y _5886_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3544_.Y _5887_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3545_.Y _3546_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3546_.X _3549_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3546_.X _3573_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3547_.X _3548_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3548_.X _3549_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3548_.X _3573_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3549_.Y _3574_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3549_.Y _5872_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3549_.Y _5873_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3550_.X _3551_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3551_.X _3554_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3551_.X _3571_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3552_.Y _3553_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3553_.Y _3554_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3553_.Y _3571_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3554_.Y _3572_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3554_.Y _5865_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3554_.Y _5866_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3555_.Y _3556_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3556_.X _3568_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3556_.X _3570_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3557_.Y _3558_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3558_.X _3568_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3558_.X _3570_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3559_.X _3560_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3559_.X _3565_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3559_.X _4730_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3559_.X _4774_.C1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3559_.X _4775_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3559_.X _5056_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3559_.X _5059_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3559_.X _5835_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3559_.X _5838_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3559_.X _6049_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3559_.X ANTENNA__6049__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3559_.X ANTENNA__5838__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3559_.X ANTENNA__5835__A1.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _3559_.X ANTENNA__5059__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3559_.X ANTENNA__5056__B2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3559_.X ANTENNA__4775__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3559_.X ANTENNA__4774__C1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3559_.X ANTENNA__4730__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3559_.X ANTENNA__3565__A.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _3559_.X ANTENNA__3560__B2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3560_.Y _3561_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3561_.X _3564_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3561_.X _3567_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3562_.Y _3563_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3562_.Y _3567_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3563_.Y _3564_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3564_.Y _3566_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3564_.Y _5844_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3564_.Y _5845_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3565_.X _3566_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3565_.X _5836_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3565_.X _5844_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3565_.X _5845_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3566_.Y _3567_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3567_.X _3569_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3567_.X _5855_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3568_.Y _3569_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3568_.Y _5855_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3569_.Y _3570_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3569_.Y _5857_.A1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3570_.X _3572_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3570_.X _5865_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3570_.X _5866_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3571_.Y _3572_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3572_.X _3574_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3572_.X _5872_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3572_.X _5873_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3573_.X _3574_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3574_.X _3576_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3574_.X _5886_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3574_.X _5887_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3575_.X _3576_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3576_.Y _3578_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3576_.Y _5900_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3576_.Y _5901_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3577_.X _3578_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3577_.X _5899_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3578_.X _3580_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3578_.X _5914_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3578_.X _5915_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3579_.X _3580_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3579_.X _5914_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3579_.X _5915_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3580_.X _3582_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _3580_.X _5924_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3580_.X _5925_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3581_.X _3582_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3582_.Y _3583_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3582_.Y _5932_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3583_.X _3584_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3583_.X _5933_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3584_.Y _3585_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3584_.Y _3586_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3584_.Y _5268_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3585_.Y _3587_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3586_.Y _3587_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3587_.X _5149_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3588_.Y _4121_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3588_.Y _5569_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3588_.Y _5743_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3588_.Y _5793_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3588_.Y _5828_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3588_.Y _5837_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3588_.Y _5847_.B1 (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _3588_.Y _5854_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3588_.Y _5918_.B1 (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _3589_.X _3605_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3589_.X _3665_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3589_.X _3759_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3589_.X _3767_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3589_.X _4089_.A (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _3589_.X _4092_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3589_.X _5478_.A1 (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3589_.X _5479_.A (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3589_.X _5524_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3589_.X _5640_.B2 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3589_.X ANTENNA__5640__B2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3589_.X ANTENNA__5524__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3589_.X ANTENNA__5479__A.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3589_.X ANTENNA__5478__A1.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3589_.X ANTENNA__4092__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3589_.X ANTENNA__4089__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3589_.X ANTENNA__3767__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3589_.X ANTENNA__3759__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3589_.X ANTENNA__3665__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3589_.X ANTENNA__3605__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3590_.X _3591_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3590_.X _3692_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3590_.X _3693_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3590_.X _3733_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3590_.X _3744_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3590_.X _3748_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3590_.X _4029_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3590_.X _4266_.C1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3590_.X _4317_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3590_.X _4624_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3590_.X ANTENNA__4624__A1.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _3590_.X ANTENNA__4317__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3590_.X ANTENNA__4266__C1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3590_.X ANTENNA__4029__B2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3590_.X ANTENNA__3748__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3590_.X ANTENNA__3744__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3590_.X ANTENNA__3733__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3590_.X ANTENNA__3693__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3590_.X ANTENNA__3692__C1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3590_.X ANTENNA__3591__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3591_.X _3605_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3591_.X _3665_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3591_.X _4036_.B (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3591_.X _4250_.B (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3591_.X _4256_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3591_.X _4281_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3591_.X _4629_.C (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _3591_.X _5832_.A2 (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _3591_.X _5834_.A2 (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _3591_.X _6013_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3591_.X ANTENNA__6013__A0.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3591_.X ANTENNA__5834__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3591_.X ANTENNA__5832__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3591_.X ANTENNA__4629__C.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3591_.X ANTENNA__4281__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3591_.X ANTENNA__4256__A2.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3591_.X ANTENNA__4250__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3591_.X ANTENNA__4036__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3591_.X ANTENNA__3665__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3591_.X ANTENNA__3605__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3592_.X _3601_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3592_.X _3603_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3592_.X _3616_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3592_.X _3692_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3592_.X _3693_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3592_.X _3763_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3592_.X _3764_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3592_.X _4097_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3592_.X _5245_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3592_.X _5477_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3592_.X ANTENNA__5477__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3592_.X ANTENNA__5245__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3592_.X ANTENNA__4097__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3592_.X ANTENNA__3764__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3592_.X ANTENNA__3763__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3592_.X ANTENNA__3693__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3592_.X ANTENNA__3692__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3592_.X ANTENNA__3616__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3592_.X ANTENNA__3603__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3592_.X ANTENNA__3601__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3593_.X _3594_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3593_.X _3595_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3593_.X _3674_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3593_.X _3675_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3593_.X _3712_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3593_.X _3731_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3593_.X _3732_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3593_.X _4263_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3593_.X _4294_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3593_.X _4298_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3594_.X _3601_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3594_.X _3602_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3594_.X _3691_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3594_.X _3706_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3594_.X _3708_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3594_.X _4229_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3594_.X _4292_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3594_.X _4319_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3594_.X _4320_.C (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3594_.X _4334_.D (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3594_.X ANTENNA__4334__D.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3594_.X ANTENNA__4320__C.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3594_.X ANTENNA__4319__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3594_.X ANTENNA__4292__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3594_.X ANTENNA__4229__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3594_.X ANTENNA__3708__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3594_.X ANTENNA__3706__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3594_.X ANTENNA__3691__C.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3594_.X ANTENNA__3602__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3594_.X ANTENNA__3601__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3595_.Y _3600_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3595_.Y _3654_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3596_.X _3598_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3596_.X _3599_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3596_.X _3606_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3596_.X _3674_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3596_.X _3675_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3596_.X _3714_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3596_.X _4263_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3596_.X _4272_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3596_.X _4299_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3596_.X _4300_.C (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _3597_.X _3598_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3597_.X _3599_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3597_.X _3613_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3597_.X _3657_.B (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _3597_.X _3683_.B2 (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _3597_.X _3684_.D (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _3597_.X _3714_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3597_.X _4236_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3597_.X _4273_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3597_.X _4300_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3598_.Y _3600_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3598_.Y _3653_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3599_.X _3600_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3599_.X _3653_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3600_.Y _3601_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3600_.Y _3603_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3601_.X _3604_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3601_.X _3605_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3602_.X _3603_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3602_.X _3618_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3602_.X _3748_.C (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3602_.X _3759_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3602_.X _4029_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3602_.X _4200_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3602_.X _4247_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3602_.X _4248_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3602_.X _4624_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3602_.X _6015_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3602_.X ANTENNA__6015__A0.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3602_.X ANTENNA__4624__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3602_.X ANTENNA__4248__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3602_.X ANTENNA__4247__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3602_.X ANTENNA__4200__B.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _3602_.X ANTENNA__4029__A2.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _3602_.X ANTENNA__3759__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3602_.X ANTENNA__3748__C.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _3602_.X ANTENNA__3618__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3602_.X ANTENNA__3603__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3603_.Y _3604_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3604_.Y _3605_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3604_.Y _3666_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3605_.Y _3671_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3605_.Y _3791_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3606_.X _3607_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3606_.X _3608_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3606_.X _3682_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3606_.X _3691_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3606_.X _3713_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3606_.X _3731_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3606_.X _3732_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3606_.X _4230_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3606_.X _4231_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3606_.X _4320_.D (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3606_.X ANTENNA__4320__D.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3606_.X ANTENNA__4231__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3606_.X ANTENNA__4230__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3606_.X ANTENNA__3732__D.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3606_.X ANTENNA__3731__B1.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _3606_.X ANTENNA__3713__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3606_.X ANTENNA__3691__D.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3606_.X ANTENNA__3682__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3606_.X ANTENNA__3608__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3606_.X ANTENNA__3607__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3607_.X _3615_.B (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3607_.X _3616_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3607_.X _3767_.B (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3607_.X _4090_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3607_.X _4139_.B (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3607_.X _4141_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3607_.X _4195_.B (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3607_.X _4198_.B (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3607_.X _4319_.B1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3607_.X _6017_.A0 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3607_.X ANTENNA__6017__A0.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3607_.X ANTENNA__4319__B1.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _3607_.X ANTENNA__4198__B.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3607_.X ANTENNA__4195__B.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3607_.X ANTENNA__4141__A2.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3607_.X ANTENNA__4139__B.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3607_.X ANTENNA__4090__A2.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _3607_.X ANTENNA__3767__B.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3607_.X ANTENNA__3616__A2.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3607_.X ANTENNA__3615__B.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _3608_.Y _3614_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3608_.Y _3637_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3609_.X _3610_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3609_.X _3613_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3609_.X _3622_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3609_.X _3623_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3609_.X _3640_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3609_.X _3658_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3609_.X _3683_.A1 (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _3609_.X _3684_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3609_.X _4239_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3609_.X _4273_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3610_.X _3612_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3610_.X _3656_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3610_.X _3776_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3610_.X _4093_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3610_.X _4130_.C (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3610_.X _4133_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3610_.X _4194_.A1 (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _3610_.X _4196_.B (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _3610_.X _4209_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3610_.X _4235_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3610_.X ANTENNA__4235__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3610_.X ANTENNA__4209__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3610_.X ANTENNA__4196__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3610_.X ANTENNA__4194__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3610_.X ANTENNA__4133__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3610_.X ANTENNA__4130__C.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3610_.X ANTENNA__4093__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3610_.X ANTENNA__3776__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3610_.X ANTENNA__3656__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3610_.X ANTENNA__3612__A2.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _3611_.X _3612_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3611_.X _3620_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3611_.X _3713_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3611_.X _3760_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3611_.X _4158_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3611_.X _4194_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3611_.X _4196_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3611_.X _4230_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3611_.X _4231_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3611_.X _4299_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3611_.X ANTENNA__4299__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3611_.X ANTENNA__4231__D.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3611_.X ANTENNA__4230__B2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3611_.X ANTENNA__4196__D.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3611_.X ANTENNA__4194__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3611_.X ANTENNA__4158__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3611_.X ANTENNA__3760__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3611_.X ANTENNA__3713__B1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3611_.X ANTENNA__3620__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3611_.X ANTENNA__3612__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3612_.Y _3614_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3612_.Y _3636_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3613_.X _3614_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3613_.X _3636_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3614_.Y _3615_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3614_.Y _3616_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3615_.X _3617_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3615_.X _3759_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3616_.Y _3617_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3617_.Y _3619_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3617_.Y _3759_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3618_.Y _3619_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3619_.X _3648_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3619_.X _3649_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3620_.Y _3625_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3621_.X _3622_.C (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3621_.X _3623_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3621_.X _3630_.A (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _3621_.X _3641_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3621_.X _3642_.B (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _3621_.X _3658_.A1 (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _3621_.X _3659_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3621_.X _3771_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3621_.X _4210_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3621_.X _4239_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3622_.X _3624_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3622_.X _3762_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3623_.X _3624_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3623_.X _3762_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3624_.X _3625_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3625_.Y _3635_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3625_.Y _3770_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3626_.X _3627_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3626_.X _3631_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3626_.X _3632_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3626_.X _3778_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3626_.X _4102_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3626_.X _4160_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3626_.X _4161_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3626_.X _4165_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3626_.X _4166_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3626_.X _4182_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3627_.Y _3629_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3628_.X _3629_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3628_.X _4106_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3628_.X _4107_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3629_.X _3634_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3629_.X _3769_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3630_.X _3633_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3630_.X _3638_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3630_.X _3639_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3631_.X _3633_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3631_.X _3638_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3631_.X _3639_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3632_.Y _3633_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3632_.Y _3638_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3632_.Y _3639_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3633_.X _3634_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3633_.X _3769_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3634_.Y _3635_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3634_.Y _3770_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3635_.Y _3647_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3635_.Y _3786_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3636_.Y _3637_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3637_.Y _3646_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3637_.Y _3651_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3637_.Y _3652_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3638_.Y _3644_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3638_.Y _3645_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3639_.X _3644_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3639_.X _3645_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3640_.X _3643_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3640_.X _3655_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3641_.X _3643_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3641_.X _3655_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3641_.X _3656_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3642_.Y _3643_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3642_.Y _3655_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3642_.Y _3656_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3643_.X _3644_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3643_.X _3645_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3644_.X _3646_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3644_.X _3651_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3644_.X _3652_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3645_.Y _3646_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3645_.Y _3651_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3645_.Y _3652_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3646_.X _3647_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3646_.X _3786_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3647_.X _3648_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3647_.X _3649_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3648_.Y _3650_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3648_.Y _3787_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3649_.X _3650_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3650_.X _3670_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3650_.X _3790_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3651_.Y _3664_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3651_.Y _3667_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3652_.X _3664_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3652_.X _3667_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3653_.Y _3654_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3654_.Y _3663_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3654_.Y _3672_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3654_.Y _3673_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3655_.Y _3661_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3655_.Y _3662_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3656_.X _3661_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3656_.X _3662_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3657_.Y _3660_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3657_.Y _3680_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3657_.Y _3681_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3658_.Y _3660_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3658_.Y _3680_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3658_.Y _3681_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3659_.X _3660_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3659_.X _3680_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3659_.X _3681_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3660_.Y _3661_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3660_.Y _3662_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3661_.X _3663_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3661_.X _3672_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3661_.X _3673_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3662_.Y _3663_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3662_.Y _3672_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3662_.Y _3673_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3663_.X _3664_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3663_.X _3667_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3664_.Y _3668_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3664_.Y _3669_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3664_.Y _3697_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3665_.Y _3666_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3666_.Y _3668_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3666_.Y _3697_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3667_.X _3668_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3667_.X _3697_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3668_.Y _3669_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3668_.Y _3698_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3668_.Y _3699_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3669_.X _3670_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3669_.X _3790_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3670_.X _3671_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3670_.X _3791_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3671_.Y _3702_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3671_.Y _3757_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3672_.Y _3689_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3672_.Y _3690_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3672_.Y _3695_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3673_.X _3689_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3673_.X _3690_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3673_.X _3695_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3674_.X _3677_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3674_.X _3678_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3675_.Y _3677_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3675_.Y _3678_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3676_.X _3677_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3676_.X _3678_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3677_.Y _3679_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3678_.X _3679_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3678_.X _3692_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3678_.X _3693_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3679_.Y _3688_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3679_.Y _3704_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3679_.Y _3705_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3680_.X _3686_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3680_.X _3687_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3681_.Y _3686_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3681_.Y _3687_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3682_.Y _3685_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3682_.Y _3710_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3682_.Y _3711_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3683_.Y _3685_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3683_.Y _3710_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3683_.Y _3711_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3684_.X _3685_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3684_.X _3710_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3684_.X _3711_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3685_.Y _3686_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3685_.Y _3687_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3686_.X _3688_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3686_.X _3704_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3686_.X _3705_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3687_.Y _3688_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3687_.Y _3704_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3687_.Y _3705_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3688_.X _3689_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3688_.X _3690_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3688_.X _3695_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3689_.X _3698_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3689_.X _3699_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3690_.Y _3696_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3690_.Y _3723_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3691_.X _3692_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3691_.X _3693_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3692_.X _3694_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3692_.X _3700_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3692_.X _3703_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3693_.Y _3694_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3694_.Y _3696_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3694_.Y _3723_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3695_.X _3696_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3695_.X _3723_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3696_.X _3698_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3696_.X _3699_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3696_.X _3724_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3696_.X _3726_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3697_.X _3698_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3697_.X _3699_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3698_.Y _3700_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3698_.Y _3701_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3698_.Y _3703_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3699_.X _3700_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3699_.X _3703_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3700_.Y _3701_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3700_.Y _3725_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3700_.Y _3755_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3701_.X _3702_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3701_.X _3757_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3702_.Y _3758_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3702_.Y _4001_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3702_.Y _4115_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3703_.X _3725_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3703_.X _3755_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3704_.Y _3719_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3704_.Y _3721_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3705_.X _3719_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3705_.X _3721_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3706_.Y _3709_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3706_.Y _3720_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3707_.Y _3709_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3707_.Y _3720_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3707_.Y _3736_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3707_.Y _3742_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3707_.Y _3743_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3708_.X _3709_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3709_.X _3718_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3709_.X _3727_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3709_.X _3728_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3710_.X _3716_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3710_.X _3717_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3711_.Y _3716_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3711_.Y _3717_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3712_.Y _3715_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3712_.Y _3729_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3712_.Y _3730_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3713_.Y _3715_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3713_.Y _3729_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3713_.Y _3730_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3714_.X _3715_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3714_.X _3729_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3714_.X _3730_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3715_.Y _3716_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3715_.Y _3717_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3716_.X _3718_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3716_.X _3727_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3716_.X _3728_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3717_.Y _3718_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3717_.Y _3727_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3717_.Y _3728_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3718_.X _3719_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3718_.X _3721_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3719_.Y _3722_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _3719_.Y _3724_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _3719_.Y _3726_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3719_.Y _3738_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3720_.Y _3722_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3720_.Y _3738_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3721_.X _3722_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3721_.X _3738_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3722_.Y _3724_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3722_.Y _3726_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3722_.Y _3739_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3723_.Y _3724_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3723_.Y _3726_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3724_.Y _3725_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3724_.Y _3740_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3724_.Y _3753_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3724_.Y _3755_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3725_.X _3756_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3725_.X _4004_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3725_.X _4005_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3726_.X _3740_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3726_.X _3753_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3727_.Y _3737_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3727_.Y _3741_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3728_.X _3737_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3728_.X _3741_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3729_.X _3734_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3729_.X _3735_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3730_.Y _3734_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3730_.Y _3735_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3731_.X _3733_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3731_.X _3745_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3731_.X _3747_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3732_.X _3733_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3732_.X _3745_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3732_.X _3746_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3733_.X _3734_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3733_.X _3735_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3734_.Y _3736_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3734_.Y _3742_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3734_.Y _3743_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3735_.X _3736_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3735_.X _3742_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3735_.X _3743_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3736_.Y _3737_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3736_.Y _3741_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3737_.Y _3740_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3737_.Y _3751_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3737_.Y _3753_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3737_.Y _4014_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3737_.Y _4019_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3738_.X _3739_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3739_.Y _3740_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3739_.Y _3752_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3739_.Y _3753_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3739_.Y _4015_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3740_.Y _3754_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3740_.Y _4010_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3740_.Y _4011_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3741_.X _3751_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3741_.X _4019_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3742_.X _3750_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3742_.X _4024_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3743_.Y _3750_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3743_.Y _4024_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3744_.Y _3745_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3744_.Y _3747_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3745_.X _3749_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3745_.X _4038_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3746_.Y _3747_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3747_.X _3749_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3747_.X _4038_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3748_.X _3749_.C (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3748_.X _4030_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3748_.X _4038_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3748_.X ANTENNA__4038__B1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3748_.X ANTENNA__4030__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3748_.X ANTENNA__3749__C.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3749_.X _3750_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3749_.X _4024_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3749_.X _4039_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3750_.X _3751_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3750_.X _4019_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3750_.X _4026_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3750_.X _4027_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3751_.Y _3752_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3751_.Y _4014_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3751_.Y _4021_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3751_.Y _4022_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3752_.Y _3754_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3752_.Y _4010_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3752_.Y _4011_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3753_.X _3754_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3753_.X _4010_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3753_.X _4011_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3754_.X _3756_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3754_.X _4004_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3754_.X _4005_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3755_.Y _3756_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3755_.Y _4004_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3755_.Y _4005_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3756_.X _3758_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3756_.X _4001_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3756_.X _4115_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3757_.X _3758_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3757_.X _4115_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3758_.X _3795_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3759_.X _3789_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3759_.X _4088_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3760_.X _3762_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3760_.X _3763_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3760_.X _3765_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3760_.X _4092_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3760_.X _4135_.A2 (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _3760_.X _4137_.B (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _3760_.X _4140_.A2 (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _3760_.X _4174_.B (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3760_.X _5255_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3760_.X _6019_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3760_.X ANTENNA__6019__A0.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3760_.X ANTENNA__5255__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3760_.X ANTENNA__4174__B.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3760_.X ANTENNA__4140__A2.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _3760_.X ANTENNA__4137__B.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _3760_.X ANTENNA__4135__A2.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3760_.X ANTENNA__4092__B.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _3760_.X ANTENNA__3765__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3760_.X ANTENNA__3763__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3760_.X ANTENNA__3762__A2.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _3761_.X _3762_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3761_.X _5241_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3761_.X _5242_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3761_.X _5303_.A1 (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _3761_.X _5304_.A (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _3761_.X _5481_.A (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _3761_.X _5531_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3761_.X _5532_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3761_.X _5611_.A1 (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _3761_.X _5954_.A0 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3761_.X ANTENNA__5954__A0.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3761_.X ANTENNA__5611__A1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3761_.X ANTENNA__5532__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3761_.X ANTENNA__5531__A.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _3761_.X ANTENNA__5481__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3761_.X ANTENNA__5304__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3761_.X ANTENNA__5303__A1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3761_.X ANTENNA__5242__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3761_.X ANTENNA__5241__B2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3761_.X ANTENNA__3762__A1.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _3762_.X _3763_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3762_.X _3765_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3763_.X _3766_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3763_.X _4090_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3764_.X _3765_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3764_.X _4096_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3764_.X _5525_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3764_.X _5526_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3764_.X _5537_.A (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _3764_.X _5612_.B2 (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _3764_.X _5613_.B (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _3764_.X _5640_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3764_.X _5641_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3764_.X _5956_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3764_.X ANTENNA__5956__A0.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3764_.X ANTENNA__5641__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3764_.X ANTENNA__5640__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3764_.X ANTENNA__5613__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3764_.X ANTENNA__5612__B2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3764_.X ANTENNA__5537__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3764_.X ANTENNA__5526__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3764_.X ANTENNA__5525__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3764_.X ANTENNA__4096__A1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3764_.X ANTENNA__3765__A1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3765_.Y _3766_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3766_.Y _3768_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3766_.Y _4090_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3767_.Y _3768_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3768_.X _3785_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3768_.X _4091_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3769_.X _3782_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3769_.X _3783_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3770_.Y _3782_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3770_.Y _3783_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3771_.X _3774_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3771_.X _3775_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3771_.X _4094_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3771_.X _4104_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3771_.X _4130_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3771_.X _4133_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3771_.X _4143_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3771_.X _4146_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3771_.X _4163_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3771_.X _4211_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3772_.X _3775_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3772_.X _4094_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3772_.X _4101_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3772_.X _4102_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3772_.X _5242_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3773_.X _3774_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3773_.X _3779_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3773_.X _4144_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3773_.X _4145_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3773_.X _4152_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3773_.X _4160_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3773_.X _4165_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3773_.X _4166_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3773_.X _4182_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3773_.X _4211_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3774_.Y _3775_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3775_.X _3777_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3775_.X _4095_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3776_.Y _3777_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3776_.Y _4095_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3777_.X _3781_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3777_.X _4100_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3778_.X _3780_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3778_.X _4101_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3778_.X _4156_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3778_.X _4183_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3778_.X _5179_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3778_.X _5180_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3778_.X _5241_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3778_.X _5242_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3778_.X _5430_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3778_.X _5532_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3779_.Y _3780_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3780_.X _3781_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3780_.X _4100_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3781_.Y _3782_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3781_.Y _3783_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3782_.X _3784_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3782_.X _4110_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3782_.X _4111_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3783_.Y _3784_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3784_.Y _3785_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3784_.Y _4091_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3785_.X _3788_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3785_.X _4087_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3786_.X _3787_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3787_.Y _3788_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3787_.Y _4087_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3788_.Y _3789_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3788_.Y _4088_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3789_.Y _3792_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3789_.Y _3793_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3790_.X _3792_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3790_.X _3793_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3791_.X _3792_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3791_.X _3793_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3792_.X _3794_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3792_.X _4116_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3792_.X _5238_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3793_.Y _3794_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3793_.Y _4115_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3794_.Y _3795_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3795_.Y _3999_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3795_.Y _4000_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3796_.X _3797_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3796_.X _3898_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3796_.X _3899_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3796_.X _3939_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3796_.X _3950_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3796_.X _3954_.A (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _3796_.X _4031_.B2 (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _3796_.X _4498_.C1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3796_.X _4549_.A (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _3796_.X _4625_.A1 (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _3796_.X ANTENNA__4625__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3796_.X ANTENNA__4549__A.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _3796_.X ANTENNA__4498__C1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3796_.X ANTENNA__4031__B2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3796_.X ANTENNA__3954__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3796_.X ANTENNA__3950__A.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _3796_.X ANTENNA__3939__A1.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _3796_.X ANTENNA__3899__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3796_.X ANTENNA__3898__C1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3796_.X ANTENNA__3797__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3797_.X _3810_.A2 (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _3797_.X _3871_.B (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _3797_.X _4036_.C (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _3797_.X _4481_.B (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3797_.X _4488_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3797_.X _4513_.A2 (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3797_.X _4629_.D (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3797_.X _5832_.B1 (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3797_.X _5834_.B1 (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3797_.X _6031_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3797_.X ANTENNA__6031__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3797_.X ANTENNA__5834__B1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3797_.X ANTENNA__5832__B1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3797_.X ANTENNA__4629__D.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3797_.X ANTENNA__4513__A2.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3797_.X ANTENNA__4488__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3797_.X ANTENNA__4481__B.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3797_.X ANTENNA__4036__C.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3797_.X ANTENNA__3871__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3797_.X ANTENNA__3810__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3798_.X _3799_.A (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _3798_.X _3800_.B (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3798_.X _3880_.A2 (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3798_.X _3881_.C (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _3798_.X _3918_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3798_.X _3937_.A1 (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _3798_.X _3938_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3798_.X _4495_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3798_.X _4526_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3798_.X _4530_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3798_.X ANTENNA__4530__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3798_.X ANTENNA__4526__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3798_.X ANTENNA__4495__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3798_.X ANTENNA__3938__B.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3798_.X ANTENNA__3937__A1.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3798_.X ANTENNA__3918__A.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _3798_.X ANTENNA__3881__C.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3798_.X ANTENNA__3880__A2.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _3798_.X ANTENNA__3800__B.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _3798_.X ANTENNA__3799__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3799_.X _3806_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3799_.X _3807_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3799_.X _3897_.C (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3799_.X _3912_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3799_.X _3914_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3799_.X _4462_.B (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3799_.X _4524_.B1 (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _3799_.X _4551_.A2 (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3799_.X _4552_.C (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _3799_.X _4566_.D (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3799_.X ANTENNA__4566__D.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3799_.X ANTENNA__4552__C.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3799_.X ANTENNA__4551__A2.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3799_.X ANTENNA__4524__B1.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _3799_.X ANTENNA__4462__B.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3799_.X ANTENNA__3914__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3799_.X ANTENNA__3912__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3799_.X ANTENNA__3897__C.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3799_.X ANTENNA__3807__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3799_.X ANTENNA__3806__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3800_.Y _3805_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3800_.Y _3860_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3801_.X _3803_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3801_.X _3804_.A (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _3801_.X _3817_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3801_.X _3863_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3801_.X _3889_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3801_.X _3920_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3801_.X _4469_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3801_.X _4505_.B2 (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _3801_.X _4531_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3801_.X _4532_.A (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _3801_.X ANTENNA__4532__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3801_.X ANTENNA__4531__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3801_.X ANTENNA__4505__B2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3801_.X ANTENNA__4469__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3801_.X ANTENNA__3920__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3801_.X ANTENNA__3889__B2.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _3801_.X ANTENNA__3863__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3801_.X ANTENNA__3817__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3801_.X ANTENNA__3804__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3801_.X ANTENNA__3803__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3802_.X _3803_.B2 (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _3802_.X _3804_.D (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3802_.X _3880_.B1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3802_.X _3881_.D (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _3802_.X _3920_.D (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3802_.X _4464_.D (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3802_.X _4495_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3802_.X _4504_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3802_.X _4531_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3802_.X _4532_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3802_.X ANTENNA__4532__D.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3802_.X ANTENNA__4531__B2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3802_.X ANTENNA__4504__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3802_.X ANTENNA__4495__B1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3802_.X ANTENNA__4464__D.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3802_.X ANTENNA__3920__D.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3802_.X ANTENNA__3881__D.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _3802_.X ANTENNA__3880__B1.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _3802_.X ANTENNA__3804__D.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3802_.X ANTENNA__3803__B2.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _3803_.Y _3805_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3803_.Y _3859_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3804_.X _3805_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3804_.X _3859_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3805_.Y _3806_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3805_.Y _3808_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3806_.X _3809_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3806_.X _3810_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3807_.X _3808_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3807_.X _3823_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3807_.X _3954_.C (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3807_.X _3965_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3807_.X _4031_.A2 (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3807_.X _4429_.B (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3807_.X _4478_.B (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3807_.X _4479_.A2 (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _3807_.X _4625_.B1 (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3807_.X _6033_.A1 (0.014:0.014:0.014) (0.013:0.013:0.013))
+    (INTERCONNECT _3807_.X ANTENNA__6033__A1.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _3807_.X ANTENNA__4625__B1.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
+    (INTERCONNECT _3807_.X ANTENNA__4479__A2.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _3807_.X ANTENNA__4478__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3807_.X ANTENNA__4429__B.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _3807_.X ANTENNA__4031__A2.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _3807_.X ANTENNA__3965__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3807_.X ANTENNA__3954__C.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3807_.X ANTENNA__3823__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3807_.X ANTENNA__3808__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3808_.Y _3809_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3809_.Y _3810_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3809_.Y _3872_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3810_.Y _3877_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3810_.Y _3994_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3811_.X _3812_.B (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3811_.X _3819_.B (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3811_.X _3820_.A (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3811_.X _3888_.A (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _3811_.X _3897_.D (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _3811_.X _3919_.B2 (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3811_.X _3937_.B1 (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3811_.X _3938_.D (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _3811_.X _4463_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3811_.X _4552_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3811_.X ANTENNA__4552__D.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3811_.X ANTENNA__4463__B1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _3811_.X ANTENNA__3938__D.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _3811_.X ANTENNA__3937__B1.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _3811_.X ANTENNA__3919__B2.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _3811_.X ANTENNA__3897__D.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _3811_.X ANTENNA__3888__A.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3811_.X ANTENNA__3820__A.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3811_.X ANTENNA__3819__B.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3811_.X ANTENNA__3812__B.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3812_.Y _3818_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3812_.Y _3843_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3813_.X _3814_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3813_.X _3817_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3813_.X _3826_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3813_.X _3828_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3813_.X _3846_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3813_.X _3864_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3813_.X _3889_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3813_.X _3890_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3813_.X _4470_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3813_.X _4505_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3813_.X ANTENNA__4505__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3813_.X ANTENNA__4470__B2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3813_.X ANTENNA__3890__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3813_.X ANTENNA__3889__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3813_.X ANTENNA__3864__B2.DIODE (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _3813_.X ANTENNA__3846__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3813_.X ANTENNA__3828__B2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3813_.X ANTENNA__3826__B.DIODE (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _3813_.X ANTENNA__3817__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3813_.X ANTENNA__3814__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3814_.X _3816_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3814_.X _3862_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3814_.X _3978_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3814_.X _4357_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3814_.X _4359_.D (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _3814_.X _4424_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3814_.X _4425_.C (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3814_.X _4434_.C (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3814_.X _4440_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3814_.X _4468_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3815_.X _3816_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3815_.X _3825_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3815_.X _3919_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3815_.X _3966_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3815_.X _4360_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3815_.X _4424_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3815_.X _4425_.D (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3815_.X _4435_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3815_.X _4463_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3815_.X _4464_.C (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3816_.Y _3818_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3816_.Y _3842_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3817_.X _3818_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3817_.X _3842_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3818_.Y _3819_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3818_.Y _3821_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3819_.X _3822_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3819_.X _3965_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3820_.X _3821_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3820_.X _3971_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3820_.X _4059_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3820_.X _4363_.B (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _3820_.X _4394_.A2 (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _3820_.X _4426_.A2 (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _3820_.X _4427_.B (0.014:0.014:0.014) (0.013:0.013:0.013))
+    (INTERCONNECT _3820_.X _4436_.B (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _3820_.X _4551_.B1 (0.017:0.017:0.017) (0.016:0.016:0.016))
+    (INTERCONNECT _3820_.X _6035_.A1 (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT _3820_.X ANTENNA__6035__A1.DIODE (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT _3820_.X ANTENNA__4551__B1.DIODE (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT _3820_.X ANTENNA__4436__B.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT _3820_.X ANTENNA__4427__B.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT _3820_.X ANTENNA__4426__A2.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT _3820_.X ANTENNA__4394__A2.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _3820_.X ANTENNA__4363__B.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _3820_.X ANTENNA__4059__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3820_.X ANTENNA__3971__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3820_.X ANTENNA__3821__A2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3821_.Y _3822_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3822_.Y _3824_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3822_.Y _3965_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3823_.Y _3824_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3824_.X _3854_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3824_.X _3855_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3825_.Y _3830_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3826_.X _3829_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3826_.X _3967_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3827_.X _3828_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3827_.X _3974_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3827_.X _3976_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3827_.X _4072_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3827_.X _4357_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3827_.X _4359_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3827_.X _4371_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3827_.X _4383_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3827_.X _4384_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3827_.X _4443_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3828_.Y _3829_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3829_.Y _3830_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3829_.Y _3967_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3830_.Y _3841_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3830_.Y _3986_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3831_.X _3833_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3831_.X _3838_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3831_.X _3981_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3831_.X _4070_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3831_.X _4367_.A (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _3831_.X _4369_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3831_.X _4374_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3831_.X _4375_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3831_.X _4404_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3831_.X _4405_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3832_.X _3833_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3832_.X _3838_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3832_.X _3973_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3832_.X _3975_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3832_.X _4367_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3832_.X _4374_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3832_.X _4375_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3832_.X _4384_.A2 (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _3832_.X _4442_.A1 (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _3832_.X _4443_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3832_.X ANTENNA__4443__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3832_.X ANTENNA__4442__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3832_.X ANTENNA__4384__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3832_.X ANTENNA__4375__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3832_.X ANTENNA__4374__B2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3832_.X ANTENNA__4367__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3832_.X ANTENNA__3975__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3832_.X ANTENNA__3973__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3832_.X ANTENNA__3838__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3832_.X ANTENNA__3833__B2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3833_.Y _3835_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3834_.X _3835_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3834_.X _4075_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3834_.X _4076_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3835_.X _3840_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3835_.X _3985_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3836_.X _3839_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3836_.X _3844_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3836_.X _3845_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3837_.X _3839_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3837_.X _3844_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3837_.X _3845_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3838_.Y _3839_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3838_.Y _3844_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3838_.Y _3845_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3839_.X _3840_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3839_.X _3985_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3840_.Y _3841_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3840_.Y _3986_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3841_.Y _3853_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3841_.Y _3989_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3842_.Y _3843_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3843_.Y _3852_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3843_.Y _3857_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3843_.Y _3858_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3844_.Y _3850_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3844_.Y _3851_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3845_.X _3850_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3845_.X _3851_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3846_.X _3849_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3846_.X _3861_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3847_.X _3849_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3847_.X _3861_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3847_.X _3862_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3848_.Y _3849_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3848_.Y _3861_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3848_.Y _3862_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3849_.X _3850_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3849_.X _3851_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3850_.X _3852_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3850_.X _3857_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3850_.X _3858_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3851_.Y _3852_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3851_.Y _3857_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3851_.Y _3858_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3852_.X _3853_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3852_.X _3989_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3853_.X _3854_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3853_.X _3855_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3854_.Y _3856_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3854_.Y _3990_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3855_.X _3856_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3856_.X _3876_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3856_.X _3993_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3857_.Y _3870_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3857_.Y _3873_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3858_.X _3870_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3858_.X _3873_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3859_.Y _3860_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3860_.Y _3869_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3860_.Y _3878_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3860_.Y _3879_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3861_.Y _3867_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3861_.Y _3868_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3862_.X _3867_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3862_.X _3868_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3863_.Y _3866_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3863_.Y _3886_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3863_.Y _3887_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3864_.Y _3866_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3864_.Y _3886_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3864_.Y _3887_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3865_.X _3866_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3865_.X _3886_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _3865_.X _3887_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3866_.Y _3867_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3866_.Y _3868_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3867_.X _3869_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3867_.X _3878_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3867_.X _3879_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3868_.Y _3869_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3868_.Y _3878_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3868_.Y _3879_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3869_.X _3870_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3869_.X _3873_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3870_.Y _3874_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3870_.Y _3875_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3870_.Y _3903_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3871_.Y _3872_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3872_.Y _3874_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3872_.Y _3903_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3873_.X _3874_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3873_.X _3903_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3874_.Y _3875_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3874_.Y _3904_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3874_.Y _3905_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3875_.X _3876_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3875_.X _3993_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3876_.X _3877_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3876_.X _3994_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3877_.Y _3908_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3877_.Y _3963_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3878_.Y _3895_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3878_.Y _3896_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3878_.Y _3901_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3879_.X _3895_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3879_.X _3896_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3879_.X _3901_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3880_.X _3883_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3880_.X _3884_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3881_.Y _3883_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3881_.Y _3884_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3882_.X _3883_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3882_.X _3884_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3883_.Y _3885_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3884_.X _3885_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3884_.X _3898_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3884_.X _3899_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3885_.Y _3894_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3885_.Y _3910_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3885_.Y _3911_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3886_.X _3892_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3886_.X _3893_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3887_.Y _3892_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3887_.Y _3893_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3888_.Y _3891_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3888_.Y _3916_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3888_.Y _3917_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3889_.Y _3891_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3889_.Y _3916_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3889_.Y _3917_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3890_.X _3891_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3890_.X _3916_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3890_.X _3917_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3891_.Y _3892_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _3891_.Y _3893_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3892_.X _3894_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3892_.X _3910_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3892_.X _3911_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3893_.Y _3894_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3893_.Y _3910_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3893_.Y _3911_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3894_.X _3895_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3894_.X _3896_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3894_.X _3901_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3895_.X _3904_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3895_.X _3905_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3896_.Y _3902_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3896_.Y _3929_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3897_.X _3898_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3897_.X _3899_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3898_.X _3900_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3898_.X _3906_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3898_.X _3909_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3899_.Y _3900_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3900_.Y _3902_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3900_.Y _3929_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3901_.X _3902_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3901_.X _3929_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3902_.X _3904_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3902_.X _3905_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3902_.X _3930_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3902_.X _3932_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3903_.X _3904_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3903_.X _3905_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3904_.Y _3906_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3904_.Y _3907_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3904_.Y _3909_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3905_.X _3906_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3905_.X _3909_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3906_.Y _3907_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3906_.Y _3931_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3906_.Y _3961_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3907_.X _3908_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3907_.X _3963_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3908_.Y _3964_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3908_.Y _4002_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3908_.Y _4083_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3909_.X _3931_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3909_.X _3961_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3910_.Y _3925_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3910_.Y _3927_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3911_.X _3925_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3911_.X _3927_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3912_.Y _3915_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3912_.Y _3926_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3913_.Y _3915_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3913_.Y _3926_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3913_.Y _3942_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3913_.Y _3948_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3913_.Y _3949_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3914_.X _3915_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3915_.X _3924_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3915_.X _3933_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3915_.X _3934_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3916_.X _3922_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3916_.X _3923_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3917_.Y _3922_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3917_.Y _3923_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3918_.Y _3921_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3918_.Y _3935_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3918_.Y _3936_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3919_.Y _3921_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3919_.Y _3935_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3919_.Y _3936_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3920_.X _3921_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3920_.X _3935_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3920_.X _3936_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3921_.Y _3922_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3921_.Y _3923_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3922_.X _3924_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3922_.X _3933_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3922_.X _3934_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3923_.Y _3924_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3923_.Y _3933_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3923_.Y _3934_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3924_.X _3925_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3924_.X _3927_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3925_.Y _3928_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3925_.Y _3930_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3925_.Y _3932_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3925_.Y _3944_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3926_.Y _3928_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3926_.Y _3944_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3927_.X _3928_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3927_.X _3944_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3928_.Y _3930_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3928_.Y _3932_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3928_.Y _3945_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3929_.Y _3930_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3929_.Y _3932_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3930_.Y _3931_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3930_.Y _3946_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3930_.Y _3959_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3930_.Y _3961_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3931_.X _3962_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3931_.X _4006_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3931_.X _4007_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3932_.X _3946_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _3932_.X _3959_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _3933_.Y _3943_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3933_.Y _3947_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3934_.X _3943_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3934_.X _3947_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3935_.X _3940_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3935_.X _3941_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3936_.Y _3940_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3936_.Y _3941_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3937_.X _3939_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3937_.X _3951_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3937_.X _3953_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3938_.X _3939_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3938_.X _3951_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3938_.X _3952_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3939_.X _3940_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3939_.X _3941_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3940_.Y _3942_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3940_.Y _3948_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3940_.Y _3949_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3941_.X _3942_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3941_.X _3948_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3941_.X _3949_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3942_.Y _3943_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3942_.Y _3947_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3943_.Y _3946_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3943_.Y _3957_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3943_.Y _3959_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3943_.Y _4016_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3943_.Y _4020_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3944_.X _3945_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3945_.Y _3946_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3945_.Y _3958_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3945_.Y _3959_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3945_.Y _4017_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3946_.Y _3960_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3946_.Y _4009_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3946_.Y _4012_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3947_.X _3957_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3947_.X _4020_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3948_.X _3956_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3948_.X _4025_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3949_.Y _3956_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3949_.Y _4025_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3950_.Y _3951_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3950_.Y _3953_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3951_.X _3955_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3951_.X _4040_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3952_.Y _3953_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3953_.X _3955_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3953_.X _4040_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3954_.X _3955_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3954_.X _4032_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3954_.X _4040_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3954_.X ANTENNA__4040__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3954_.X ANTENNA__4032__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3954_.X ANTENNA__3955__C.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3955_.X _3956_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3955_.X _4025_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3955_.X _4041_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3956_.X _3957_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3956_.X _4020_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3956_.X _4026_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3956_.X _4027_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3957_.Y _3958_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3957_.Y _4016_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _3957_.Y _4021_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3957_.Y _4022_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3958_.Y _3960_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3958_.Y _4009_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3958_.Y _4012_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3959_.X _3960_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3959_.X _4009_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3959_.X _4012_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3960_.X _3962_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3960_.X _4006_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3960_.X _4007_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3961_.Y _3962_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3961_.Y _4006_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3961_.Y _4007_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3962_.X _3964_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3962_.X _4002_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3962_.X _4083_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3963_.X _3964_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3963_.X _4083_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3964_.X _3998_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3965_.X _3992_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3965_.X _4057_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3966_.X _3967_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _3966_.X _3968_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3966_.X _3969_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3966_.X _4062_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3966_.X _4361_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3966_.X _4364_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3966_.X _4378_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3966_.X _4417_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3966_.X _5229_.A1 (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _3966_.X _6037_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3966_.X ANTENNA__6037__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3966_.X ANTENNA__5229__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3966_.X ANTENNA__4417__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3966_.X ANTENNA__4378__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3966_.X ANTENNA__4364__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3966_.X ANTENNA__4361__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3966_.X ANTENNA__4062__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3966_.X ANTENNA__3969__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3966_.X ANTENNA__3968__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _3966_.X ANTENNA__3967__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _3967_.X _3968_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3967_.X _3969_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3968_.Y _3970_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3969_.X _3970_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3969_.X _4059_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3970_.Y _3972_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3970_.Y _4059_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3971_.Y _3972_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3972_.X _3988_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3972_.X _4061_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3973_.X _3974_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3973_.X _3982_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3973_.X _4369_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3973_.X _4385_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3973_.X _4389_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3973_.X _4405_.B2 (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _3973_.X _4406_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3973_.X _4412_.A1_N (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3973_.X _5153_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3973_.X _5159_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3974_.Y _3977_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3975_.X _3976_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3975_.X _4069_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3975_.X _4070_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3975_.X _5216_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3976_.X _3977_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3976_.X _4063_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3977_.Y _3980_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3977_.Y _4063_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3978_.X _3979_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3978_.X _4063_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3978_.X _4064_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3978_.X _4065_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3978_.X _4386_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3978_.X _4413_.B (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _3978_.X _5165_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3978_.X _5221_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3978_.X _5559_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3978_.X _6039_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3979_.Y _3980_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3980_.Y _3984_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3980_.Y _4068_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3981_.X _3983_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3981_.X _4069_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3981_.X _4390_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3981_.X _5155_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3981_.X _5156_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3981_.X _5215_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3981_.X _5216_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3981_.X _5451_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _3981_.X _5552_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3981_.X _5553_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _3982_.Y _3983_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3983_.X _3984_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3983_.X _4068_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3984_.Y _3987_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3984_.Y _4060_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _3985_.X _3986_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3986_.X _3987_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3986_.X _4060_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3987_.X _3988_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3987_.X _4061_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3988_.X _3991_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3988_.X _4056_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3989_.X _3990_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3990_.Y _3991_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3990_.Y _4056_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3991_.Y _3992_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3991_.Y _4057_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3992_.Y _3995_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3992_.Y _3996_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3992_.Y _4082_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3993_.X _3995_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3993_.X _3996_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3993_.X _4082_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3994_.X _3995_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3994_.X _3996_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3994_.X _4082_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3995_.X _3997_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3996_.Y _3997_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3996_.Y _4083_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3997_.Y _3998_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3998_.Y _3999_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3998_.Y _4000_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _3999_.Y _4119_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3999_.Y _4120_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3999_.Y ANTENNA__4120__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _3999_.Y ANTENNA__4119__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4000_.X _4055_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4000_.X _5936_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4000_.X ANTENNA__5936__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4000_.X ANTENNA__4055__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4001_.Y _4003_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4001_.Y _4053_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4002_.X _4003_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4002_.X _4053_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4003_.Y _4054_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4003_.Y _5926_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4003_.Y _5927_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4003_.Y ANTENNA__5927__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4003_.Y ANTENNA__5926__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4003_.Y ANTENNA__4054__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4004_.Y _4008_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4004_.Y _4051_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4005_.X _4008_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4005_.X _4051_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4006_.X _4008_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4006_.X _4051_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4007_.Y _4008_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4007_.Y _4051_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4008_.X _4052_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4008_.X _5917_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4008_.X _5918_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4008_.X ANTENNA__5918__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4008_.X ANTENNA__5917__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4008_.X ANTENNA__4052__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4009_.X _4013_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4009_.X _4049_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4010_.X _4013_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4010_.X _4049_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4011_.Y _4013_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4011_.Y _4049_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4012_.Y _4013_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4012_.Y _4049_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4013_.X _4050_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4013_.X _5902_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4014_.Y _4015_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4015_.X _4018_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4015_.X _4047_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4016_.Y _4017_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4017_.X _4018_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4017_.X _4047_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4018_.X _4048_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4018_.X _5890_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4018_.X _5891_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4018_.X ANTENNA__5891__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4018_.X ANTENNA__5890__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4018_.X ANTENNA__4048__A1.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4019_.X _4021_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4019_.X _4022_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4020_.X _4021_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4020_.X _4022_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4021_.Y _4023_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4021_.Y _4046_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4022_.X _4023_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4023_.Y _4046_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4023_.Y _5874_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4023_.Y _5875_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4024_.Y _4026_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4024_.Y _4027_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4025_.Y _4026_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4025_.Y _4027_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4026_.Y _4028_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4026_.Y _4045_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4027_.X _4028_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4028_.X _4045_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4028_.X _5867_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4028_.X _5868_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4028_.X ANTENNA__5868__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4028_.X ANTENNA__5867__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4028_.X ANTENNA__4045__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4029_.Y _4030_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4030_.X _4033_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4030_.X _4034_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4031_.Y _4032_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4032_.X _4033_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4032_.X _4034_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4033_.Y _4035_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4033_.Y _4037_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4034_.X _4035_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4035_.Y _4037_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4035_.Y _5847_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4035_.Y _5848_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4036_.X _4037_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4036_.X _5837_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4036_.X _5847_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4036_.X _5848_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _4037_.Y _4044_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4037_.Y _5853_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4037_.Y _5854_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4037_.Y ANTENNA__5854__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4037_.Y ANTENNA__5853__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4037_.Y ANTENNA__4044__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4038_.Y _4039_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4039_.X _4042_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4039_.X _4043_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4040_.Y _4041_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4041_.X _4042_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4041_.X _4043_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4042_.Y _4044_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4042_.Y _5853_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4042_.Y _5854_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4042_.Y ANTENNA__5854__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4042_.Y ANTENNA__5853__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4042_.Y ANTENNA__4044__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4043_.X _4044_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4044_.X _4045_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4044_.X _5867_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4044_.X _5868_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4044_.X ANTENNA__5868__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4044_.X ANTENNA__5867__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4044_.X ANTENNA__4045__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4045_.X _4046_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4045_.X _5874_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4045_.X _5875_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4046_.Y _4048_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4046_.Y _5890_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4046_.Y _5891_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4046_.Y ANTENNA__5891__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4046_.Y ANTENNA__5890__A2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4046_.Y ANTENNA__4048__A2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4047_.Y _4048_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4048_.Y _4050_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4048_.Y _5903_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4049_.Y _4050_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4049_.Y _5902_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4050_.Y _4052_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4050_.Y _5917_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4050_.Y _5918_.A3 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4050_.Y ANTENNA__5918__A3.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4050_.Y ANTENNA__5917__B1.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _4050_.Y ANTENNA__4052__A2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4051_.Y _4052_.B1_N (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4051_.Y _5917_.A2 (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _4051_.Y _5918_.A2 (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _4051_.Y ANTENNA__5918__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4051_.Y ANTENNA__5917__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4051_.Y ANTENNA__4052__B1_N.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4052_.X _4054_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4052_.X _5926_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4052_.X _5927_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4053_.X _4054_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4053_.X ANTENNA__4054__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4054_.X _4055_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4054_.X _5936_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4055_.X _4119_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4055_.X _4120_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4055_.X _5937_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4056_.X _4057_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4057_.X _4081_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4057_.X _5213_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4058_.X _4059_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4058_.X _5229_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4058_.X _5559_.A2 (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _4058_.X _5623_.B1 (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _4058_.X _5624_.D (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _4058_.X _5656_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4058_.X _5719_.B (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _4058_.X _5737_.B (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4058_.X _5788_.C1 (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _4058_.X _5992_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4058_.X ANTENNA__5992__A0.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4058_.X ANTENNA__5788__C1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4058_.X ANTENNA__5737__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4058_.X ANTENNA__5719__B.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _4058_.X ANTENNA__5656__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4058_.X ANTENNA__5624__D.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _4058_.X ANTENNA__5623__B1.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _4058_.X ANTENNA__5559__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4058_.X ANTENNA__5229__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4058_.X ANTENNA__4059__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4059_.X _4080_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4059_.X _5232_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4060_.X _4061_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4061_.Y _4079_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4061_.Y _5231_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4062_.Y _4067_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4063_.X _4064_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4063_.X _4065_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4064_.Y _4066_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4065_.X _4066_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4065_.X _5229_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4066_.Y _4067_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4066_.Y _5229_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4067_.Y _4078_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4067_.Y _5214_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4068_.X _4075_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4068_.X _4076_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4069_.Y _4071_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4070_.X _4071_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4070_.X _5218_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4071_.Y _4074_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4071_.Y _5218_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4072_.X _4073_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4072_.X _4407_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4072_.X _5160_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4072_.X _5161_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4072_.X _5218_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4072_.X _5219_.A (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _4072_.X _5452_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4072_.X _5548_.A (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _4072_.X _5558_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4072_.X _6041_.A1 (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _4073_.Y _4074_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4074_.Y _4075_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4074_.Y _4076_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4075_.X _4077_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4075_.X _5226_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4075_.X _5227_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4076_.Y _4077_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4077_.Y _4078_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4077_.Y _5214_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4078_.Y _4079_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4078_.Y _5231_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4079_.Y _4080_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4079_.Y _5232_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4080_.Y _4081_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4080_.Y _5213_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4081_.Y _4084_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4081_.Y _4085_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4082_.Y _4084_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4082_.Y _4085_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4083_.X _4084_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4083_.X _4085_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4084_.X _4086_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4084_.X _5234_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4084_.X _5235_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4085_.Y _4086_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4086_.X _4118_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _4086_.X _5212_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4087_.X _4088_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4088_.X _4114_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4088_.X _5237_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4089_.X _4090_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4089_.X _5255_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4089_.X _5538_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4089_.X _5612_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4089_.X _5613_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4089_.X _5641_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4089_.X _5710_.C (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4089_.X _5729_.A (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _4089_.X _5796_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4089_.X _5958_.A0 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4089_.X ANTENNA__5958__A0.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4089_.X ANTENNA__5796__B1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4089_.X ANTENNA__5729__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4089_.X ANTENNA__5710__C.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4089_.X ANTENNA__5641__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4089_.X ANTENNA__5613__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4089_.X ANTENNA__5612__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4089_.X ANTENNA__5538__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4089_.X ANTENNA__5255__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4089_.X ANTENNA__4090__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4090_.X _4113_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4090_.X _5239_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _4091_.X _4110_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4091_.X _4111_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4092_.Y _4099_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4093_.X _4096_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4093_.X _4097_.B (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _4093_.X _4148_.B (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4093_.X _4175_.A2 (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4093_.X _4176_.B (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4093_.X _5187_.B (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _4093_.X _5247_.B (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4093_.X _5441_.A2 (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _4093_.X _5538_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4093_.X _6021_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4093_.X ANTENNA__6021__A0.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4093_.X ANTENNA__5538__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4093_.X ANTENNA__5441__A2.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4093_.X ANTENNA__5247__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4093_.X ANTENNA__5187__B.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4093_.X ANTENNA__4176__B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4093_.X ANTENNA__4175__A2.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _4093_.X ANTENNA__4148__B.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4093_.X ANTENNA__4097__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4093_.X ANTENNA__4096__A2.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _4094_.X _4095_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4095_.Y _4096_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4095_.Y _4097_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4096_.Y _4098_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4097_.X _4098_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4097_.X _5255_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4098_.Y _4099_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4098_.Y _5255_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4099_.Y _4109_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4099_.Y _5240_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4100_.X _4106_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4100_.X _4107_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4101_.Y _4103_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4101_.Y _5244_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4102_.X _4103_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4102_.X _5244_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4103_.Y _4105_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4104_.Y _4105_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4104_.Y _5244_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4105_.Y _4106_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4105_.Y _4107_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4106_.X _4108_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4106_.X _5252_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4106_.X _5253_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4107_.Y _4108_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4108_.Y _4109_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4108_.Y _5240_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4109_.Y _4110_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4109_.Y _4111_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4110_.Y _4112_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4110_.Y _5257_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4110_.Y _5258_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4111_.X _4112_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4112_.Y _4113_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4112_.Y _5239_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4113_.Y _4114_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4113_.Y _5237_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4114_.Y _4117_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4114_.Y _5238_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4115_.X _4116_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4115_.X _5238_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4116_.X _4117_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4117_.Y _4118_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4117_.Y _5212_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _4118_.Y _4119_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4118_.Y _4120_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4118_.Y ANTENNA__4120__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4118_.Y ANTENNA__4119__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4119_.X _4121_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4119_.X _5264_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4119_.X _5266_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4120_.X _4121_.C_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4121_.X _5149_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4121_.X ANTENNA__5149__A2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4122_.X _4123_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4122_.X _4137_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4122_.X _4198_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4122_.X _4247_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4122_.X _4248_.A1 (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _4122_.X _4266_.B1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4122_.X _4281_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4122_.X _4690_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4122_.X _4774_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4122_.X _4775_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4122_.X ANTENNA__4775__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4122_.X ANTENNA__4774__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4122_.X ANTENNA__4690__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4122_.X ANTENNA__4281__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4122_.X ANTENNA__4266__B1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4122_.X ANTENNA__4248__A1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4122_.X ANTENNA__4247__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4122_.X ANTENNA__4198__A.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _4122_.X ANTENNA__4137__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4122_.X ANTENNA__4123__A.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _4123_.X _4124_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4123_.X _4176_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4123_.X _5119_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4123_.X _5120_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4123_.X _5184_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4123_.X _5185_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4123_.X _5358_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4123_.X _5359_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4123_.X _5387_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4123_.X _5432_.A (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _4123_.X ANTENNA__5432__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4123_.X ANTENNA__5387__B.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _4123_.X ANTENNA__5359__B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4123_.X ANTENNA__5358__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4123_.X ANTENNA__5185__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4123_.X ANTENNA__5184__A1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4123_.X ANTENNA__5120__B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4123_.X ANTENNA__5119__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4123_.X ANTENNA__4176__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4123_.X ANTENNA__4124__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4124_.X _4140_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4124_.X _5388_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4124_.X _5433_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4124_.X _5577_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4124_.X _5578_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4124_.X _5581_.A1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4124_.X _5673_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4124_.X _5674_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4124_.X _5678_.A1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4124_.X _5973_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4125_.X _4135_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4125_.X _4148_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4125_.X _4158_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4125_.X _4175_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4125_.X _4185_.A (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _4125_.X _4195_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4125_.X _5118_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4125_.X _5127_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4125_.X _5183_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4125_.X _5357_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4125_.X ANTENNA__5357__A2.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4125_.X ANTENNA__5183__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4125_.X ANTENNA__5127__B.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4125_.X ANTENNA__5118__A2.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4125_.X ANTENNA__4195__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4125_.X ANTENNA__4185__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4125_.X ANTENNA__4175__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4125_.X ANTENNA__4158__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4125_.X ANTENNA__4148__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4125_.X ANTENNA__4135__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4126_.X _4127_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4126_.X _4261_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4126_.X _4263_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4126_.X _4670_.C (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4126_.X _4680_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4126_.X _4681_.C (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4126_.X _4722_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4126_.X _4724_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4126_.X _4757_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4126_.X _4758_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4126_.X ANTENNA__4758__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4126_.X ANTENNA__4757__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4126_.X ANTENNA__4724__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4126_.X ANTENNA__4722__A1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4126_.X ANTENNA__4681__C.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4126_.X ANTENNA__4680__A2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4126_.X ANTENNA__4670__C.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4126_.X ANTENNA__4263__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4126_.X ANTENNA__4261__A.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _4126_.X ANTENNA__4127__A.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _4127_.X _4130_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4127_.X _4131_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4127_.X _4145_.A (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _4127_.X _4182_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4127_.X _4230_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4127_.X _4231_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4127_.X _4669_.A2 (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _4127_.X _4697_.A2 (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _4127_.X _4773_.A (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _4127_.X _4791_.A (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _4127_.X ANTENNA__4791__A.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _4127_.X ANTENNA__4773__A.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _4127_.X ANTENNA__4697__A2.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _4127_.X ANTENNA__4669__A2.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _4127_.X ANTENNA__4231__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4127_.X ANTENNA__4230__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4127_.X ANTENNA__4182__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4127_.X ANTENNA__4145__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4127_.X ANTENNA__4131__A.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _4127_.X ANTENNA__4130__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4128_.X _4129_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4128_.X _4263_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4128_.X _4293_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4128_.X _4670_.D (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _4128_.X _4680_.B1 (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _4128_.X _4681_.D (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _4128_.X _4699_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4128_.X _4722_.B1 (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4128_.X _4758_.D (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4128_.X _4790_.B (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4128_.X ANTENNA__4790__B.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4128_.X ANTENNA__4758__D.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _4128_.X ANTENNA__4722__B1.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4128_.X ANTENNA__4699__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4128_.X ANTENNA__4681__D.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _4128_.X ANTENNA__4680__B1.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _4128_.X ANTENNA__4670__D.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _4128_.X ANTENNA__4293__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4128_.X ANTENNA__4263__B2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4128_.X ANTENNA__4129__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4129_.X _4130_.D (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4129_.X _4132_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4129_.X _4194_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4129_.X _4196_.C (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4129_.X _4230_.B1 (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _4129_.X _4231_.C (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4129_.X _4669_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4129_.X _4697_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4129_.X _4773_.D (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4129_.X _5125_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4129_.X ANTENNA__5125__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4129_.X ANTENNA__4773__D.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4129_.X ANTENNA__4697__B1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4129_.X ANTENNA__4669__B1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4129_.X ANTENNA__4231__C.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4129_.X ANTENNA__4230__B1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4129_.X ANTENNA__4196__C.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4129_.X ANTENNA__4194__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4129_.X ANTENNA__4132__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4129_.X ANTENNA__4130__D.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4130_.X _4134_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4130_.X _4135_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4131_.X _4133_.A1 (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4131_.X _4144_.A1 (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4131_.X _4194_.B2 (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4131_.X _4196_.A (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _4131_.X _4292_.A1 (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4131_.X _4294_.A (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4131_.X _4789_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4131_.X _5179_.A1 (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _4131_.X _5354_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4131_.X _5969_.A0 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4131_.X ANTENNA__5969__A0.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4131_.X ANTENNA__5354__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4131_.X ANTENNA__5179__A1.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4131_.X ANTENNA__4789__A1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4131_.X ANTENNA__4294__A.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _4131_.X ANTENNA__4292__A1.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _4131_.X ANTENNA__4196__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4131_.X ANTENNA__4194__B2.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4131_.X ANTENNA__4144__A1.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4131_.X ANTENNA__4133__A1.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4132_.X _4133_.B1 (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4132_.X _4144_.B1 (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _4132_.X _4146_.B (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _4132_.X _4183_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4132_.X _4184_.A1 (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4132_.X _4292_.B2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4132_.X _4789_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4132_.X _5124_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4132_.X _5182_.A (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4132_.X _5967_.A0 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4132_.X ANTENNA__5967__A0.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4132_.X ANTENNA__5182__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4132_.X ANTENNA__5124__A2.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _4132_.X ANTENNA__4789__B2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4132_.X ANTENNA__4292__B2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4132_.X ANTENNA__4184__A1.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4132_.X ANTENNA__4183__A2.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4132_.X ANTENNA__4146__B.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4132_.X ANTENNA__4144__B1.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4132_.X ANTENNA__4133__B1.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4133_.Y _4134_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4134_.Y _4135_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4134_.Y _4159_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4135_.X _4138_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4135_.X _4140_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4136_.X _4139_.A (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4136_.X _4141_.A1 (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4136_.X _4174_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4136_.X _4256_.A1 (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4136_.X _5117_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4136_.X _5187_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4136_.X _5361_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4136_.X _5369_.A (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _4136_.X _5386_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4136_.X _5431_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4136_.X ANTENNA__5431__A.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _4136_.X ANTENNA__5386__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4136_.X ANTENNA__5369__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4136_.X ANTENNA__5361__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4136_.X ANTENNA__5187__A.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _4136_.X ANTENNA__5117__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4136_.X ANTENNA__4256__A1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4136_.X ANTENNA__4174__A.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _4136_.X ANTENNA__4141__A1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4136_.X ANTENNA__4139__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4137_.Y _4138_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4138_.Y _4139_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4138_.Y _4141_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4139_.X _4140_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4139_.X _4142_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4140_.Y _4193_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4140_.Y _5198_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4141_.Y _4142_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4142_.Y _4173_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4142_.Y _4203_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4143_.X _4144_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4143_.X _4186_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4143_.X _5183_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4143_.X _5184_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4143_.X _5185_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4143_.X _5245_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4143_.X _5431_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4143_.X _5524_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4143_.X _5537_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4143_.X _6023_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4144_.Y _4147_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4145_.X _4146_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4145_.X _4183_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4145_.X _5180_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4146_.X _4147_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4146_.X _4175_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4147_.Y _4149_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4147_.Y _4175_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4148_.Y _4149_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4149_.Y _4157_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4149_.Y _4181_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4150_.X _4151_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4150_.X _4161_.D (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4150_.X _4209_.B (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _4150_.X _4236_.A (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _4150_.X _4272_.A (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _4150_.X _4298_.B (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4150_.X _4664_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4150_.X _4676_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4150_.X _4736_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4150_.X _4795_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4150_.X ANTENNA__4795__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4150_.X ANTENNA__4736__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4150_.X ANTENNA__4676__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4150_.X ANTENNA__4664__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4150_.X ANTENNA__4298__B.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _4150_.X ANTENNA__4272__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4150_.X ANTENNA__4236__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4150_.X ANTENNA__4209__B.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4150_.X ANTENNA__4161__D.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _4150_.X ANTENNA__4151__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4151_.X _4156_.B (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _4151_.X _4160_.B1 (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _4151_.X _4163_.B (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _4151_.X _4235_.A2 (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _4151_.X _4317_.B (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4151_.X _4662_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4151_.X _4704_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4151_.X _4794_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4151_.X _4817_.B (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _4151_.X _5965_.A0 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4151_.X ANTENNA__5965__A0.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4151_.X ANTENNA__4817__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4151_.X ANTENNA__4794__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4151_.X ANTENNA__4704__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4151_.X ANTENNA__4662__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4151_.X ANTENNA__4317__B.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _4151_.X ANTENNA__4235__A2.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _4151_.X ANTENNA__4163__B.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _4151_.X ANTENNA__4160__B1.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _4151_.X ANTENNA__4156__B.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _4152_.X _4155_.A (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _4152_.X _5179_.B1 (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _4152_.X _5241_.B1 (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _4152_.X _5432_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4152_.X _5525_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4152_.X _5577_.B1 (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _4152_.X _5578_.D (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _4152_.X _5640_.B1 (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _4152_.X _5641_.D (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4152_.X _6025_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4153_.X _4154_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4153_.X _4160_.A2 (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _4153_.X _4161_.C (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _4153_.X _4165_.B1 (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _4153_.X _4166_.D (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _4153_.X _4210_.B1 (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _4153_.X _4211_.D (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _4153_.X _4299_.A1 (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4153_.X _4796_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4153_.X _4818_.C (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4153_.X ANTENNA__4818__C.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4153_.X ANTENNA__4796__B1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4153_.X ANTENNA__4299__A1.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _4153_.X ANTENNA__4211__D.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _4153_.X ANTENNA__4210__B1.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _4153_.X ANTENNA__4166__D.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _4153_.X ANTENNA__4165__B1.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _4153_.X ANTENNA__4161__C.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _4153_.X ANTENNA__4160__A2.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _4153_.X ANTENNA__4154__A.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _4154_.X _4155_.B (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _4154_.X _4319_.A1 (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _4154_.X _4320_.B (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _4154_.X _4334_.C (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _4154_.X _4624_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4154_.X _4703_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4154_.X _4816_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4154_.X _4830_.D (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4154_.X _5056_.B1 (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _4154_.X _5963_.A0 (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _4154_.X ANTENNA__5963__A0.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4154_.X ANTENNA__5056__B1.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _4154_.X ANTENNA__4830__D.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _4154_.X ANTENNA__4816__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4154_.X ANTENNA__4703__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4154_.X ANTENNA__4624__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4154_.X ANTENNA__4334__C.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4154_.X ANTENNA__4320__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4154_.X ANTENNA__4319__A1.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _4154_.X ANTENNA__4155__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4155_.Y _4156_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4156_.X _4157_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4156_.X _4181_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4157_.Y _4171_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4157_.Y _4172_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4158_.Y _4159_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4159_.Y _4170_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4159_.Y _4204_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4160_.Y _4162_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4161_.X _4162_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4161_.X _4188_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4161_.X _4189_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4162_.X _4168_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4162_.X _4169_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4163_.X _4167_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4163_.X _4207_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4163_.X _4208_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4164_.X _4165_.A2 (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _4164_.X _4166_.C (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _4164_.X _4210_.A2 (0.015:0.015:0.015) (0.014:0.014:0.014))
+    (INTERCONNECT _4164_.X _4211_.C (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _4164_.X _4299_.B2 (0.015:0.015:0.015) (0.014:0.014:0.014))
+    (INTERCONNECT _4164_.X _4318_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4164_.X _4334_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4164_.X _4796_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4164_.X _4816_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4164_.X _4818_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4164_.X ANTENNA__4818__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4164_.X ANTENNA__4816__B2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4164_.X ANTENNA__4796__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4164_.X ANTENNA__4334__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4164_.X ANTENNA__4318__A.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _4164_.X ANTENNA__4299__B2.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
+    (INTERCONNECT _4164_.X ANTENNA__4211__C.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _4164_.X ANTENNA__4210__A2.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _4164_.X ANTENNA__4166__C.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _4164_.X ANTENNA__4165__A2.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _4165_.X _4167_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4165_.X _4207_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4165_.X _4208_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4166_.Y _4167_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4166_.Y _4207_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4166_.Y _4208_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4167_.X _4168_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4167_.X _4169_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4168_.Y _4170_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4168_.Y _4204_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4169_.X _4170_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4170_.X _4171_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4170_.X _4172_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4171_.Y _4173_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4171_.Y _4203_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4172_.X _4173_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4173_.X _4192_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4173_.X _5197_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4174_.Y _4180_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4174_.Y _5195_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4175_.X _4177_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4175_.X _4178_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4176_.X _4177_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4176_.X _4178_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4177_.Y _4179_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4177_.Y _5195_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4178_.X _4179_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4178_.X _5195_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4179_.Y _4180_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4180_.Y _4191_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4180_.Y _5178_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4181_.X _4188_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4181_.X _4189_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4182_.X _4184_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4182_.X _5182_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4183_.X _4184_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4183_.X _5183_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4184_.X _4187_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4185_.X _4186_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4185_.X _5179_.B2 (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _4185_.X _5180_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4185_.X _5354_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4185_.X _5355_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4185_.X _5390_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4185_.X _5392_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4185_.X _5435_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4185_.X _5436_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4185_.X _5971_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4185_.X ANTENNA__5971__A0.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4185_.X ANTENNA__5436__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4185_.X ANTENNA__5435__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4185_.X ANTENNA__5392__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4185_.X ANTENNA__5390__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4185_.X ANTENNA__5355__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4185_.X ANTENNA__5354__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4185_.X ANTENNA__5180__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4185_.X ANTENNA__5179__B2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4185_.X ANTENNA__4186__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4186_.Y _4187_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4187_.X _4188_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4187_.X _4189_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4188_.X _4190_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4188_.X _5192_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4188_.X _5193_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4189_.Y _4190_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4190_.Y _4191_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4190_.Y _5178_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4191_.Y _4192_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4191_.Y _5197_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4192_.Y _4193_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4192_.Y _5198_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4193_.Y _4224_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4193_.Y _5201_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4194_.Y _4197_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4194_.Y _4205_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4195_.Y _4197_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4195_.Y _4206_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4196_.X _4197_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4196_.X _4205_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4197_.Y _4199_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4197_.Y _4201_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4198_.X _4199_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4198_.X _4201_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4199_.Y _4202_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4199_.Y _4216_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4200_.Y _4202_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4200_.Y _4217_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4201_.X _4202_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4201_.X _4216_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4202_.X _4223_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4202_.X _4259_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4203_.Y _4221_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4203_.Y _4222_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4204_.Y _4218_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4204_.Y _4220_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4205_.Y _4206_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4206_.Y _4215_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4206_.Y _4227_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4206_.Y _4228_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4207_.Y _4213_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4207_.Y _4214_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4208_.X _4213_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4208_.X _4214_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4209_.X _4212_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4209_.X _4234_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4210_.X _4212_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4210_.X _4234_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4210_.X _4235_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4211_.Y _4212_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4211_.Y _4234_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4211_.Y _4235_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4212_.X _4213_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4212_.X _4214_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4213_.X _4215_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4213_.X _4227_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4213_.X _4228_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4214_.Y _4215_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4214_.Y _4227_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4214_.Y _4228_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4215_.Y _4218_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4215_.Y _4220_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4216_.Y _4217_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4217_.X _4219_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4217_.X _4225_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4218_.Y _4219_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4218_.Y _4225_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4219_.X _4220_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4219_.X _4226_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4220_.X _4221_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4220_.X _4222_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4221_.Y _4223_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4221_.Y _4259_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4222_.Y _4223_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4223_.X _4224_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4223_.X _5201_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4224_.Y _4349_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4224_.Y _4350_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4224_.Y _5202_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4225_.Y _4226_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4226_.Y _4255_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4226_.Y _4257_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4227_.Y _4245_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4227_.Y _4252_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4228_.X _4245_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4228_.X _4252_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4229_.Y _4233_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4229_.Y _4246_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4230_.Y _4232_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4230_.Y _4246_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4231_.X _4232_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4231_.X _4246_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4232_.Y _4233_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4233_.Y _4244_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4233_.Y _4267_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4233_.Y _4268_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4234_.Y _4242_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4234_.Y _4243_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4235_.X _4242_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4235_.X _4243_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4236_.Y _4241_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4236_.Y _4270_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4236_.Y _4271_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4237_.X _4239_.A2 (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _4237_.X _4273_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4237_.X _4274_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4237_.X _4300_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4237_.X _4658_.C (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _4237_.X _4659_.A2 (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _4237_.X _4665_.C (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _4237_.X _4766_.A2 (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _4237_.X _4767_.C (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _4237_.X _4797_.B (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4237_.X ANTENNA__4797__B.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4237_.X ANTENNA__4767__C.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _4237_.X ANTENNA__4766__A2.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _4237_.X ANTENNA__4665__C.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _4237_.X ANTENNA__4659__A2.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _4237_.X ANTENNA__4658__C.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _4237_.X ANTENNA__4300__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4237_.X ANTENNA__4274__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4237_.X ANTENNA__4273__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4237_.X ANTENNA__4239__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4238_.X _4239_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4238_.X _4273_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4238_.X _4300_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4238_.X _4658_.D (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _4238_.X _4659_.B1 (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _4238_.X _4665_.D (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _4238_.X _4675_.C (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _4238_.X _4676_.A2 (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _4238_.X _4767_.D (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _4238_.X _4797_.C (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _4238_.X ANTENNA__4797__C.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _4238_.X ANTENNA__4767__D.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _4238_.X ANTENNA__4676__A2.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _4238_.X ANTENNA__4675__C.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _4238_.X ANTENNA__4665__D.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _4238_.X ANTENNA__4659__B1.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _4238_.X ANTENNA__4658__D.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _4238_.X ANTENNA__4300__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4238_.X ANTENNA__4273__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4238_.X ANTENNA__4239__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4239_.Y _4241_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4239_.Y _4270_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4239_.Y _4271_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4240_.X _4241_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4240_.X _4270_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4240_.X _4271_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4241_.Y _4242_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4241_.Y _4243_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4242_.X _4244_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4242_.X _4267_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4242_.X _4268_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4243_.Y _4244_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4243_.Y _4267_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4243_.Y _4268_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4244_.X _4245_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4244_.X _4252_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4245_.Y _4253_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4245_.Y _4254_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4245_.Y _4285_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4246_.Y _4247_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4246_.Y _4248_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4247_.X _4249_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4247_.X _4256_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4248_.Y _4249_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4249_.Y _4251_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4249_.Y _4256_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4250_.Y _4251_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4251_.Y _4253_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4251_.Y _4285_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4252_.X _4253_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4252_.X _4285_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4253_.Y _4254_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4253_.Y _4286_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4253_.Y _4287_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4254_.X _4255_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4254_.X _4257_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4255_.X _4260_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4255_.X _4346_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4256_.Y _4258_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4256_.Y _4343_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4257_.X _4258_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4257_.X _4343_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4258_.X _4260_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4258_.X _4346_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4259_.Y _4260_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4259_.Y _4346_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4260_.Y _4349_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4260_.Y _4350_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4260_.Y _4590_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4260_.Y _5202_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4261_.X _4264_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4261_.X _4266_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4261_.X _4281_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4262_.Y _4265_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4262_.Y _4269_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4263_.Y _4264_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4264_.X _4265_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4264_.X _4269_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4265_.Y _4266_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4265_.Y _4281_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4266_.X _4282_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4266_.X _4288_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4266_.X _4289_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4267_.Y _4279_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4267_.Y _4283_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4268_.X _4279_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4268_.X _4283_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4269_.X _4278_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4269_.X _4290_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4269_.X _4291_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4270_.X _4276_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4270_.X _4277_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4271_.Y _4276_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4271_.Y _4277_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4272_.Y _4275_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4272_.Y _4296_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4272_.Y _4297_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4273_.Y _4275_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4273_.Y _4296_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4273_.Y _4297_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4274_.X _4275_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4274_.X _4296_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4274_.X _4297_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4275_.Y _4276_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4275_.Y _4277_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4276_.X _4278_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4276_.X _4290_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4276_.X _4291_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4277_.Y _4278_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4277_.Y _4290_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4277_.Y _4291_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4278_.X _4279_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4278_.X _4283_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4279_.Y _4280_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4279_.Y _4284_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4279_.Y _4309_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4280_.Y _4286_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4280_.Y _4287_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4281_.Y _4282_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4282_.Y _4284_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4282_.Y _4309_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4283_.X _4284_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4283_.X _4309_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4284_.X _4286_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4284_.X _4287_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4284_.X _4310_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4284_.X _4312_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4285_.X _4286_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4285_.X _4287_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4286_.Y _4288_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4286_.Y _4289_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4286_.Y _4344_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4287_.X _4288_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4287_.X _4289_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4288_.Y _4311_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4288_.Y _4341_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4288_.Y _4344_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4289_.X _4311_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4289_.X _4341_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4290_.Y _4305_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4290_.Y _4306_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4290_.Y _4307_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4291_.X _4305_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4291_.X _4306_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4291_.X _4307_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4292_.Y _4295_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4293_.X _4294_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4293_.X _4324_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4293_.X _4330_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4293_.X _4331_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4294_.X _4295_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4294_.X _4308_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4294_.X _4327_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _4295_.Y _4304_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4295_.Y _4313_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4295_.Y _4314_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4296_.X _4302_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4296_.X _4303_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4297_.Y _4302_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4297_.Y _4303_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4298_.Y _4301_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4298_.Y _4315_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4298_.Y _4316_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4299_.Y _4301_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4299_.Y _4315_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4299_.Y _4316_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4300_.X _4301_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4300_.X _4315_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4300_.X _4316_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4301_.Y _4302_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4301_.Y _4303_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4302_.X _4304_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4302_.X _4313_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4302_.X _4314_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4303_.Y _4304_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4303_.Y _4313_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4303_.Y _4314_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4304_.X _4305_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4304_.X _4306_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4304_.X _4307_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4305_.Y _4310_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4305_.Y _4312_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4306_.X _4308_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4306_.X _4326_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4307_.Y _4308_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4307_.Y _4326_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4308_.X _4310_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4308_.X _4312_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4309_.Y _4310_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4309_.Y _4312_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4310_.Y _4311_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4310_.Y _4328_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4310_.Y _4339_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4310_.Y _4341_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4310_.Y _4601_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4311_.X _4342_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4311_.X _4596_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4311_.X _4598_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4312_.X _4328_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4312_.X _4339_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4312_.X _4601_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4313_.Y _4325_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4313_.Y _4329_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4314_.X _4325_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4314_.X _4329_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4315_.X _4322_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4315_.X _4323_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4316_.Y _4322_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4316_.Y _4323_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4317_.Y _4321_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4317_.Y _4332_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4317_.Y _4333_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4318_.X _4319_.B2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4318_.X _4320_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4318_.X _4624_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4318_.X _4629_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4318_.X _4830_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4318_.X _5056_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4318_.X _5059_.B (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _4318_.X _5832_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4318_.X _5838_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4318_.X _5961_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4318_.X ANTENNA__5961__A0.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _4318_.X ANTENNA__5838__A2.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4318_.X ANTENNA__5832__A1.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _4318_.X ANTENNA__5059__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4318_.X ANTENNA__5056__A1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4318_.X ANTENNA__4830__B.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4318_.X ANTENNA__4629__A.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _4318_.X ANTENNA__4624__B2.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _4318_.X ANTENNA__4320__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4318_.X ANTENNA__4319__B2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4319_.Y _4321_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4319_.Y _4332_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4319_.Y _4333_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4320_.X _4321_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4320_.X _4332_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4320_.X _4333_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4321_.Y _4322_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4321_.Y _4323_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4322_.X _4324_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4322_.X _4330_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4322_.X _4331_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4323_.Y _4324_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4323_.Y _4330_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4323_.Y _4331_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4324_.X _4325_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4324_.X _4329_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4325_.Y _4328_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4325_.Y _4337_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4325_.Y _4339_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4325_.Y _4601_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4325_.Y _4607_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4325_.Y _4612_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4326_.Y _4327_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4327_.Y _4328_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4327_.Y _4338_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4327_.Y _4339_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4327_.Y _4601_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4327_.Y _4608_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4328_.Y _4340_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4328_.Y _4602_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4328_.Y _4604_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4329_.X _4337_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4329_.X _4612_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4330_.Y _4336_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4330_.Y _4618_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4331_.X _4336_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4331_.X _4618_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4332_.X _4335_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4332_.X _4632_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4333_.Y _4335_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4333_.Y _4632_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4334_.X _4335_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4334_.X _4622_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _4334_.X _4632_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4335_.X _4336_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4335_.X _4618_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4335_.X _4633_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4336_.X _4337_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4336_.X _4612_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _4336_.X _4617_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4337_.Y _4338_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4337_.Y _4607_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4337_.Y _4614_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4337_.Y _4615_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4338_.Y _4340_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4338_.Y _4602_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4338_.Y _4604_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4339_.Y _4340_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4340_.X _4342_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4340_.X _4596_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4340_.X _4598_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4341_.Y _4342_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4341_.Y _4596_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4341_.Y _4598_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4342_.X _4348_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4342_.X _4589_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _4342_.X _4593_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _4343_.Y _4345_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4343_.Y _4347_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4344_.X _4345_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4344_.X _4347_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4345_.Y _4348_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4345_.Y _4589_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4345_.Y _4593_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4346_.Y _4348_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4346_.Y _4590_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4347_.X _4348_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4347_.X _4589_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4348_.X _4349_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4348_.X _4350_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4348_.X _5202_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4349_.X _4351_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4350_.Y _4351_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4351_.X _4584_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4351_.X _4585_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4351_.X _5470_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4352_.X _4353_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4352_.X _4413_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4352_.X _4479_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4352_.X _4498_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4352_.X _4513_.A1 (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _4352_.X _5087_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4352_.X _5161_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4352_.X _5407_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4352_.X _5408_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4352_.X _5453_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4352_.X ANTENNA__5453__B.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4352_.X ANTENNA__5408__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4352_.X ANTENNA__5407__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4352_.X ANTENNA__5161__B.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4352_.X ANTENNA__5087__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4352_.X ANTENNA__4513__A1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4352_.X ANTENNA__4498__B1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4352_.X ANTENNA__4479__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4352_.X ANTENNA__4413__A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4352_.X ANTENNA__4353__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4353_.X _4364_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4353_.X _5347_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4353_.X _5454_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4353_.X _5591_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4353_.X _5592_.C (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4353_.X _5595_.A1_N (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4353_.X _5688_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4353_.X _5689_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4353_.X _5693_.A1_N (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4353_.X _6008_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4353_.X ANTENNA__6008__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4353_.X ANTENNA__5693__A1_N.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4353_.X ANTENNA__5689__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4353_.X ANTENNA__5688__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4353_.X ANTENNA__5595__A1_N.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4353_.X ANTENNA__5592__C.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _4353_.X ANTENNA__5591__A2.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _4353_.X ANTENNA__5454__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4353_.X ANTENNA__5347__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4353_.X ANTENNA__4364__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4354_.X _4360_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4354_.X _4378_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4354_.X _4407_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4354_.X _4426_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4354_.X _4436_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4354_.X _4870_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4354_.X _4898_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4354_.X _5086_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4354_.X _5096_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4354_.X _5154_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4354_.X ANTENNA__5154__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4354_.X ANTENNA__5096__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4354_.X ANTENNA__5086__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4354_.X ANTENNA__4898__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4354_.X ANTENNA__4870__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4354_.X ANTENNA__4436__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4354_.X ANTENNA__4426__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4354_.X ANTENNA__4407__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4354_.X ANTENNA__4378__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4354_.X ANTENNA__4360__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4355_.X _4357_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4355_.X _4359_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4355_.X _4383_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4355_.X _4384_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4355_.X _4424_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4355_.X _4463_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4355_.X _4464_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4355_.X _4858_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4355_.X _4859_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4355_.X _4888_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4355_.X ANTENNA__4888__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4355_.X ANTENNA__4859__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4355_.X ANTENNA__4858__A1.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _4355_.X ANTENNA__4464__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4355_.X ANTENNA__4463__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4355_.X ANTENNA__4424__A1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4355_.X ANTENNA__4384__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4355_.X ANTENNA__4383__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4355_.X ANTENNA__4359__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4355_.X ANTENNA__4357__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4356_.X _4357_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4356_.X _4424_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4356_.X _4434_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4356_.X _4463_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4356_.X _4526_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4356_.X _4858_.B2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4356_.X _4961_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4356_.X _4978_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4356_.X _5093_.B (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4356_.X _5094_.B2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4356_.X ANTENNA__5094__B2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4356_.X ANTENNA__5093__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4356_.X ANTENNA__4978__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4356_.X ANTENNA__4961__B.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _4356_.X ANTENNA__4858__B2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4356_.X ANTENNA__4526__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4356_.X ANTENNA__4463__B2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4356_.X ANTENNA__4434__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4356_.X ANTENNA__4424__B2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4356_.X ANTENNA__4357__B2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4357_.X _4360_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4357_.X _4379_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4358_.X _4359_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4358_.X _4383_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4358_.X _4384_.B2 (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _4358_.X _4403_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4358_.X _4464_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4358_.X _4495_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4358_.X _4853_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4358_.X _4859_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4358_.X _4888_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4358_.X _4889_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4358_.X ANTENNA__4889__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4358_.X ANTENNA__4888__B2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4358_.X ANTENNA__4859__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4358_.X ANTENNA__4853__B2.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _4358_.X ANTENNA__4495__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4358_.X ANTENNA__4464__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4358_.X ANTENNA__4403__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4358_.X ANTENNA__4384__B2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4358_.X ANTENNA__4383__B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4358_.X ANTENNA__4359__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4359_.X _4360_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4359_.X _4379_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4360_.X _4362_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4360_.X _4364_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4361_.Y _4362_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4362_.Y _4363_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4362_.Y _4394_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4363_.X _4364_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4363_.X _4395_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4364_.X _4423_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4364_.X _5152_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4365_.X _4367_.C (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4365_.X _4369_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4365_.X _4374_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4365_.X _4375_.D (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4365_.X _4388_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4365_.X _4443_.D (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4365_.X _4531_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4365_.X _4844_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4365_.X _4983_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4365_.X _5005_.C (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4365_.X ANTENNA__5005__C.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4365_.X ANTENNA__4983__B1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4365_.X ANTENNA__4844__A2.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _4365_.X ANTENNA__4531__B1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4365_.X ANTENNA__4443__D.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4365_.X ANTENNA__4388__A.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _4365_.X ANTENNA__4375__D.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4365_.X ANTENNA__4374__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4365_.X ANTENNA__4369__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4365_.X ANTENNA__4367__C.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4366_.X _4367_.D (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4366_.X _4368_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4366_.X _4440_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4366_.X _4504_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4366_.X _4530_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4366_.X _4843_.D (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4366_.X _4844_.B1 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4366_.X _4847_.B (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4366_.X _4916_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4366_.X _4982_.B (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4366_.X ANTENNA__4982__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4366_.X ANTENNA__4916__A2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4366_.X ANTENNA__4847__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4366_.X ANTENNA__4844__B1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4366_.X ANTENNA__4843__D.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4366_.X ANTENNA__4530__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4366_.X ANTENNA__4504__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4366_.X ANTENNA__4440__B.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _4366_.X ANTENNA__4368__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4366_.X ANTENNA__4367__D.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4367_.X _4370_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4367_.X _4409_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4367_.X _4410_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4368_.X _4369_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4368_.X _4371_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4368_.X _4390_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4368_.X _4468_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4368_.X _4549_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4368_.X _4864_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4368_.X _4881_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4368_.X _4981_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4368_.X _5004_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4368_.X _6000_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4368_.X ANTENNA__6000__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4368_.X ANTENNA__5004__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4368_.X ANTENNA__4981__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4368_.X ANTENNA__4881__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4368_.X ANTENNA__4864__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4368_.X ANTENNA__4549__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4368_.X ANTENNA__4468__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4368_.X ANTENNA__4390__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4368_.X ANTENNA__4371__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4368_.X ANTENNA__4369__B1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4369_.Y _4370_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4370_.X _4377_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4370_.X _4381_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4371_.X _4376_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4371_.X _4438_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4371_.X _4439_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4372_.X _4373_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4372_.X _4442_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4372_.X _4470_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4372_.X _4505_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4372_.X _4532_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4372_.X _4846_.A2 (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _4372_.X _4884_.C (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4372_.X _4954_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4372_.X _4955_.C (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4372_.X _4984_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4372_.X ANTENNA__4984__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4372_.X ANTENNA__4955__C.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4372_.X ANTENNA__4954__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4372_.X ANTENNA__4884__C.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _4372_.X ANTENNA__4846__A2.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _4372_.X ANTENNA__4532__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4372_.X ANTENNA__4505__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4372_.X ANTENNA__4470__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4372_.X ANTENNA__4442__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4372_.X ANTENNA__4373__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4373_.X _4374_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4373_.X _4375_.C (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _4373_.X _4443_.C (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4373_.X _4531_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4373_.X _4550_.A (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _4373_.X _4566_.A (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _4373_.X _4848_.C (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4373_.X _4983_.A2 (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _4373_.X _5003_.B2 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4373_.X _5005_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _4373_.X ANTENNA__5005__A.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _4373_.X ANTENNA__5003__B2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4373_.X ANTENNA__4983__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4373_.X ANTENNA__4848__C.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _4373_.X ANTENNA__4566__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4373_.X ANTENNA__4550__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4373_.X ANTENNA__4531__A2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4373_.X ANTENNA__4443__C.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4373_.X ANTENNA__4375__C.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4373_.X ANTENNA__4374__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4374_.X _4376_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4374_.X _4438_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4374_.X _4439_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4375_.Y _4376_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4375_.Y _4438_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4375_.Y _4439_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4376_.X _4377_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4376_.X _4381_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4377_.X _4392_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4377_.X _4397_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4378_.Y _4380_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4379_.X _4380_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4380_.Y _4382_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4380_.Y _4433_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4381_.Y _4382_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4381_.Y _4433_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4382_.Y _4392_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4382_.Y _4397_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4383_.X _4385_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4383_.X _4412_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4384_.X _4385_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4385_.X _4387_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4385_.X _4412_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4386_.Y _4387_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4386_.Y _4412_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4387_.X _4391_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4387_.X _4400_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4388_.X _4389_.B (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4388_.X _4551_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4388_.X _4552_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4388_.X _4566_.C (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _4388_.X _4625_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4388_.X _4863_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4388_.X _5003_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4388_.X _5017_.D (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4388_.X _5057_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4388_.X _5998_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4388_.X ANTENNA__5998__A1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4388_.X ANTENNA__5057__B1.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _4388_.X ANTENNA__5017__D.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _4388_.X ANTENNA__5003__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4388_.X ANTENNA__4863__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4388_.X ANTENNA__4625__A2.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _4388_.X ANTENNA__4566__C.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _4388_.X ANTENNA__4552__B.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4388_.X ANTENNA__4551__A1.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _4388_.X ANTENNA__4389__B.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _4389_.Y _4390_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4390_.X _4391_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4390_.X _4400_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4391_.Y _4392_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4391_.Y _4397_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4392_.X _4398_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4392_.X _4420_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4392_.X _4421_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4393_.X _4394_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4393_.X _4417_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4393_.X _4429_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4393_.X _4481_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4393_.X _4487_.A (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _4393_.X _4875_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4393_.X _5089_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4393_.X _5090_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4393_.X _5165_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4393_.X _5339_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4393_.X ANTENNA__5339__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4393_.X ANTENNA__5165__B.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _4393_.X ANTENNA__5090__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4393_.X ANTENNA__5089__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4393_.X ANTENNA__4875__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4393_.X ANTENNA__4487__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4393_.X ANTENNA__4481__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4393_.X ANTENNA__4429__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4393_.X ANTENNA__4417__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4393_.X ANTENNA__4394__A1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4394_.Y _4395_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4395_.Y _4396_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4396_.Y _4399_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4396_.Y _4432_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4397_.Y _4398_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4398_.Y _4399_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4398_.Y _4432_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4399_.X _4420_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4399_.X _4421_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4400_.X _4409_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4400_.X _4410_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4401_.X _4402_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4401_.X _4495_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4401_.X _4525_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4401_.X _4852_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4401_.X _4853_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4401_.X _4889_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4401_.X _4910_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4401_.X _4945_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4401_.X _4946_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4401_.X _4977_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4401_.X ANTENNA__4977__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4401_.X ANTENNA__4946__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4401_.X ANTENNA__4945__B2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4401_.X ANTENNA__4910__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4401_.X ANTENNA__4889__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4401_.X ANTENNA__4853__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4401_.X ANTENNA__4852__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4401_.X ANTENNA__4525__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4401_.X ANTENNA__4495__B2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4401_.X ANTENNA__4402__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4402_.X _4404_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4402_.X _4405_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4402_.X _4425_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4402_.X _4434_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4402_.X _4524_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4402_.X _4961_.A (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _4402_.X _4976_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4402_.X _5093_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4402_.X _5094_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4402_.X _6002_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4402_.X ANTENNA__6002__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4402_.X ANTENNA__5094__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4402_.X ANTENNA__5093__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4402_.X ANTENNA__4976__B2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4402_.X ANTENNA__4961__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4402_.X ANTENNA__4524__B2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4402_.X ANTENNA__4434__A.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _4402_.X ANTENNA__4425__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4402_.X ANTENNA__4405__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4402_.X ANTENNA__4404__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4403_.X _4404_.C (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _4403_.X _4405_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4403_.X _4425_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4403_.X _4524_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4403_.X _4976_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4403_.X _5155_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4403_.X _5156_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4403_.X _5331_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4403_.X _5332_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4403_.X _6004_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4403_.X ANTENNA__6004__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4403_.X ANTENNA__5332__A.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _4403_.X ANTENNA__5331__A1.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _4403_.X ANTENNA__5156__B.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _4403_.X ANTENNA__5155__A2.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _4403_.X ANTENNA__4976__A1.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _4403_.X ANTENNA__4524__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4403_.X ANTENNA__4425__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4403_.X ANTENNA__4405__B1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4403_.X ANTENNA__4404__C.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4404_.X _4406_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4404_.X _5159_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4405_.X _4406_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4405_.X _5160_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4406_.X _4408_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4407_.Y _4408_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4408_.X _4409_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4408_.X _4410_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4409_.Y _4411_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4409_.Y _5168_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4410_.X _4411_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4410_.X _5168_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4411_.X _4419_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4412_.Y _4414_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4412_.Y _4415_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4413_.X _4414_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4413_.X _4415_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4414_.Y _4416_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4414_.Y _5172_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4415_.X _4416_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4415_.X _5172_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4416_.Y _4418_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4417_.Y _4418_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4417_.Y _5172_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4418_.Y _4419_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4418_.Y _5168_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4419_.Y _4420_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4419_.Y _4421_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4420_.Y _4422_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4420_.Y _5174_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4420_.Y _5175_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4421_.X _4422_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4422_.X _4423_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4422_.X _5152_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4423_.Y _4457_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4423_.Y _5150_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4424_.X _4426_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4424_.X _4435_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4425_.X _4426_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4426_.X _4428_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4426_.X _4430_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4427_.X _4428_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4427_.X _4430_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4428_.Y _4431_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4428_.Y _4449_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4429_.Y _4431_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4429_.Y _4450_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4430_.X _4431_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4430_.X _4449_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4431_.X _4456_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4431_.X _4491_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4432_.Y _4454_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4432_.Y _4455_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4433_.Y _4448_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4433_.Y _4451_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4434_.X _4435_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4435_.X _4437_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4436_.Y _4437_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4437_.X _4447_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4437_.X _4460_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4437_.X _4461_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4438_.Y _4445_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4438_.Y _4446_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4439_.X _4445_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4439_.X _4446_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4440_.X _4444_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4440_.X _4467_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4441_.X _4442_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4441_.X _4470_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4441_.X _4505_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4441_.X _4532_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4441_.X _4843_.C (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4441_.X _4846_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4441_.X _4848_.D (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4441_.X _4884_.D (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4441_.X _4955_.D (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4441_.X _4984_.C (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4441_.X ANTENNA__4984__C.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4441_.X ANTENNA__4955__D.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4441_.X ANTENNA__4884__D.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4441_.X ANTENNA__4848__D.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4441_.X ANTENNA__4846__B1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4441_.X ANTENNA__4843__C.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4441_.X ANTENNA__4532__C.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4441_.X ANTENNA__4505__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4441_.X ANTENNA__4470__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4441_.X ANTENNA__4442__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4442_.X _4444_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4442_.X _4467_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4442_.X _4468_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4443_.Y _4444_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4443_.Y _4467_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4443_.Y _4468_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4444_.X _4445_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4444_.X _4446_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4445_.X _4447_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4445_.X _4460_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4445_.X _4461_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4446_.Y _4447_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4446_.Y _4460_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4446_.Y _4461_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4447_.X _4448_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4447_.X _4451_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4448_.X _4453_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4449_.Y _4450_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4450_.X _4452_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4450_.X _4458_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4451_.X _4452_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4451_.X _4458_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4452_.X _4453_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4452_.X _4459_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4453_.X _4454_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4453_.X _4455_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4454_.Y _4456_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4454_.Y _4491_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4455_.Y _4456_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4456_.X _4457_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4456_.X _5150_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4457_.Y _4581_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4457_.Y _4582_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4457_.Y _5151_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4458_.Y _4459_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4459_.Y _4486_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4459_.Y _4489_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4460_.Y _4476_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4460_.Y _4483_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4461_.X _4476_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4461_.X _4483_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4462_.Y _4466_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4462_.Y _4477_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4463_.Y _4465_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4463_.Y _4477_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4464_.X _4465_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4464_.X _4477_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4465_.Y _4466_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4466_.Y _4475_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4466_.Y _4499_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4466_.Y _4500_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4467_.Y _4473_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4467_.Y _4474_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4468_.X _4473_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4468_.X _4474_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4469_.Y _4472_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4469_.Y _4502_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4469_.Y _4503_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4470_.Y _4472_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4470_.Y _4502_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4470_.Y _4503_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4471_.X _4472_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4471_.X _4502_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4471_.X _4503_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4472_.Y _4473_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4472_.Y _4474_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4473_.X _4475_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4473_.X _4499_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4473_.X _4500_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4474_.Y _4475_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4474_.Y _4499_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4474_.Y _4500_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4475_.X _4476_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4475_.X _4483_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4476_.Y _4484_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4476_.Y _4485_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _4476_.Y _4517_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4477_.Y _4478_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4477_.Y _4479_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4478_.X _4480_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4478_.X _4488_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4479_.Y _4480_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4480_.Y _4482_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4480_.Y _4488_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4481_.Y _4482_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4482_.Y _4484_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4482_.Y _4517_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4483_.X _4484_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4483_.X _4517_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4484_.Y _4485_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4484_.Y _4518_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4484_.Y _4519_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4485_.X _4486_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4485_.X _4489_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4486_.X _4492_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4486_.X _4578_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4487_.X _4488_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4487_.X _5406_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4487_.X _5452_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4487_.X _5591_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4487_.X _5592_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4487_.X _5688_.B2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4487_.X _5689_.B (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4487_.X _5752_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4487_.X _5769_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4487_.X _6010_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4487_.X ANTENNA__6010__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4487_.X ANTENNA__5769__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _4487_.X ANTENNA__5752__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4487_.X ANTENNA__5689__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4487_.X ANTENNA__5688__B2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _4487_.X ANTENNA__5592__D.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4487_.X ANTENNA__5591__B1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4487_.X ANTENNA__5452__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4487_.X ANTENNA__5406__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _4487_.X ANTENNA__4488__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4488_.Y _4490_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4488_.Y _4575_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4489_.X _4490_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4489_.X _4575_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4490_.X _4492_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4490_.X _4578_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4491_.Y _4492_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4491_.Y _4578_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4492_.Y _4581_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4492_.Y _4582_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4492_.Y _4587_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4492_.Y _5151_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4493_.X _4496_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4493_.X _4498_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4493_.X _4513_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4494_.Y _4497_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4494_.Y _4501_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4495_.Y _4496_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4496_.X _4497_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4496_.X _4501_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4497_.Y _4498_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4497_.Y _4513_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4498_.X _4514_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4498_.X _4520_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4498_.X _4521_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4499_.Y _4511_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4499_.Y _4515_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4500_.X _4511_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4500_.X _4515_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4501_.X _4510_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4501_.X _4522_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4501_.X _4523_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4502_.X _4508_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4502_.X _4509_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4503_.Y _4508_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4503_.Y _4509_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4504_.Y _4507_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4504_.Y _4528_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4504_.Y _4529_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4505_.Y _4507_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4505_.Y _4528_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4505_.Y _4529_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4506_.X _4507_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4506_.X _4528_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4506_.X _4529_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4507_.Y _4508_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4507_.Y _4509_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4508_.X _4510_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4508_.X _4522_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4508_.X _4523_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4509_.Y _4510_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4509_.Y _4522_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4509_.Y _4523_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4510_.X _4511_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4510_.X _4515_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4511_.Y _4512_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4511_.Y _4516_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4511_.Y _4541_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4512_.Y _4518_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4512_.Y _4519_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4513_.Y _4514_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4514_.Y _4516_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4514_.Y _4541_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4515_.X _4516_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4515_.X _4541_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4516_.X _4518_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4516_.X _4519_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4516_.X _4542_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4516_.X _4544_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4517_.X _4518_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4517_.X _4519_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4518_.Y _4520_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4518_.Y _4521_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4518_.Y _4576_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4519_.X _4520_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4519_.X _4521_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4520_.Y _4543_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4520_.Y _4573_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4520_.Y _4576_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4521_.X _4543_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4521_.X _4573_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4522_.Y _4537_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4522_.Y _4538_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4522_.Y _4539_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4523_.X _4537_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4523_.X _4538_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4523_.X _4539_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4524_.Y _4527_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4525_.X _4526_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4525_.X _4556_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4525_.X _4562_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4525_.X _4563_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4526_.X _4527_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4526_.X _4540_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4526_.X _4559_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4527_.Y _4536_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4527_.Y _4545_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4527_.Y _4546_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4528_.X _4534_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4528_.X _4535_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4529_.Y _4534_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4529_.Y _4535_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4530_.Y _4533_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4530_.Y _4547_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4530_.Y _4548_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4531_.Y _4533_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4531_.Y _4547_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4531_.Y _4548_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4532_.X _4533_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4532_.X _4547_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4532_.X _4548_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4533_.Y _4534_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4533_.Y _4535_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4534_.X _4536_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4534_.X _4545_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4534_.X _4546_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4535_.Y _4536_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4535_.Y _4545_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4535_.Y _4546_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4536_.X _4537_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4536_.X _4538_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4536_.X _4539_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4537_.Y _4542_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4537_.Y _4544_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4538_.X _4540_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4538_.X _4558_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4539_.Y _4540_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4539_.Y _4558_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4540_.X _4542_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4540_.X _4544_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4541_.Y _4542_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4541_.Y _4544_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4542_.Y _4543_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4542_.Y _4560_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _4542_.Y _4571_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4542_.Y _4573_.C (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _4543_.X _4574_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4543_.X _4597_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4543_.X _4599_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4544_.X _4560_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4544_.X _4571_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4545_.Y _4557_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4545_.Y _4561_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4546_.X _4557_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4546_.X _4561_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4547_.X _4554_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4547_.X _4555_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4548_.Y _4554_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4548_.Y _4555_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4549_.Y _4553_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4549_.Y _4564_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4549_.Y _4565_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4550_.X _4551_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4550_.X _4552_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4550_.X _4625_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4550_.X _4629_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4550_.X _5017_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4550_.X _5057_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4550_.X _5059_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4550_.X _5832_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4550_.X _5838_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4550_.X _5996_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4551_.Y _4553_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4551_.Y _4564_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4551_.Y _4565_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4552_.X _4553_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4552_.X _4564_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4552_.X _4565_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4553_.Y _4554_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4553_.Y _4555_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4554_.X _4556_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4554_.X _4562_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4554_.X _4563_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4555_.Y _4556_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4555_.Y _4562_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4555_.Y _4563_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4556_.X _4557_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4556_.X _4561_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4557_.Y _4560_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4557_.Y _4569_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4557_.Y _4571_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4557_.Y _4609_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4557_.Y _4613_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4558_.Y _4559_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4559_.Y _4560_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4559_.Y _4570_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4559_.Y _4571_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4559_.Y _4610_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _4560_.Y _4572_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4560_.Y _4603_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4560_.Y _4605_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4561_.X _4569_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4561_.X _4613_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4562_.Y _4568_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4562_.Y _4619_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4563_.X _4568_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4563_.X _4619_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4564_.X _4567_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4564_.X _4634_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4565_.Y _4567_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4565_.Y _4634_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4566_.X _4567_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4566_.X _4623_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4566_.X _4634_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4567_.X _4568_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4567_.X _4619_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4567_.X _4635_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4568_.X _4569_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4568_.X _4613_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4568_.X _4620_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4569_.Y _4570_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4569_.Y _4609_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4569_.Y _4614_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4569_.Y _4615_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4570_.Y _4572_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4570_.Y _4603_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4570_.Y _4605_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4571_.X _4572_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4571_.X _4603_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4571_.X _4605_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4572_.X _4574_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4572_.X _4597_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4572_.X _4599_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4573_.Y _4574_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4573_.Y _4597_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4573_.Y _4599_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4574_.X _4580_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4574_.X _4586_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4574_.X _4594_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4575_.Y _4577_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4575_.Y _4579_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4576_.X _4577_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4576_.X _4579_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4577_.Y _4580_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4577_.Y _4586_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4577_.Y _4594_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4578_.Y _4580_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4578_.Y _4587_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4579_.X _4580_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4579_.X _4586_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4580_.X _4581_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4580_.X _4582_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4580_.X _5151_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4581_.X _4583_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4582_.Y _4583_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4583_.X _4584_.B (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _4583_.X _4585_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4583_.X _5470_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4584_.X _4653_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4584_.X _4655_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4584_.X _5208_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4584_.X _5210_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4585_.Y _4653_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4585_.Y _4655_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4585_.Y _5471_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4586_.X _4588_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4587_.X _4588_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4588_.Y _4592_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4588_.Y _4651_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4589_.X _4591_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4590_.X _4591_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4591_.Y _4592_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4591_.Y _4651_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4592_.Y _4652_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4592_.Y _5934_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4592_.Y _5935_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4593_.X _4595_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4593_.X _4649_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4594_.X _4595_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4594_.X _4649_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4595_.Y _4650_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4595_.Y _5922_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4595_.Y _5923_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4596_.X _4600_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4596_.X _4647_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4597_.X _4600_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4597_.X _4647_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4598_.Y _4600_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4598_.Y _4647_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4599_.Y _4600_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4599_.Y _4647_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4600_.X _4648_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4600_.X _5912_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4601_.X _4602_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4601_.X _4604_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4602_.X _4606_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4602_.X _4645_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4603_.X _4606_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4603_.X _4645_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4604_.Y _4606_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4604_.Y _4645_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4605_.Y _4606_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4605_.Y _4645_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4606_.X _4646_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4606_.X _5895_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4607_.Y _4608_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4608_.X _4611_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4608_.X _4643_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4609_.Y _4610_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4610_.X _4611_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4610_.X _4643_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4611_.X _4644_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4611_.X _5888_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4611_.X _5889_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4612_.X _4614_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4612_.X _4615_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4613_.X _4614_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4613_.X _4615_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4614_.Y _4616_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4614_.Y _4642_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4615_.X _4616_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4616_.Y _4642_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4616_.Y _5876_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4616_.Y _5877_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4617_.Y _4621_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4617_.Y _4640_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4618_.X _4621_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4618_.X _4640_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4619_.X _4621_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4619_.X _4640_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4620_.Y _4621_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4620_.Y _4640_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4621_.X _4641_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4621_.X _5863_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4622_.Y _4626_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4622_.Y _4628_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4623_.Y _4626_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4623_.Y _4628_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4624_.X _4626_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4624_.X _4628_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4625_.X _4626_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4625_.X _4628_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4626_.X _4627_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4626_.X _4631_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4627_.Y _4630_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4627_.Y _5846_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4628_.X _4630_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4628_.X _5846_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4629_.X _4630_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4629_.X _5833_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4629_.X _5846_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4630_.X _4631_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4630_.X _5849_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4631_.X _4639_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4631_.X _5856_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4632_.Y _4633_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4633_.X _4636_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4633_.X _4637_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4634_.Y _4635_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4635_.X _4636_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4635_.X _4637_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4636_.Y _4638_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4636_.Y _4639_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4637_.Y _4638_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4638_.X _4639_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4638_.X _5856_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4639_.X _4641_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4639_.X _5864_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4640_.X _4641_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4640_.X _5863_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4641_.Y _4642_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4641_.Y _5876_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4641_.Y _5877_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4642_.Y _4644_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4642_.Y _5888_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4642_.Y _5889_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4643_.Y _4644_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4644_.Y _4646_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4644_.Y _5897_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4645_.X _4646_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4645_.X _5896_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4646_.X _4648_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4646_.X _5913_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4647_.X _4648_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4647_.X _5911_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4648_.X _4650_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4648_.X _5922_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4648_.X _5923_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4649_.Y _4650_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4650_.X _4652_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4650_.X _5934_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4650_.X _5935_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4651_.X _4652_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4652_.Y _4653_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4652_.Y _4655_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4652_.Y _5471_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4653_.X _4657_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4654_.X _4657_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4654_.X _5607_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4654_.X _5857_.B2 (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _4654_.X _5870_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4654_.X _5892_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4655_.Y _4657_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4655_.Y _5208_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4655_.Y _5210_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4656_.Y _4657_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4656_.Y _5382_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4656_.Y _5669_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4656_.Y _5705_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4656_.Y _5839_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4656_.Y _5869_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4656_.Y _5893_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4656_.Y _5928_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4657_.Y _5149_.A3 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4657_.Y ANTENNA__5149__A3.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4658_.Y _4661_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4658_.Y _4662_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4658_.Y _4678_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4659_.X _4661_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4659_.X _4662_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4659_.X _4678_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4660_.X _4661_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4660_.X _4678_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4661_.Y _4667_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4661_.Y _4668_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4662_.X _4667_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4662_.X _4668_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4663_.X _4666_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4663_.X _4735_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4663_.X _4736_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4664_.X _4666_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4664_.X _4735_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4665_.Y _4666_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4665_.Y _4735_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4665_.Y _4736_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4666_.X _4667_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4666_.X _4668_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4667_.Y _4674_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4667_.Y _4686_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4667_.Y _4687_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _4667_.Y _4732_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4668_.X _4674_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4668_.X _4732_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4669_.Y _4671_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _4670_.X _4671_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4670_.X _4689_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4671_.Y _4673_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4671_.Y _4689_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4672_.Y _4673_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4673_.Y _4674_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4673_.Y _4732_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4674_.Y _4686_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4674_.Y _4687_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4674_.Y _4744_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4674_.Y _4745_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4675_.X _4677_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4675_.X _5129_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4675_.X _5130_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4676_.Y _4677_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4677_.Y _4679_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4677_.Y _4706_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4677_.Y ANTENNA__4706__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4677_.Y ANTENNA__4679__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4678_.X _4679_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4678_.X _4706_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4679_.X _4685_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4679_.X _4707_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4680_.Y _4682_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4681_.X _4682_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4681_.X _4709_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4682_.Y _4684_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4682_.Y _4709_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4683_.Y _4684_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4684_.Y _4685_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4684_.Y _4707_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4685_.Y _4686_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4685_.Y _4687_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4686_.Y _4688_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4686_.Y _4717_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4686_.Y _4718_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4687_.X _4688_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4688_.X _4696_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4688_.X _4747_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4689_.X _4691_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4689_.X _4692_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4690_.X _4691_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4690_.X _4692_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4691_.Y _4693_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4691_.Y _4720_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4692_.X _4693_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4692_.X _4720_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4693_.Y _4695_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4694_.Y _4695_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4694_.Y _4720_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4695_.X _4696_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4695_.X _4747_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4696_.Y _4717_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4696_.Y _4718_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4697_.Y _4700_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4698_.X _4699_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4698_.X _5124_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4698_.X _5125_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4698_.X _5355_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4699_.X _4700_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4699_.X _5118_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4700_.Y _4702_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4700_.Y _5118_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4701_.Y _4702_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4702_.Y _4705_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4702_.Y _5123_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4703_.Y _4704_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4704_.X _4705_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4704_.X _5123_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4705_.Y _4708_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4705_.Y _5115_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4705_.Y ANTENNA__5115__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4705_.Y ANTENNA__4708__A.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _4706_.X _4707_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4707_.X _4708_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4707_.X _5115_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4708_.X _4716_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4708_.X _5116_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4709_.X _4711_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4709_.X _4712_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4710_.X _4711_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4710_.X _4712_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4711_.Y _4713_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4711_.Y _5114_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4712_.X _4713_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4712_.X _5114_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4713_.Y _4715_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4714_.Y _4715_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4714_.Y _5114_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4715_.X _4716_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4715_.X _5116_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4716_.X _4717_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4716_.X _4718_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4717_.Y _4719_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4717_.Y _5112_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4717_.Y _5113_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4718_.X _4719_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4718_.X _5112_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4719_.X _4721_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4720_.X _4721_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4720_.X _5113_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _4721_.Y _4753_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4721_.Y _5138_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4722_.Y _4725_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4722_.Y _4733_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4723_.Y _4725_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4723_.Y _4734_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4724_.X _4725_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4724_.X _4733_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4725_.Y _4727_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4725_.Y _4728_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4726_.X _4727_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4726_.X _4728_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4727_.Y _4729_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4727_.Y _4749_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4728_.X _4729_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4728_.X _4749_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4729_.Y _4731_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4730_.Y _4731_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4730_.Y _4749_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4731_.Y _4746_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4731_.Y _4779_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4731_.Y _4780_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4732_.X _4744_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4732_.X _4745_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4733_.Y _4734_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4734_.Y _4743_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4734_.Y _4755_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4734_.Y _4756_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4735_.Y _4741_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4735_.Y _4742_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4736_.X _4741_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4736_.X _4742_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4737_.Y _4740_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4737_.Y _4763_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4737_.Y _4764_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4738_.Y _4740_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4738_.Y _4763_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4738_.Y _4764_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4739_.X _4740_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4739_.X _4763_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4739_.X _4764_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4740_.Y _4741_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4740_.Y _4742_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4741_.X _4743_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4741_.X _4755_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4741_.X _4756_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4742_.Y _4743_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4742_.Y _4755_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4742_.Y _4756_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4743_.X _4744_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4743_.X _4745_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4744_.X _4746_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4744_.X _4779_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4744_.X _4780_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4745_.Y _4746_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4745_.Y _4779_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4745_.Y _4780_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4746_.Y _4748_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4746_.Y _4750_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4747_.X _4748_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4747_.X _4750_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4748_.X _4752_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4749_.X _4751_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4749_.X _4754_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4750_.Y _4751_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4750_.Y _4754_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4751_.X _4752_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4752_.Y _4753_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4752_.Y _5138_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4753_.Y _4841_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4753_.Y _5139_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4754_.Y _4785_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4754_.Y _4839_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4755_.Y _4772_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4755_.Y _4777_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4756_.X _4772_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4756_.X _4777_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4757_.X _4760_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4757_.X _4761_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4758_.Y _4760_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4758_.Y _4761_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4759_.X _4760_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4759_.X _4761_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4760_.Y _4762_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4761_.X _4762_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _4761_.X _4774_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4761_.X _4775_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4762_.Y _4771_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4762_.Y _4787_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4762_.Y _4788_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4763_.X _4769_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4763_.X _4770_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4764_.Y _4769_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4764_.Y _4770_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4765_.X _4768_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4765_.X _4793_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4766_.X _4768_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4766_.X _4793_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4766_.X _4794_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4767_.Y _4768_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4767_.Y _4793_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4767_.Y _4794_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4768_.X _4769_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4768_.X _4770_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4769_.X _4771_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4769_.X _4787_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4769_.X _4788_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4770_.Y _4771_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4770_.Y _4787_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4770_.Y _4788_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4771_.X _4772_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4771_.X _4777_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4772_.Y _4778_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4772_.Y _4781_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _4772_.Y _4782_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4772_.Y _4805_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4772_.Y _4806_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4773_.X _4774_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4773_.X _4775_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4774_.X _4776_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4774_.X _4783_.A (0.001:0.001:0.001) (0.000:0.000:0.001))
+    (INTERCONNECT _4774_.X _4786_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4775_.Y _4776_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4776_.Y _4778_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4776_.Y _4805_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4776_.Y _4806_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4777_.X _4778_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4777_.X _4805_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4777_.X _4806_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4778_.Y _4781_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4778_.Y _4782_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4779_.X _4781_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4779_.X _4782_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4780_.Y _4781_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4780_.Y _4782_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4781_.X _4783_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4781_.X _4784_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4781_.X _4786_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4782_.Y _4783_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4782_.Y _4786_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4783_.Y _4784_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4783_.Y _4808_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4783_.Y _4837_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4784_.X _4785_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4784_.X _4839_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4785_.Y _4840_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4785_.Y _5030_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4786_.X _4808_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4786_.X _4837_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4787_.Y _4802_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4787_.Y _4803_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4788_.X _4802_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4788_.X _4803_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4789_.Y _4792_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4789_.Y ANTENNA__4792__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4790_.X _4791_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4790_.X _4822_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4790_.X _4827_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4791_.X _4792_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4791_.X _4804_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4791_.X _4810_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4792_.Y _4801_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4792_.Y _4812_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4792_.Y _4813_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4793_.Y _4799_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4793_.Y _4800_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4794_.X _4799_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4794_.X _4800_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4795_.Y _4798_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4795_.Y _4814_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4795_.Y _4815_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4796_.Y _4798_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4796_.Y _4814_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4796_.Y _4815_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4797_.X _4798_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4797_.X _4814_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4797_.X _4815_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4798_.Y _4799_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4798_.Y _4800_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4799_.X _4801_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4799_.X _4812_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4799_.X _4813_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4800_.Y _4801_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4800_.Y _4812_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4800_.Y _4813_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4801_.X _4802_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4801_.X _4803_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4802_.Y _4804_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4802_.Y _4807_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4802_.Y _4809_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4802_.Y _4810_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4803_.X _4804_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4803_.X _4810_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4804_.Y _4807_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4804_.Y _4809_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4804_.Y _4811_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4805_.X _4807_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4805_.X _4809_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4806_.Y _4807_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4806_.Y _4809_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4807_.Y _4808_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4807_.Y _4825_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4807_.Y _4835_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4807_.Y _4837_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4808_.X _4838_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4808_.X _5034_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4808_.X _5035_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4809_.X _4825_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4809_.X _4835_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4810_.X _4811_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4811_.Y _4825_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4811_.Y _4834_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4811_.Y _4835_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4811_.Y _5044_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4811_.Y _5045_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4812_.Y _4824_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4812_.Y _4826_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4813_.X _4824_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4813_.X _4826_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4814_.X _4820_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4814_.X _4821_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4815_.Y _4820_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4815_.Y _4821_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4816_.Y _4819_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4816_.Y _4828_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4816_.Y _4829_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4817_.Y _4819_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4817_.Y _4828_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4817_.Y _4829_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4818_.X _4819_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4818_.X _4828_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4818_.X _4829_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4819_.Y _4820_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4819_.Y _4821_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4820_.Y _4822_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4820_.Y _4823_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4820_.Y _4827_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4821_.X _4822_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4821_.X _4827_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4822_.Y _4823_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4822_.Y _4832_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4822_.Y _5053_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4823_.Y _4824_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4823_.Y _4826_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4824_.Y _4825_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4824_.Y _4833_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4824_.Y _4835_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4824_.Y _5044_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4824_.Y _5045_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4824_.Y _5050_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4825_.Y _4836_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4825_.Y _5039_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4825_.Y _5040_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4826_.X _4833_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4826_.X _5050_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4827_.X _4832_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4827_.X _5053_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4828_.X _4831_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4828_.X _5062_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4829_.Y _4831_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4829_.Y _5062_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4830_.X _4831_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4830_.X _5058_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4830_.X _5060_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4830_.X _5062_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4831_.X _4832_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4831_.X _5053_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _4831_.X _5063_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4832_.X _4833_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4832_.X _5050_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4832_.X _5055_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4832_.X _5070_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4833_.Y _4834_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4833_.Y _5044_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4833_.Y _5045_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4833_.Y _5052_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4833_.Y _5072_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _4834_.Y _4836_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4834_.Y _5039_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4834_.Y _5040_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4835_.X _4836_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4835_.X _5039_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4835_.X _5040_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4836_.X _4838_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4836_.X _5034_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4836_.X _5035_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4837_.Y _4838_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4837_.Y _5034_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4837_.Y _5035_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4838_.X _4840_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4838_.X _5030_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4839_.X _4840_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4840_.X _4841_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4840_.X _5139_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4841_.X _4842_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4841_.X _5081_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4842_.Y _5029_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4843_.X _4845_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4843_.X _5098_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4843_.X _5099_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4844_.Y _4845_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4845_.Y _4850_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4845_.Y _4851_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4846_.X _4849_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4846_.X _4880_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4846_.X _4881_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4847_.X _4849_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4847_.X _4880_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4848_.Y _4849_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4848_.Y _4880_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4848_.Y _4881_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4849_.X _4850_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4849_.X _4851_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4850_.Y _4866_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4850_.Y _4867_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4851_.X _4857_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4851_.X _4894_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4852_.X _4854_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4852_.X _4869_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4853_.X _4854_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4853_.X _4870_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4854_.X _4856_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4855_.Y _4856_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4856_.X _4857_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4856_.X _4894_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4857_.Y _4866_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4857_.Y _4867_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4858_.Y _4860_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4859_.X _4860_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4859_.X _5086_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4860_.Y _4862_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4860_.Y _5086_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4861_.Y _4862_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4862_.X _4865_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4862_.X _5092_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4863_.Y _4864_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4864_.X _4865_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4864_.X _5092_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4865_.X _4866_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4865_.X _4867_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4866_.X _4868_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4866_.X _5102_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4866_.X _5103_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4867_.Y _4868_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4868_.X _4877_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4868_.X _4878_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4869_.X _4870_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4870_.X _4872_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4870_.X _4873_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4871_.X _4872_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4871_.X _4873_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4872_.Y _4874_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4872_.Y _5085_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4873_.X _4874_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4873_.X _5085_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4874_.Y _4876_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4875_.Y _4876_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4875_.Y _5085_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4876_.Y _4877_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4876_.Y _4878_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4877_.X _4879_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4878_.Y _4879_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4878_.Y _5102_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4878_.Y _5103_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4879_.Y _4906_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4879_.Y _5083_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4880_.Y _4886_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4880_.Y _4887_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4881_.X _4886_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4881_.X _4887_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4882_.X _4885_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4882_.X _4915_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4882_.X _4916_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4883_.X _4885_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4883_.X _4915_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4884_.Y _4885_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4884_.Y _4915_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4884_.Y _4916_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4885_.X _4886_.C (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _4885_.X _4887_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _4886_.Y _4893_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4886_.Y _4895_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4886_.Y _4896_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4886_.Y _4909_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4886_.Y ANTENNA__4909__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4886_.Y ANTENNA__4896__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4886_.Y ANTENNA__4895__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4886_.Y ANTENNA__4893__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4887_.X _4893_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4887_.X _4909_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4888_.Y _4890_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4889_.X _4890_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4889_.X _4898_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4890_.Y _4892_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4890_.Y _4898_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4891_.Y _4892_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4892_.Y _4893_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4892_.Y _4909_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4893_.Y _4895_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4893_.Y _4896_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4893_.Y _4924_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4893_.Y _4925_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4894_.Y _4895_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4894_.Y _4896_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4895_.X _4897_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4895_.X _4905_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4896_.Y _4897_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4897_.Y _4905_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4897_.Y _4935_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4898_.X _4900_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4898_.X _4901_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4899_.X _4900_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4899_.X _4901_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4900_.Y _4902_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4900_.Y _4907_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4901_.X _4902_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4901_.X _4907_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4902_.Y _4904_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4903_.Y _4904_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4903_.Y _4907_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4904_.X _4905_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4904_.X _4935_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4905_.Y _4906_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4905_.Y _5083_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4906_.Y _4908_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4906_.Y _5084_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4907_.X _4908_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4907_.X _5084_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4908_.X _4941_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4908_.X _5109_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4909_.X _4924_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4909_.X _4925_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4910_.Y _4912_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4910_.Y _4926_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4911_.X _4912_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4911_.X _4926_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4912_.Y _4914_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4913_.Y _4914_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4913_.Y _4926_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4914_.Y _4923_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4914_.Y _4943_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4914_.Y _4944_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4915_.Y _4921_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4915_.Y _4922_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4916_.X _4921_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4916_.X _4922_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4917_.Y _4920_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4917_.Y _4951_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4917_.Y _4952_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4918_.Y _4920_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4918_.Y _4951_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4918_.Y _4952_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4919_.X _4920_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4919_.X _4951_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4919_.X _4952_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4920_.Y _4921_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4920_.Y _4922_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4921_.X _4923_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4921_.X _4943_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4921_.X _4944_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4922_.Y _4923_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4922_.Y _4943_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4922_.Y _4944_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4923_.X _4924_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4923_.X _4925_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4923_.X ANTENNA__4925__B1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4923_.X ANTENNA__4924__C.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4924_.X _4933_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4924_.X _4934_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4924_.X _4967_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4925_.Y _4933_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4925_.Y _4967_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4926_.Y _4928_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4926_.Y _4929_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4926_.Y ANTENNA__4929__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4926_.Y ANTENNA__4928__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4927_.X _4928_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4927_.X _4929_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4928_.Y _4930_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4928_.Y _4937_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4929_.X _4930_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4929_.X _4937_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4930_.Y _4932_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4931_.Y _4932_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4931_.Y _4937_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _4932_.Y _4933_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4932_.Y _4967_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4933_.Y _4934_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4933_.Y _4968_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4933_.Y _4969_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4934_.Y _4936_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4934_.Y _4938_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4935_.X _4936_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4935_.X _4938_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4936_.X _4940_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4936_.X _5109_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4937_.X _4939_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _4937_.X _4942_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _4938_.Y _4939_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4938_.Y _4942_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4939_.X _4940_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4939_.X _5109_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4940_.Y _4941_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4941_.Y _5028_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4941_.Y _5110_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4942_.Y _4972_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4942_.Y _5026_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4943_.Y _4960_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4943_.Y _4965_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4944_.X _4960_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4944_.X _4965_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4945_.X _4948_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4945_.X _4949_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4946_.Y _4948_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4946_.Y _4949_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4947_.X _4948_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4947_.X _4949_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4948_.Y _4950_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4949_.X _4950_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4949_.X _4962_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4949_.X _4963_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4949_.X ANTENNA__4963__C1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4949_.X ANTENNA__4962__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4949_.X ANTENNA__4950__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4950_.Y _4959_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4950_.Y _4974_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4950_.Y _4975_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4951_.X _4957_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4951_.X _4958_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4952_.Y _4957_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4952_.Y _4958_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4953_.X _4956_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4953_.X _4980_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4954_.X _4956_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4954_.X _4980_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4954_.X _4981_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4955_.Y _4956_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4955_.Y _4980_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4955_.Y _4981_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4956_.X _4957_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4956_.X _4958_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4957_.X _4959_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4957_.X _4974_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4957_.X _4975_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4958_.Y _4959_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4958_.Y _4974_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4958_.Y _4975_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4959_.X _4960_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4959_.X _4965_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4960_.Y _4966_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4960_.Y _4968_.A1 (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _4960_.Y _4969_.B1 (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _4960_.Y _4992_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4960_.Y _4993_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4960_.Y ANTENNA__4993__A1.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _4960_.Y ANTENNA__4992__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4960_.Y ANTENNA__4969__B1.DIODE (0.003:0.003:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _4960_.Y ANTENNA__4968__A1.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _4960_.Y ANTENNA__4966__A.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _4961_.X _4962_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4961_.X _4963_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4962_.X _4964_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4962_.X _4970_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4962_.X _4973_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4963_.Y _4964_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4964_.Y _4966_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4964_.Y _4992_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4964_.Y _4993_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4965_.X _4966_.C (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4965_.X _4992_.C (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4965_.X _4993_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4965_.X ANTENNA__4993__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4965_.X ANTENNA__4992__C.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4965_.X ANTENNA__4966__C.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4966_.Y _4968_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4966_.Y _4969_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4967_.X _4968_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4967_.X _4969_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4968_.X _4970_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4968_.X _4971_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4968_.X _4973_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4969_.Y _4970_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4969_.Y _4973_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4970_.Y _4971_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4970_.Y _4995_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4970_.Y _5024_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4971_.X _4972_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4971_.X _5026_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4972_.Y _5027_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4972_.Y _5031_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4973_.X _4995_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4973_.X _5024_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4974_.Y _4989_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4974_.Y _4990_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4975_.X _4989_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4975_.X _4990_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4976_.Y _4979_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4977_.X _4978_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4977_.X _5009_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4977_.X _5013_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _4977_.X _5014_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _4978_.X _4979_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4978_.X _4991_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4978_.X _4997_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4978_.X ANTENNA__4997__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4978_.X ANTENNA__4991__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _4978_.X ANTENNA__4979__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4979_.Y _4988_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4979_.Y _4999_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4979_.Y _5000_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4980_.Y _4986_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4980_.Y _4987_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4981_.X _4986_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4981_.X _4987_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4982_.Y _4985_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4982_.Y _5001_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4982_.Y _5002_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4983_.Y _4985_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4983_.Y _5001_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4983_.Y _5002_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4984_.X _4985_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4984_.X _5001_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4984_.X _5002_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4985_.Y _4986_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4985_.Y _4987_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4986_.X _4988_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4986_.X _4999_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4986_.X _5000_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4987_.Y _4988_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4987_.Y _4999_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4987_.Y _5000_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _4988_.X _4989_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4988_.X _4990_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4989_.Y _4991_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4989_.Y _4994_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4989_.Y _4996_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4989_.Y _4997_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4989_.Y ANTENNA__4997__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4989_.Y ANTENNA__4996__B1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4989_.Y ANTENNA__4994__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4989_.Y ANTENNA__4991__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _4990_.X _4991_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4990_.X _4997_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4990_.X ANTENNA__4997__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4990_.X ANTENNA__4991__C.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _4991_.Y _4994_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4991_.Y _4996_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4991_.Y _4998_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4991_.Y _5048_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4992_.X _4994_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4992_.X _4996_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4993_.Y _4994_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4993_.Y _4996_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4994_.Y _4995_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4994_.Y _5011_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4994_.Y _5022_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4994_.Y _5024_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4995_.X _5025_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4995_.X _5036_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4995_.X _5037_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4996_.X _5011_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4996_.X _5022_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4997_.X _4998_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4997_.X _5048_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4998_.Y _5011_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4998_.Y _5021_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4998_.Y _5022_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4998_.Y _5047_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4999_.Y _5010_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _4999_.Y _5012_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5000_.X _5010_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5000_.X _5012_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5001_.X _5007_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5001_.X _5008_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5002_.Y _5007_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5002_.Y _5008_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5003_.Y _5006_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5003_.Y _5015_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5003_.Y _5016_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5004_.Y _5006_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5004_.Y _5015_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5004_.Y _5016_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5005_.X _5006_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5005_.X _5015_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5005_.X _5016_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5006_.Y _5007_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5006_.Y _5008_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5007_.X _5009_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5007_.X _5013_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5007_.X _5014_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5008_.Y _5009_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5008_.Y _5013_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5008_.Y _5014_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5009_.X _5010_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5009_.X _5012_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5010_.Y _5011_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5010_.Y _5020_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5010_.Y _5022_.D (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5010_.Y _5046_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5010_.Y _5051_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5010_.Y ANTENNA__5051__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5010_.Y ANTENNA__5046__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5010_.Y ANTENNA__5022__D.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _5010_.Y ANTENNA__5020__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5010_.Y ANTENNA__5011__B2.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _5011_.Y _5023_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5011_.Y _5041_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5011_.Y _5042_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5012_.X _5020_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5012_.X _5051_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5012_.X ANTENNA__5051__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5012_.X ANTENNA__5020__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5013_.Y _5019_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5013_.Y _5054_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5014_.X _5019_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5014_.X _5054_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5015_.X _5018_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5015_.X _5064_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5016_.Y _5018_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5016_.Y _5064_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5017_.X _5018_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5017_.X _5058_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5017_.X _5060_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5017_.X _5064_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5018_.X _5019_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5018_.X _5054_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5018_.X _5065_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5019_.X _5020_.C (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5019_.X _5051_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5019_.X _5055_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5019_.X _5070_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5019_.X ANTENNA__5070__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5019_.X ANTENNA__5055__B2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5019_.X ANTENNA__5051__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5019_.X ANTENNA__5020__C.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5020_.Y _5021_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5020_.Y _5046_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5020_.Y _5052_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5020_.Y _5072_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5021_.Y _5023_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5021_.Y _5041_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5021_.Y _5042_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5022_.X _5023_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5022_.X _5041_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5022_.X _5042_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5023_.X _5025_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5023_.X _5036_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5023_.X _5037_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5024_.Y _5025_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5024_.Y _5036_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5024_.Y _5037_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5025_.X _5027_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5025_.X _5031_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5026_.X _5027_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5027_.X _5028_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5027_.X _5110_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5028_.Y _5029_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5028_.Y _5081_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5029_.Y _5142_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5029_.Y _5143_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5030_.X _5032_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5030_.X _5033_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5031_.X _5032_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5031_.X _5033_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5032_.X _5082_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5032_.X _5938_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5033_.X _5080_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5033_.X _5929_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5034_.X _5038_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5034_.X _5078_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5035_.Y _5038_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5035_.Y _5078_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5036_.Y _5038_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5036_.Y _5078_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5037_.X _5038_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5037_.X _5078_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5038_.X _5079_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5038_.X _5909_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5039_.X _5043_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5039_.X _5076_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5040_.Y _5043_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5040_.Y _5076_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5041_.Y _5043_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5041_.Y _5076_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5042_.X _5043_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5042_.X _5076_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5043_.X _5077_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5043_.X _5905_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5044_.X _5049_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5044_.X _5074_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5045_.Y _5049_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5045_.Y _5074_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5046_.X _5047_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5046_.X _5048_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5047_.X _5049_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5047_.X _5074_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5048_.X _5049_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5048_.X _5074_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5049_.Y _5075_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5049_.Y _5883_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5049_.Y _5884_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5050_.X _5052_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5050_.X _5072_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5051_.X _5052_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5051_.X _5072_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5052_.X _5073_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5052_.X _5879_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5053_.Y _5055_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5053_.Y _5070_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5054_.Y _5055_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5054_.Y _5070_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5055_.Y _5071_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5055_.Y _5860_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5055_.Y _5861_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5056_.Y _5058_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5056_.Y _5060_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5057_.Y _5058_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5057_.Y _5060_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5058_.Y _5061_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5058_.Y _5841_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5058_.Y _5842_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5059_.X _5061_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5059_.X _5839_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5059_.X _5841_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5059_.X _5842_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5060_.X _5061_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5060_.X _5841_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5060_.X _5842_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5061_.Y _5069_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5061_.Y _5852_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5062_.Y _5063_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5063_.X _5066_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5063_.X _5067_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5064_.Y _5065_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5065_.X _5066_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5065_.X _5067_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5066_.X _5068_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5066_.X _5069_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5067_.Y _5068_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5068_.Y _5069_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5068_.Y _5852_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5069_.Y _5071_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5069_.Y _5860_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5069_.Y _5861_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5070_.X _5071_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5070_.X _5860_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5070_.X _5861_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5071_.X _5073_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5071_.X _5880_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5072_.X _5073_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5072_.X _5879_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5073_.X _5075_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5073_.X _5883_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5073_.X _5884_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5074_.X _5075_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5074_.X _5883_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5074_.X _5884_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5075_.Y _5077_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5075_.Y _5906_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5076_.X _5077_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5076_.X _5905_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5077_.X _5079_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5077_.X _5910_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5078_.X _5079_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5078_.X _5909_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5079_.Y _5080_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5079_.Y _5929_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5080_.X _5082_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5080_.X _5930_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5080_.X _5938_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5081_.X _5082_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5081_.X _5939_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5082_.X _5142_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5082_.X _5143_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5083_.X _5106_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5083_.X _5107_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5084_.X _5106_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5084_.X _5107_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5085_.X _5105_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5085_.X _5329_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5086_.X _5088_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5086_.X _5347_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5087_.Y _5088_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5088_.Y _5089_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5088_.Y _5090_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5089_.X _5091_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5089_.X _5347_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5090_.Y _5091_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5091_.Y _5101_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5091_.Y _5330_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5092_.X _5098_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5092_.X _5099_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5093_.X _5095_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5093_.X _5334_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5094_.X _5095_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5095_.X _5097_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5095_.X _5334_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5096_.Y _5097_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5096_.Y _5334_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5097_.X _5098_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5097_.X _5099_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5098_.X _5100_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5098_.X _5344_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5098_.X _5345_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5099_.Y _5100_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5100_.Y _5101_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5100_.Y _5330_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5101_.Y _5102_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5101_.Y _5103_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5102_.Y _5104_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5102_.Y _5349_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5102_.Y _5350_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5103_.X _5104_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5104_.Y _5105_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5104_.Y _5329_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5105_.Y _5106_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5105_.Y _5107_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5106_.X _5108_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5106_.X _5352_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5107_.Y _5108_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5108_.Y _5111_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5108_.Y _5352_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5109_.Y _5110_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5110_.X _5111_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5110_.X _5352_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5111_.X _5141_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5111_.X _5328_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _5112_.Y _5113_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5113_.Y _5137_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5113_.Y _5376_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5114_.X _5136_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5114_.X _5373_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5115_.X _5116_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5116_.Y _5135_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5116_.Y _5372_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5117_.Y _5122_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5118_.X _5119_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5118_.X _5120_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5119_.Y _5121_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5120_.X _5121_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5120_.X _5370_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5121_.Y _5122_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5121_.Y _5370_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5122_.Y _5132_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5122_.Y _5133_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5123_.X _5129_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5123_.X _5130_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5124_.Y _5126_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5125_.X _5126_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5125_.X _5357_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5126_.Y _5128_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5126_.Y _5357_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5127_.Y _5128_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5128_.Y _5129_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5128_.Y _5130_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5129_.X _5131_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5129_.X _5366_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5129_.X _5367_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5130_.Y _5131_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5131_.Y _5132_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5131_.Y _5133_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5132_.X _5134_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5132_.X _5366_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5132_.X _5367_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5133_.Y _5134_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5134_.X _5135_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5134_.X _5372_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5134_.X ANTENNA__5372__A_N.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5134_.X ANTENNA__5135__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5135_.Y _5136_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5135_.Y _5373_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5136_.Y _5137_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5136_.Y _5376_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5137_.X _5140_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5137_.X _5377_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5138_.X _5139_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5139_.X _5140_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5139_.X _5377_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5140_.X _5141_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5140_.X _5328_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5141_.X _5142_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5141_.X _5143_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5142_.Y _5147_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5142_.Y _5380_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5142_.Y _5381_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5143_.X _5147_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5144_.X _5145_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5145_.X _5146_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5145_.X _5474_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5145_.X _5762_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5145_.X _5820_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _5145_.X _5826_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5145_.X _5849_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5145_.X _5919_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5146_.X _5147_.B1 (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _5146_.X _5383_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _5146_.X _5573_.A1 (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _5146_.X _5813_.C1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5146_.X _5837_.C1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5146_.X _5851_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5146_.X _5859_.A1 (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _5146_.X _5871_.A1 (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _5146_.X _5894_.A1 (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _5146_.X _5907_.A1 (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _5146_.X ANTENNA__5907__A1.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _5146_.X ANTENNA__5894__A1.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _5146_.X ANTENNA__5871__A1.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _5146_.X ANTENNA__5859__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _5146_.X ANTENNA__5851__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5146_.X ANTENNA__5837__C1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _5146_.X ANTENNA__5813__C1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _5146_.X ANTENNA__5573__A1.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _5146_.X ANTENNA__5383__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5146_.X ANTENNA__5147__B1.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _5147_.Y _5149_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5148_.Y _5149_.C1 (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _5148_.Y _5383_.C1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _5148_.Y _5706_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _5148_.Y _5782_.A (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _5148_.Y _5822_.C1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5148_.Y _5840_.C1 (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _5148_.Y _5881_.B1 (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _5148_.Y _5920_.B1 (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _5148_.Y _5940_.B1 (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _5148_.Y _5942_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5148_.Y ANTENNA__5942__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5148_.Y ANTENNA__5940__B1.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _5148_.Y ANTENNA__5920__B1.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _5148_.Y ANTENNA__5881__B1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _5148_.Y ANTENNA__5840__C1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _5148_.Y ANTENNA__5822__C1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5148_.Y ANTENNA__5782__A.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _5148_.Y ANTENNA__5706__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _5148_.Y ANTENNA__5383__C1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _5148_.Y ANTENNA__5149__C1.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _5149_.Y output22.A (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _5149_.Y ANTENNA_output22_A.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _5150_.X _5151_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5151_.X _5177_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5151_.X _5467_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5152_.X _5174_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5152_.X _5175_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5153_.X _5155_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5153_.X _5156_.C (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5153_.X _5215_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5153_.X _5453_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5153_.X _5549_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5153_.X _5591_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5153_.X _5592_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5153_.X _5654_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5153_.X _5655_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5153_.X _6043_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5154_.X _5155_.B2 (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _5154_.X _5156_.D (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _5154_.X _5160_.A1 (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _5154_.X _5331_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _5154_.X _5332_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _5154_.X _5410_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _5154_.X _5412_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _5154_.X _5456_.B (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _5154_.X _5457_.A2 (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _5154_.X _6006_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5154_.X ANTENNA__6006__A1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _5154_.X ANTENNA__5457__A2.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _5154_.X ANTENNA__5456__B.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _5154_.X ANTENNA__5412__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _5154_.X ANTENNA__5410__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _5154_.X ANTENNA__5332__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5154_.X ANTENNA__5331__B2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _5154_.X ANTENNA__5160__A1.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _5154_.X ANTENNA__5156__D.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _5154_.X ANTENNA__5155__B2.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _5155_.X _5158_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5155_.X _5450_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5156_.X _5157_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5156_.X _5454_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5156_.X _5595_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5157_.Y _5158_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5157_.Y _5450_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5158_.Y _5167_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5159_.X _5160_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5160_.X _5162_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5160_.X _5163_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5161_.X _5162_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5161_.X _5163_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5162_.Y _5164_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5162_.Y _5462_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5163_.X _5164_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5163_.X _5462_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5164_.Y _5166_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5165_.Y _5166_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5165_.Y _5462_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5166_.Y _5167_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5166_.Y _5450_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5167_.Y _5169_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5167_.Y _5170_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5168_.X _5169_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5168_.X _5170_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5169_.Y _5171_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5169_.Y _5464_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5169_.Y _5465_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5170_.X _5171_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5171_.X _5173_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5171_.X _5449_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5172_.X _5173_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5172_.X _5449_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5173_.Y _5174_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5173_.Y _5175_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5174_.X _5176_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5174_.X _5467_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5175_.Y _5176_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5176_.Y _5177_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5176_.Y _5467_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5177_.X _5204_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5177_.X _5206_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5178_.X _5192_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5178_.X _5193_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5179_.X _5189_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5179_.X _5190_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5180_.X _5181_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5180_.X _5433_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5180_.X _5581_.A2_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5181_.Y _5189_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5181_.Y _5190_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5182_.X _5183_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5183_.X _5184_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5183_.X _5185_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5184_.Y _5186_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5185_.X _5186_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5185_.X _5441_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5186_.Y _5188_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5186_.Y _5441_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5187_.Y _5188_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5188_.Y _5189_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5188_.Y _5190_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5189_.X _5191_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5189_.X _5438_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5189_.X _5439_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5190_.Y _5191_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5191_.Y _5192_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5191_.Y _5193_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5192_.Y _5194_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5192_.Y _5443_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5192_.Y _5444_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5193_.X _5194_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5194_.X _5196_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5194_.X _5429_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5195_.X _5196_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5195_.X _5429_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5196_.Y _5200_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5196_.Y _5446_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5197_.X _5199_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5198_.X _5199_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5199_.Y _5200_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5199_.Y _5446_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5200_.Y _5203_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5200_.Y _5447_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5201_.X _5202_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5202_.X _5203_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5202_.X _5447_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5203_.X _5204_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5203_.X _5206_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5204_.X _5205_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5204_.X _5472_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5204_.X _5473_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5204_.X _5575_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5205_.Y _5207_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5206_.X _5207_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5206_.X _5471_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5207_.X _5208_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5207_.X _5210_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5208_.Y _5211_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5209_.X _5210_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5209_.X _5428_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5210_.X _5211_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5211_.X _5383_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5212_.X _5264_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5212_.X _5266_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5212_.X ANTENNA__5266__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5212_.X ANTENNA__5264__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5213_.X _5234_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5213_.X _5235_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5214_.X _5226_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5214_.X _5227_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5215_.X _5223_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5215_.X _5224_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5216_.X _5217_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5216_.X _5550_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5216_.X _5658_.A2_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5217_.Y _5223_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5217_.Y _5224_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5218_.X _5220_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5218_.X _5558_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5219_.Y _5220_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5220_.Y _5222_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5220_.Y _5559_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5221_.Y _5222_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5222_.Y _5223_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5222_.Y _5224_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5223_.X _5225_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5223_.X _5555_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5223_.X _5556_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5224_.Y _5225_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5225_.Y _5226_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5225_.Y _5227_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5226_.Y _5228_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5226_.Y _5561_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5226_.Y _5562_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5227_.X _5228_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5228_.X _5230_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5228_.X _5547_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5229_.Y _5230_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5229_.Y _5547_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5230_.Y _5233_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5230_.Y _5546_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5231_.X _5232_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5232_.Y _5233_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5232_.Y _5546_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5233_.Y _5234_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5233_.Y _5235_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5234_.Y _5236_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5234_.Y _5564_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5234_.Y _5565_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5235_.X _5236_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5236_.Y _5263_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5236_.Y _5522_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5237_.X _5260_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5237_.X _5261_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5238_.X _5260_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5238_.X _5261_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5239_.X _5257_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5239_.X _5258_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5240_.X _5252_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5240_.X _5253_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5241_.X _5249_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5241_.X _5250_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5242_.X _5243_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5242_.X _5526_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5243_.Y _5249_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5243_.Y _5250_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5243_.Y _5527_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5244_.Y _5246_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5244_.Y _5537_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5245_.Y _5246_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5246_.Y _5248_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5246_.Y _5538_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5247_.Y _5248_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5248_.Y _5249_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5248_.Y _5250_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5249_.X _5251_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5249_.X _5533_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _5249_.X _5535_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5250_.Y _5251_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5251_.Y _5252_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5251_.Y _5253_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5252_.Y _5254_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5252_.Y _5540_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5252_.Y _5541_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5253_.X _5254_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5254_.X _5256_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5254_.X _5523_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5255_.Y _5256_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5255_.Y _5523_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5256_.Y _5257_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5256_.Y _5258_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5257_.X _5259_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5258_.Y _5259_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5258_.Y _5543_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5258_.Y _5544_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5259_.X _5260_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5259_.X _5261_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5260_.Y _5262_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5260_.Y _5543_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5260_.Y _5544_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5261_.X _5262_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5262_.X _5263_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5262_.X _5522_.B_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5263_.Y _5264_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _5263_.Y _5266_.A3 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _5263_.Y ANTENNA__5266__A3.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _5263_.Y ANTENNA__5264__B1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _5264_.Y _5327_.A1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5264_.Y _5568_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5264_.Y _5569_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5265_.X _5266_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5265_.X _5670_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5265_.X _5869_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5265_.X _5878_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5265_.X _5890_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5265_.X _5904_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5265_.X _5928_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5265_.X _5936_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _5265_.X ANTENNA__5936__B1.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _5265_.X ANTENNA__5928__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5265_.X ANTENNA__5904__B2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5265_.X ANTENNA__5890__B1.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _5265_.X ANTENNA__5878__A2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _5265_.X ANTENNA__5869__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _5265_.X ANTENNA__5670__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5265_.X ANTENNA__5266__B1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _5266_.X _5327_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5267_.X _5268_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5268_.X _5326_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5268_.X _5518_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5268_.X _5519_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5269_.X _5270_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5270_.X _5297_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5270_.X _5514_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5271_.X _5294_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5271_.X _5295_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5272_.X _5273_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5272_.X _5274_.C (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5272_.X _5331_.B1 (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _5272_.X _5407_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _5272_.X _5500_.A (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _5272_.X _5623_.B2 (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _5272_.X _5624_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5272_.X _5688_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _5272_.X _5689_.D (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _5272_.X _6079_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5272_.X ANTENNA__6079__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5272_.X ANTENNA__5689__D.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _5272_.X ANTENNA__5688__B1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _5272_.X ANTENNA__5624__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5272_.X ANTENNA__5623__B2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5272_.X ANTENNA__5500__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _5272_.X ANTENNA__5407__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _5272_.X ANTENNA__5331__B1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _5272_.X ANTENNA__5274__C.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5272_.X ANTENNA__5273__B1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5273_.X _5284_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5273_.X _5285_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5274_.X _5275_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5274_.X _5501_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5274_.X _5627_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5275_.Y _5284_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5275_.Y _5285_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5276_.X _5277_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5277_.X _5279_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5277_.X _5280_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5278_.X _5279_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5278_.X _5280_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5279_.Y _5281_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5279_.Y _5509_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5280_.X _5281_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5280_.X _5509_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5281_.Y _5283_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5282_.Y _5283_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5282_.Y _5509_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5283_.Y _5284_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5283_.Y _5285_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5284_.X _5286_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5284_.X _5506_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5284_.X _5507_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5285_.Y _5286_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5286_.Y _5289_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5286_.Y _5290_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5287_.Y _5288_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5288_.X _5289_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5288_.X _5290_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5289_.Y _5291_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5289_.Y _5511_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5289_.Y _5512_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5290_.X _5291_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5291_.X _5293_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5291_.X _5498_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5292_.X _5293_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5292_.X _5498_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5293_.Y _5294_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5293_.Y _5295_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5294_.X _5296_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5294_.X _5514_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5295_.Y _5296_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5296_.Y _5297_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5296_.Y _5514_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5297_.X _5323_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5297_.X _5324_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5298_.X _5314_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5298_.X _5315_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5299_.Y _5308_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5299_.Y _5486_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5300_.X _5303_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5300_.X _5304_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5301_.X _5302_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5301_.X _5483_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5301_.X _5484_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5302_.Y _5303_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5302_.Y _5304_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5303_.Y _5305_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5303_.Y _5487_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5304_.X _5305_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5304_.X _5483_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5304_.X _5484_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5304_.X _5487_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5305_.Y _5307_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5306_.X _5307_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5306_.X _5487_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5307_.Y _5308_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5307_.Y _5486_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5308_.Y _5310_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5308_.Y _5476_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5309_.X _5310_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5309_.X _5476_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5310_.X _5311_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5310_.X _5312_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5311_.Y _5313_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5312_.X _5313_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5312_.X _5476_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5313_.X _5314_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5313_.X _5315_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5314_.X _5317_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5314_.X _5318_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _5314_.X _5492_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5314_.X _5493_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5315_.Y _5317_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5315_.Y _5318_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5316_.X _5317_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5316_.X _5318_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5317_.X _5319_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5318_.Y _5319_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5318_.Y _5495_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5318_.Y _5496_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5319_.X _5322_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5319_.X _5475_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5320_.Y _5321_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5320_.Y _5475_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5321_.Y _5322_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5322_.X _5323_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5322_.X _5324_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5323_.X _5325_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5323_.X _5518_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5323_.X _5519_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5324_.Y _5325_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5324_.Y _5517_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5325_.Y _5326_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5326_.Y _5327_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5326_.Y ANTENNA__5327__B1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5327_.X _5383_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5328_.Y _5380_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5328_.Y _5381_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5329_.X _5349_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5329_.X _5350_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5330_.X _5344_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5330_.X _5345_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5331_.X _5341_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5331_.X _5342_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5332_.X _5333_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5332_.X _5408_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5332_.X _5693_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5333_.Y _5341_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5333_.Y _5342_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5334_.Y _5336_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5334_.Y _5337_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5335_.X _5336_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5335_.X _5337_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5336_.Y _5338_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5336_.Y _5417_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5337_.X _5338_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5337_.X _5417_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5338_.Y _5340_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5339_.Y _5340_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5339_.Y _5417_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5340_.Y _5341_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5340_.Y _5342_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5341_.X _5343_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5341_.X _5414_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5341_.X _5415_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5342_.Y _5343_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5343_.Y _5344_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _5343_.Y _5345_.C (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _5344_.Y _5346_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5344_.Y _5419_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5344_.Y _5420_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5345_.X _5346_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5346_.X _5348_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5346_.X _5405_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5347_.Y _5348_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5347_.Y _5405_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5348_.Y _5349_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5348_.Y _5350_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5349_.X _5351_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5349_.X _5422_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5350_.Y _5351_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5351_.Y _5353_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5351_.Y _5422_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5352_.X _5353_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5352_.X _5422_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5353_.X _5379_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5353_.X _5384_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5354_.X _5363_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5354_.X _5364_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5355_.X _5356_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5355_.X _5388_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5355_.X _5678_.A2_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5356_.Y _5363_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5356_.Y _5364_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5357_.X _5358_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5357_.X _5359_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5358_.Y _5360_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5359_.X _5360_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5359_.X _5397_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5360_.Y _5362_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5360_.Y _5397_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5361_.Y _5362_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5362_.Y _5363_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5362_.Y _5364_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5363_.X _5365_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5363_.X _5394_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5363_.X _5395_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5364_.Y _5365_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5365_.Y _5366_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5365_.Y _5367_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5366_.Y _5368_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5366_.Y _5399_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5366_.Y _5400_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5367_.X _5368_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5368_.X _5371_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5368_.X _5385_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5369_.X _5370_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5369_.X _5397_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5369_.X _5441_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _5369_.X _5577_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5369_.X _5578_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5369_.X _5673_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5369_.X _5674_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5369_.X _5745_.A (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _5369_.X _5763_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5369_.X _5975_.A0 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5369_.X ANTENNA__5975__A0.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5369_.X ANTENNA__5763__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5369_.X ANTENNA__5745__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5369_.X ANTENNA__5674__D.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5369_.X ANTENNA__5673__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5369_.X ANTENNA__5578__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5369_.X ANTENNA__5577__B2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5369_.X ANTENNA__5441__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5369_.X ANTENNA__5397__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5369_.X ANTENNA__5370__A2.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _5370_.Y _5371_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5370_.Y _5385_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5371_.Y _5375_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5371_.Y _5402_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5371_.Y ANTENNA__5402__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5371_.Y ANTENNA__5375__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5372_.X _5374_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5373_.X _5374_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5374_.Y _5375_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5374_.Y _5402_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5375_.Y _5378_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5375_.Y _5403_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5376_.X _5377_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5377_.X _5378_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5377_.X _5403_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5378_.X _5379_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5378_.X _5384_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5379_.Y _5380_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5379_.Y _5381_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5380_.Y _5382_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5380_.Y _5425_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5380_.Y _5426_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5380_.Y ANTENNA__5426__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5380_.Y ANTENNA__5425__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5380_.Y ANTENNA__5382__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5381_.X _5382_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5381_.X ANTENNA__5382__A2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5382_.X _5383_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5383_.Y output23.A (0.019:0.019:0.019) (0.018:0.018:0.018))
+    (INTERCONNECT _5383_.Y ANTENNA_output23_A.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018))
+    (INTERCONNECT _5384_.X _5425_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5384_.X _5426_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5385_.X _5399_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5385_.X _5400_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5386_.Y _5389_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5386_.Y _5678_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5387_.Y _5388_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5387_.Y _5763_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5388_.X _5389_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5388_.X _5678_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5389_.X _5390_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5389_.X _5392_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5390_.X _5393_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5390_.X _5675_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5390_.X _5676_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5391_.X _5392_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5391_.X _5611_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5391_.X _5612_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5391_.X _5613_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5391_.X _5673_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5391_.X _5674_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5391_.X _5710_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5391_.X _5763_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5391_.X _5796_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5391_.X _6063_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5392_.Y _5393_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5393_.Y _5394_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5393_.Y _5395_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5394_.Y _5396_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5395_.X _5396_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5395_.X _5682_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5395_.X _5683_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5396_.Y _5398_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5396_.Y _5672_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5397_.Y _5398_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5397_.Y _5672_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5398_.X _5399_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5398_.X _5400_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5399_.X _5401_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5400_.Y _5401_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5400_.Y _5685_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5401_.Y _5404_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5401_.Y _5685_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5402_.X _5403_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5403_.X _5404_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5403_.X _5685_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _5403_.X ANTENNA__5685__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _5403_.X ANTENNA__5404__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _5404_.Y _5424_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5404_.Y _5671_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5405_.X _5419_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5405_.X _5420_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5406_.Y _5409_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5406_.Y _5693_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5407_.Y _5408_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5407_.Y _5769_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5408_.X _5409_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5408_.X _5693_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5409_.X _5410_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5409_.X _5412_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5410_.X _5413_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5410_.X _5690_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5410_.X _5691_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5411_.X _5412_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5411_.X _5503_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5411_.X _5504_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5411_.X _5623_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5411_.X _5624_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5411_.X _5688_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5411_.X _5689_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5411_.X _5719_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5411_.X _5769_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5411_.X _6081_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5412_.Y _5413_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5413_.Y _5414_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5413_.Y _5415_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5414_.Y _5416_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5415_.X _5416_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5415_.X _5697_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5415_.X _5698_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5416_.Y _5418_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5416_.Y _5687_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5417_.X _5418_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5417_.X _5687_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5418_.X _5419_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5418_.X _5420_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5419_.X _5421_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5420_.Y _5421_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5420_.Y _5700_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5421_.Y _5423_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5421_.Y _5700_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5422_.X _5423_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5422_.X _5700_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5423_.Y _5424_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5423_.Y _5671_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5424_.X _5425_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5424_.X _5426_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5425_.X _5427_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5425_.X _5703_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5425_.X _5704_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5426_.Y _5427_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5427_.Y _5573_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5428_.X _5474_.A1 (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _5428_.X _5762_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5428_.X _5811_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _5428_.X _5833_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _5428_.X _5849_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _5428_.X _5876_.B1 (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _5428_.X _5898_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5428_.X _5916_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5428_.X _5922_.B1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _5428_.X _5935_.B1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _5428_.X ANTENNA__5935__B1.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _5428_.X ANTENNA__5922__B1.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _5428_.X ANTENNA__5916__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5428_.X ANTENNA__5898__A.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _5428_.X ANTENNA__5876__B1.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _5428_.X ANTENNA__5849__A2.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _5428_.X ANTENNA__5833__B.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _5428_.X ANTENNA__5811__B1.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _5428_.X ANTENNA__5762__B2.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _5428_.X ANTENNA__5474__A1.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+    (INTERCONNECT _5429_.X _5443_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5429_.X _5444_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5430_.X _5435_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _5430_.X _5436_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _5430_.X _5531_.B (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _5430_.X _5577_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _5430_.X _5578_.C (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _5430_.X _5640_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5430_.X _5641_.C (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5430_.X _5729_.B (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _5430_.X _5745_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _5430_.X _6027_.A0 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5430_.X ANTENNA__6027__A0.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _5430_.X ANTENNA__5745__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _5430_.X ANTENNA__5729__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5430_.X ANTENNA__5641__C.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5430_.X ANTENNA__5640__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5430_.X ANTENNA__5578__C.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _5430_.X ANTENNA__5577__A2.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _5430_.X ANTENNA__5531__B.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _5430_.X ANTENNA__5436__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _5430_.X ANTENNA__5435__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _5431_.Y _5434_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5431_.Y _5581_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5432_.Y _5433_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5432_.Y _5745_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5433_.X _5434_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5433_.X _5581_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5434_.X _5435_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5434_.X _5436_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5435_.Y _5437_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5435_.Y _5580_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5435_.Y _5744_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5436_.X _5437_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5437_.X _5438_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5437_.X _5439_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5438_.Y _5440_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5439_.X _5440_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5439_.X _5585_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5439_.X _5586_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5440_.Y _5442_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5440_.Y _5576_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5441_.Y _5442_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5441_.Y _5576_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5442_.X _5443_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5442_.X _5444_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5443_.X _5445_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5444_.Y _5445_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5444_.Y _5588_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5445_.Y _5448_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5445_.Y _5588_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5446_.X _5447_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5447_.X _5448_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5447_.X _5588_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5448_.Y _5469_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5448_.Y _5574_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5449_.X _5464_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5449_.X _5465_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5450_.X _5459_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5450_.X _5460_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5451_.X _5456_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5451_.X _5457_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5451_.X _5591_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5451_.X _5592_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5451_.X _5655_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5451_.X _5656_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5451_.X _5737_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5451_.X _5752_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5451_.X _5788_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5451_.X _6045_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5452_.Y _5455_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5452_.Y _5595_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5453_.Y _5454_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5453_.Y _5752_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5454_.X _5455_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5454_.X _5595_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5455_.X _5456_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5455_.X _5457_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5456_.Y _5458_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5456_.Y _5594_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5456_.Y _5751_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5457_.X _5458_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5458_.X _5459_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5458_.X _5460_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5459_.Y _5461_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5460_.X _5461_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5460_.X _5599_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5460_.X _5600_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5461_.Y _5463_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5461_.Y _5590_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5462_.X _5463_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5462_.X _5590_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5463_.X _5464_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5463_.X _5465_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5464_.X _5466_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5465_.Y _5466_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5465_.Y _5602_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5466_.Y _5468_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5466_.Y _5602_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5467_.X _5468_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5467_.X _5602_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5468_.Y _5469_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5468_.Y _5574_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5469_.X _5472_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5469_.X _5473_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5469_.X _5575_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5470_.Y _5471_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5471_.X _5472_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5471_.X _5473_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5471_.X _5575_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5472_.X _5474_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5473_.Y _5474_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5474_.Y _5571_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5475_.X _5495_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5475_.X _5496_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5476_.Y _5489_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5476_.Y _5490_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5477_.X _5478_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5477_.X _5479_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5477_.X _5710_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5477_.X _5796_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5478_.Y _5480_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5479_.X _5480_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5479_.X _5611_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5480_.Y _5482_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5480_.Y _5611_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5481_.Y _5482_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5482_.Y _5483_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5482_.Y _5484_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5483_.Y _5485_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5483_.Y _5616_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5483_.Y _5712_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5484_.X _5485_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5485_.Y _5488_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5485_.Y _5616_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5485_.Y _5709_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5486_.X _5487_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5487_.X _5488_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5487_.X _5616_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5487_.X _5709_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5488_.X _5489_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5488_.X _5490_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5489_.Y _5491_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5489_.Y _5618_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5489_.Y _5715_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5490_.X _5491_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5491_.X _5492_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5491_.X _5493_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5492_.Y _5494_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5493_.X _5494_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5493_.X _5619_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5493_.X _5620_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5494_.Y _5495_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5494_.Y _5496_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5495_.X _5497_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5495_.X _5619_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5495_.X _5620_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5496_.Y _5497_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5497_.X _5516_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5497_.X _5609_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5498_.X _5511_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5498_.X _5512_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5499_.Y _5502_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5499_.Y _5627_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5500_.Y _5501_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5500_.Y _5719_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5501_.X _5502_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5501_.X _5627_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5502_.X _5503_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5502_.X _5504_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5503_.Y _5505_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5503_.Y _5626_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5503_.Y _5718_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5504_.X _5505_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5505_.X _5506_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5505_.X _5507_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5506_.Y _5508_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5507_.X _5508_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5507_.X _5629_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5507_.X _5630_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5508_.Y _5510_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5508_.Y _5622_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5509_.X _5510_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5509_.X _5622_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5510_.X _5511_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5510_.X _5512_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5511_.X _5513_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5512_.Y _5513_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5512_.Y _5632_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5513_.Y _5515_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5513_.Y _5632_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5514_.X _5515_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5514_.X _5632_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5515_.Y _5516_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _5515_.Y _5610_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _5516_.Y _5519_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5516_.Y _5521_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5517_.Y _5518_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5517_.Y _5519_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5518_.X _5521_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5519_.X _5520_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5519_.X _5635_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5519_.X _5636_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5520_.Y _5521_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5521_.X _5571_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5522_.X _5568_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5522_.X _5569_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5522_.X ANTENNA__5569__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5522_.X ANTENNA__5568__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5523_.X _5540_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5523_.X _5541_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5524_.Y _5528_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5524_.Y _5529_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5525_.Y _5527_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5525_.Y _5729_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5526_.X _5527_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5526_.X _5644_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5526_.X _5645_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5527_.X _5528_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5527_.X _5529_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5528_.X _5530_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5529_.Y _5530_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5529_.Y _5644_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5529_.Y _5645_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5530_.Y _5531_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5530_.Y _5532_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5531_.Y _5533_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5531_.Y _5534_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5531_.Y _5643_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5531_.Y _5728_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5532_.X _5533_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5532_.X _5534_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5533_.X _5536_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5533_.X _5647_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5533_.X _5648_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5534_.X _5535_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5535_.Y _5536_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5536_.Y _5539_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5536_.Y _5639_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5537_.X _5538_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5538_.Y _5539_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5538_.Y _5639_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5539_.X _5540_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5539_.X _5541_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5540_.X _5542_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5541_.Y _5542_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5541_.Y _5650_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5541_.Y _5651_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5542_.X _5543_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5542_.X _5544_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5543_.Y _5545_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5543_.Y _5650_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5543_.Y _5651_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5544_.X _5545_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5545_.X _5567_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5545_.X _5638_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5546_.X _5564_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5546_.X _5565_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5547_.X _5561_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5547_.X _5562_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5548_.Y _5551_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5548_.Y _5658_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5549_.Y _5550_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5549_.Y _5737_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5550_.X _5551_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5550_.X _5658_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5551_.X _5552_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5551_.X _5553_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5552_.Y _5554_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5552_.Y _5657_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5552_.Y _5736_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5553_.X _5554_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5554_.X _5555_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5554_.X _5556_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5555_.Y _5557_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5556_.X _5557_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5556_.X _5660_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5556_.X _5661_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5557_.Y _5560_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5557_.Y _5653_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5558_.X _5559_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5559_.Y _5560_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5559_.Y _5653_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5560_.X _5561_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5560_.X _5562_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5561_.X _5563_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5562_.Y _5563_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5562_.Y _5663_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5562_.Y _5664_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5563_.X _5564_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5563_.X _5565_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5564_.Y _5566_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5564_.Y _5663_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5564_.Y _5664_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5565_.X _5566_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5566_.X _5567_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5566_.X _5638_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5567_.Y _5568_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5567_.Y _5569_.A3 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5567_.Y ANTENNA__5569__A3.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5567_.Y ANTENNA__5568__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5568_.Y _5570_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5568_.Y _5667_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5568_.Y _5668_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5569_.X _5570_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5570_.X _5571_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5571_.X _5573_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5572_.X _5573_.C1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _5572_.X _5827_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5572_.X _5851_.C1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _5572_.X _5859_.C1 (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _5572_.X _5871_.C1 (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _5572_.X _5894_.C1 (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _5572_.X _5907_.B1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _5572_.X _5931_.C1 (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _5572_.X _5960_.A_N (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5572_.X _5977_.A_N (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5572_.X ANTENNA__5977__A_N.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5572_.X ANTENNA__5960__A_N.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5572_.X ANTENNA__5931__C1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _5572_.X ANTENNA__5907__B1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _5572_.X ANTENNA__5894__C1.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _5572_.X ANTENNA__5871__C1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _5572_.X ANTENNA__5859__C1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _5572_.X ANTENNA__5851__C1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5572_.X ANTENNA__5827__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5572_.X ANTENNA__5573__C1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _5573_.X output24.A (0.013:0.013:0.013) (0.012:0.013:0.013))
+    (INTERCONNECT _5573_.X ANTENNA_output24_A.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+    (INTERCONNECT _5574_.Y _5575_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5575_.X _5605_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5575_.X _5606_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5575_.X _5760_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5576_.X _5585_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5576_.X _5586_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5577_.X _5579_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5578_.Y _5579_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5578_.Y _5807_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5579_.Y _5580_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5579_.Y _5744_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5580_.X _5582_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5580_.X _5583_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5581_.Y _5582_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _5581_.Y _5583_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5582_.X _5584_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5582_.X _5746_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5582_.X _5747_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5583_.Y _5584_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5584_.Y _5585_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5584_.Y _5586_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5585_.Y _5587_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5586_.X _5587_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5586_.X _5749_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5587_.Y _5589_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5587_.Y _5749_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5588_.X _5589_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5588_.X _5749_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5589_.X _5604_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5589_.X _5759_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5590_.X _5599_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5590_.X _5600_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5591_.X _5593_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5592_.Y _5593_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5592_.Y _5809_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5593_.Y _5594_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5593_.Y _5751_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5594_.X _5596_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5594_.X _5597_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5595_.Y _5596_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5595_.Y _5597_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5596_.X _5598_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5596_.X _5753_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5596_.X _5754_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5597_.Y _5598_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5598_.Y _5599_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5598_.Y _5600_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5599_.Y _5601_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5600_.X _5601_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5600_.X _5756_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5601_.Y _5603_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5601_.Y _5756_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5602_.X _5603_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5602_.X _5756_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5603_.X _5604_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5603_.X _5759_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5604_.X _5605_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5604_.X _5606_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5604_.X _5760_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5605_.X _5607_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5606_.Y _5607_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5607_.X _5707_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5608_.X _5637_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5608_.X _5824_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5608_.X _5865_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5608_.X _5872_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5608_.X _5893_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5608_.X _5904_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5609_.Y _5610_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5610_.Y _5635_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5610_.Y _5636_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5611_.X _5615_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5611_.X _5711_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5612_.Y _5614_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5613_.X _5614_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5614_.Y _5615_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5614_.Y _5711_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5615_.Y _5617_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _5615_.Y _5709_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5615_.Y _5712_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5616_.Y _5617_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5617_.Y _5618_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _5617_.Y _5715_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5618_.Y _5619_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5618_.Y _5620_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5619_.X _5621_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5620_.Y _5621_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5620_.Y _5715_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5621_.X _5634_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5621_.X _5708_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5622_.X _5629_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5622_.X _5630_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5623_.X _5625_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5624_.Y _5625_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5624_.Y _5800_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5625_.Y _5626_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5625_.Y _5718_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5626_.X _5628_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5626_.X _5717_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5627_.X _5628_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5627_.X _5717_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5628_.Y _5629_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5628_.Y _5630_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5629_.Y _5631_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5630_.X _5631_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5630_.X _5721_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5631_.Y _5633_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5631_.Y _5721_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5632_.X _5633_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5632_.X _5721_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5633_.X _5634_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5633_.X _5708_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5634_.Y _5635_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5634_.Y _5636_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5635_.X _5637_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5636_.Y _5637_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5636_.Y _5724_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5636_.Y _5725_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5637_.X _5707_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5638_.Y _5667_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5638_.Y _5668_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5638_.Y ANTENNA__5668__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5638_.Y ANTENNA__5667__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5639_.X _5647_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5639_.X _5648_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5640_.X _5642_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5641_.Y _5642_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5641_.Y _5786_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5642_.Y _5643_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5642_.Y _5728_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5643_.X _5644_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5643_.X _5645_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5644_.X _5646_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5644_.X _5730_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5644_.X _5731_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5645_.Y _5646_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5646_.Y _5647_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5646_.Y _5648_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5647_.X _5649_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5648_.Y _5649_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5648_.Y _5733_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5648_.Y _5785_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5649_.X _5650_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5649_.X _5651_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5650_.Y _5652_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5650_.Y _5733_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5650_.Y _5785_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5651_.X _5652_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5652_.X _5666_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5652_.X _5727_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _5653_.X _5660_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5653_.X _5661_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5654_.X _5656_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5654_.X _5788_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5655_.Y _5656_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5656_.X _5657_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5656_.X _5736_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5657_.X _5659_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5657_.X _5735_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5658_.X _5659_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5658_.X _5735_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5659_.Y _5660_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5659_.Y _5661_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5660_.X _5662_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5661_.Y _5662_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5661_.Y _5739_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5662_.X _5663_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5662_.X _5664_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5663_.Y _5665_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5663_.Y _5739_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5664_.X _5665_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5665_.X _5666_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5665_.X _5727_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5666_.X _5667_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5666_.X _5668_.C (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5666_.X ANTENNA__5668__C.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5666_.X ANTENNA__5667__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5667_.Y _5670_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5667_.Y _5742_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5667_.Y _5743_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _5667_.Y _5784_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5668_.X _5670_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5669_.X _5670_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5669_.X _5781_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _5669_.X _5858_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5669_.X _5881_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5669_.X _5882_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5669_.X _5908_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _5669_.X _5921_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _5669_.X _5930_.B1 (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _5669_.X _5933_.B1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _5669_.X _5940_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _5669_.X ANTENNA__5940__A1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _5669_.X ANTENNA__5933__B1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _5669_.X ANTENNA__5930__B1.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _5669_.X ANTENNA__5921__A1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _5669_.X ANTENNA__5908__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _5669_.X ANTENNA__5882__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5669_.X ANTENNA__5881__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5669_.X ANTENNA__5858__C1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5669_.X ANTENNA__5781__B2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5669_.X ANTENNA__5670__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5670_.X _5707_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5671_.Y _5703_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5671_.Y _5704_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5672_.X _5682_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5672_.X _5683_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5673_.X _5675_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5673_.X _5676_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5674_.Y _5675_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5674_.Y _5676_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5674_.Y _5815_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5675_.X _5677_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5675_.X _5764_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5675_.X _5765_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5676_.Y _5677_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5677_.Y _5679_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5677_.Y _5680_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5678_.Y _5679_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5678_.Y _5680_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5679_.X _5681_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5679_.X _5764_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5679_.X _5765_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5680_.Y _5681_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5681_.Y _5682_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5681_.Y _5683_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5682_.Y _5684_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5683_.X _5684_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5683_.X _5767_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5684_.Y _5686_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5684_.Y _5767_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5685_.X _5686_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5685_.X _5767_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5686_.X _5702_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5686_.X _5778_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5687_.X _5697_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5687_.X _5698_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5688_.X _5690_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5688_.X _5691_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5689_.Y _5690_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5689_.Y _5691_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5689_.Y _5817_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5690_.X _5692_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5690_.X _5770_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5690_.X _5771_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5691_.Y _5692_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5692_.Y _5694_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5692_.Y _5695_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5693_.Y _5694_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5693_.Y _5695_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5694_.X _5696_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5694_.X _5770_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5694_.X _5771_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5695_.Y _5696_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5696_.Y _5697_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5696_.Y _5698_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5697_.Y _5699_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5698_.X _5699_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5698_.X _5773_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5699_.Y _5701_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5699_.Y _5773_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5700_.X _5701_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5700_.X _5773_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5701_.X _5702_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5701_.X _5778_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5702_.X _5703_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5702_.X _5704_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5703_.X _5705_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5703_.X _5779_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5703_.X _5819_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5704_.Y _5705_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5705_.X _5706_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5706_.Y _5707_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5707_.X output25.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5707_.X ANTENNA_output25_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5708_.X _5724_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5708_.X _5725_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5709_.X _5714_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5709_.X _5797_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5710_.X _5713_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5711_.Y _5712_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5712_.Y _5713_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5712_.Y _5796_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5713_.Y _5714_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5713_.Y _5797_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5714_.Y _5716_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5714_.Y _5795_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5715_.Y _5716_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5715_.Y _5795_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5716_.Y _5723_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5716_.Y _5794_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5717_.X _5718_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5718_.Y _5720_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5718_.Y _5798_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5719_.X _5720_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5719_.X _5798_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5720_.Y _5722_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5720_.Y _5799_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5721_.Y _5722_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5721_.Y _5799_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5722_.X _5723_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5722_.X _5794_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5723_.X _5724_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5723_.X _5725_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5724_.X _5726_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5725_.Y _5726_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5725_.Y _5802_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5725_.Y _5803_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5726_.X _5781_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5727_.Y _5742_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _5727_.Y _5743_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _5727_.Y _5784_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _5727_.Y ANTENNA__5784__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _5727_.Y ANTENNA__5743__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5727_.Y ANTENNA__5742__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _5728_.Y _5730_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5728_.Y _5731_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5729_.X _5730_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5729_.X _5731_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5730_.Y _5732_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5730_.Y _5786_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5731_.X _5732_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5732_.Y _5734_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5732_.Y _5785_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5733_.Y _5734_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5734_.Y _5741_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5734_.Y _5783_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5735_.X _5736_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5736_.Y _5738_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5736_.Y _5788_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5737_.X _5738_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5738_.Y _5740_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5738_.Y _5787_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5739_.X _5740_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5739_.X _5787_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5740_.X _5741_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5740_.X _5783_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5741_.Y _5742_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5741_.Y _5743_.A3 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5741_.Y _5784_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5741_.Y ANTENNA__5784__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5741_.Y ANTENNA__5743__A3.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5741_.Y ANTENNA__5742__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5742_.Y _5762_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5743_.X _5762_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5744_.Y _5746_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5744_.Y _5747_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5745_.X _5746_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5745_.X _5747_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5746_.Y _5748_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5746_.Y _5807_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5747_.X _5748_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5748_.Y _5750_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5748_.Y _5806_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5749_.Y _5750_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5749_.Y _5806_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5750_.Y _5758_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5750_.Y _5804_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5751_.Y _5753_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5751_.Y _5754_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5752_.X _5753_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5752_.X _5754_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5753_.Y _5755_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5753_.Y _5809_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5754_.X _5755_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5755_.Y _5757_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5755_.Y _5808_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5756_.Y _5757_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5756_.Y _5808_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5757_.X _5758_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5757_.X _5804_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5758_.Y _5761_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5758_.Y _5805_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5759_.X _5760_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5760_.X _5761_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5760_.X _5805_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5761_.Y _5762_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5762_.X _5781_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5763_.X _5764_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5763_.X _5765_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5764_.Y _5766_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5764_.Y _5815_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5765_.X _5766_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5766_.X _5768_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5766_.X _5814_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5767_.X _5768_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5767_.X _5814_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5768_.Y _5775_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5768_.Y _5776_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5768_.Y ANTENNA__5776__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5768_.Y ANTENNA__5775__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5769_.X _5770_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5769_.X _5771_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5770_.Y _5772_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5770_.Y _5817_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5771_.X _5772_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5772_.X _5774_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5772_.X _5816_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5773_.X _5774_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5773_.X _5816_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5774_.Y _5775_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5774_.Y _5776_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5775_.Y _5777_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5775_.Y _5819_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5776_.Y _5777_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5776_.Y _5819_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5777_.X _5780_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5778_.X _5779_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5778_.X _5819_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5779_.Y _5780_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5780_.Y _5781_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5781_.X _5782_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5782_.Y output26.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5782_.Y ANTENNA_output26_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5783_.Y _5791_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5783_.Y _5792_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5783_.Y ANTENNA__5792__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5783_.Y ANTENNA__5791__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5784_.X _5791_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5784_.X _5792_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5785_.X _5786_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5786_.Y _5790_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _5786_.Y _5828_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _5786_.Y ANTENNA__5828__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5786_.Y ANTENNA__5790__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5787_.Y _5789_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5788_.X _5789_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5789_.X _5790_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _5789_.X _5828_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _5789_.X ANTENNA__5828__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5789_.X ANTENNA__5790__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5790_.Y _5791_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5790_.Y _5792_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5791_.X _5793_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5792_.Y _5793_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5792_.Y _5828_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5793_.X _5822_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5794_.X _5802_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5794_.X _5803_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5795_.X _5797_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5796_.Y _5797_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5797_.X _5801_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5797_.X _5823_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5798_.Y _5800_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5799_.X _5800_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5800_.X _5801_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5800_.X _5823_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5801_.X _5802_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5801_.X _5803_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5802_.X _5813_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5802_.X _5824_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5803_.Y _5813_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5804_.X _5805_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5805_.X _5811_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5805_.X _5812_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5806_.X _5807_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5807_.X _5810_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5807_.X _5829_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5808_.X _5809_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5809_.X _5810_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5809_.X _5829_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _5809_.X ANTENNA__5829__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5809_.X ANTENNA__5810__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _5810_.X _5811_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5810_.X _5812_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5811_.Y _5812_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5811_.Y _5829_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5812_.Y _5813_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5813_.X _5822_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5814_.Y _5815_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5815_.Y _5818_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5815_.Y _5825_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5815_.Y _5826_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5816_.Y _5817_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5817_.Y _5818_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5817_.Y _5825_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5817_.Y _5826_.A2 (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _5818_.Y _5820_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5818_.Y _5821_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5819_.X _5820_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5819_.X _5821_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5819_.X _5825_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5820_.Y _5821_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5821_.X _5822_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5822_.Y output27.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5822_.Y ANTENNA_output27_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5823_.X _5824_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5824_.X _5830_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5825_.X _5827_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5826_.X _5827_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5827_.X _5830_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5828_.X _5830_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5829_.Y _5830_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5830_.X _5831_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5830_.X ANTENNA__5831__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5831_.X output28.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5832_.X _5833_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5833_.X _5840_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5834_.Y _5837_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5835_.X _5836_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5836_.X _5837_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5837_.X _5840_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5838_.Y _5839_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5839_.X _5840_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5840_.Y output29.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _5840_.Y ANTENNA_output29_A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _5841_.X _5843_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5842_.Y _5843_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5843_.Y _5851_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5844_.Y _5845_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5845_.Y _5850_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5846_.Y _5849_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5847_.Y _5848_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5848_.Y _5849_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5849_.X _5850_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5850_.Y _5851_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5851_.X output30.A (0.027:0.027:0.027) (0.027:0.027:0.027))
+    (INTERCONNECT _5851_.X ANTENNA_output30_A.DIODE (0.027:0.027:0.027) (0.027:0.027:0.027))
+    (INTERCONNECT _5852_.X _5859_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5853_.X _5858_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5854_.Y _5858_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5855_.X _5857_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5856_.X _5857_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5857_.X _5858_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5858_.X _5859_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5859_.X output31.A (0.029:0.029:0.029) (0.028:0.028:0.028))
+    (INTERCONNECT _5859_.X ANTENNA_output31_A.DIODE (0.029:0.029:0.029) (0.028:0.028:0.028))
+    (INTERCONNECT _5860_.X _5862_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5861_.Y _5862_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5862_.Y _5871_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5863_.X _5864_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5864_.Y _5870_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5865_.Y _5866_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5866_.Y _5870_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5867_.X _5869_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5868_.Y _5869_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5869_.X _5870_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5870_.X _5871_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5871_.X output32.A (0.018:0.018:0.018) (0.018:0.018:0.018))
+    (INTERCONNECT _5871_.X ANTENNA_output32_A.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018))
+    (INTERCONNECT _5872_.Y _5873_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5873_.Y _5882_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5874_.X _5878_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5875_.Y _5878_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5876_.Y _5878_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5877_.X _5878_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5878_.X _5882_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5879_.X _5880_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5880_.Y _5881_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5881_.Y _5882_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5882_.X output33.A (0.018:0.018:0.018) (0.018:0.018:0.018))
+    (INTERCONNECT _5882_.X ANTENNA_output33_A.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018))
+    (INTERCONNECT _5883_.X _5885_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5884_.Y _5885_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5885_.Y _5894_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5886_.X _5893_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5887_.Y _5893_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5888_.Y _5892_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5889_.X _5892_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5890_.X _5892_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5891_.Y _5892_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5892_.X _5893_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5893_.X _5894_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5894_.X output17.A (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT _5894_.X ANTENNA_output17_A.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT _5895_.Y _5896_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5896_.Y _5897_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5897_.X _5898_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5897_.X ANTENNA__5898__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5898_.Y _5908_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5899_.X _5900_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5899_.X _5901_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5900_.X _5904_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5901_.X _5904_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5902_.Y _5903_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5903_.X _5904_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _5903_.X ANTENNA__5904__B1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _5904_.X _5908_.A3 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _5905_.X _5906_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5906_.Y _5907_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5907_.X _5908_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5908_.X output18.A (0.018:0.018:0.018) (0.017:0.017:0.017))
+    (INTERCONNECT _5908_.X ANTENNA_output18_A.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+    (INTERCONNECT _5909_.X _5910_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5910_.Y _5921_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5911_.Y _5912_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5912_.Y _5913_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5913_.Y _5916_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5913_.Y ANTENNA__5916__A2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5914_.Y _5916_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5915_.X _5916_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5916_.X _5920_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5917_.Y _5919_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5918_.X _5919_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5919_.X _5920_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5920_.Y _5921_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5921_.Y output19.A (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _5921_.Y ANTENNA_output19_A.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _5922_.Y _5923_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5923_.X _5931_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5923_.X ANTENNA__5931__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5924_.Y _5925_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5925_.X _5931_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5926_.Y _5928_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5927_.X _5928_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5928_.X _5931_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5929_.Y _5930_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5930_.Y _5931_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5931_.X output20.A (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT _5931_.X ANTENNA_output20_A.DIODE (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT _5932_.Y _5933_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5933_.Y _5941_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5934_.Y _5937_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5935_.X _5937_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5936_.Y _5937_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5937_.X _5941_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5937_.X ANTENNA__5941__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5938_.Y _5939_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5939_.Y _5940_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5940_.X _5941_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5941_.Y output21.A (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT _5941_.Y ANTENNA_output21_A.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT _5942_.X _5943_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5943_.X _5944_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5943_.X _5946_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5943_.X _5948_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5943_.X _5950_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5943_.X _5952_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5943_.X _5954_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5943_.X _5956_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5943_.X _5958_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5944_.X _5945_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5945_.X _6083_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5946_.X _5947_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5947_.X _6084_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5948_.X _5949_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5949_.X _6085_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5950_.X _5951_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5951_.X _6086_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5952_.X _5953_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5953_.X _6087_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5954_.X _5955_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5955_.X _6088_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5956_.X _5957_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5957_.X _6089_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5958_.X _5959_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5959_.X _6090_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5960_.X _5961_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5960_.X _5963_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5960_.X _5965_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5960_.X _5967_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5960_.X _5969_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5960_.X _5971_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5960_.X _5973_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5960_.X _5975_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5961_.X _5962_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5962_.X _6091_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5963_.X _5964_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5964_.X _6092_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5965_.X _5966_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5966_.X _6093_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5967_.X _5968_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5968_.X _6094_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5969_.X _5970_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5970_.X _6095_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5971_.X _5972_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5972_.X _6096_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5973_.X _5974_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5974_.X _6097_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5975_.X _5976_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5976_.X _6098_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5977_.X _5978_.S (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _5977_.X _5980_.S (0.015:0.015:0.015) (0.014:0.014:0.014))
+    (INTERCONNECT _5977_.X _5982_.S (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT _5977_.X _5984_.S (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _5977_.X _5986_.S (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _5977_.X _5988_.S (0.015:0.015:0.015) (0.014:0.014:0.014))
+    (INTERCONNECT _5977_.X _5990_.S (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT _5977_.X _5992_.S (0.016:0.016:0.016) (0.015:0.015:0.015))
+    (INTERCONNECT _5977_.X ANTENNA__5992__S.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015))
+    (INTERCONNECT _5977_.X ANTENNA__5990__S.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT _5977_.X ANTENNA__5988__S.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+    (INTERCONNECT _5977_.X ANTENNA__5986__S.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _5977_.X ANTENNA__5984__S.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT _5977_.X ANTENNA__5982__S.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT _5977_.X ANTENNA__5980__S.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+    (INTERCONNECT _5977_.X ANTENNA__5978__S.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+    (INTERCONNECT _5978_.X _5979_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5979_.X _6099_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5980_.X _5981_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5981_.X _6100_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5982_.X _5983_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5983_.X _6101_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5984_.X _5985_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5985_.X _6102_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5986_.X _5987_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5987_.X _6103_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5988_.X _5989_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5989_.X _6104_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5990_.X _5991_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5991_.X _6105_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5992_.X _5993_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5993_.X _6106_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5994_.X _5995_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5995_.X _5996_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5995_.X _5998_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5995_.X _6000_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5995_.X _6002_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5995_.X _6004_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5995_.X _6006_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5995_.X _6008_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _5995_.X _6010_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _5996_.X _5997_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5997_.X _6107_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5998_.X _5999_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _5999_.X _6108_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6000_.X _6001_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6001_.X _6109_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6002_.X _6003_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6003_.X _6110_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6004_.X _6005_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6005_.X _6111_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6006_.X _6007_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6007_.X _6112_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6008_.X _6009_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6009_.X _6113_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6010_.X _6011_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6011_.X _6114_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6012_.X _6013_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6012_.X _6015_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6012_.X _6017_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6012_.X _6019_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6012_.X _6021_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6012_.X _6023_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6012_.X _6025_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6012_.X _6027_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6013_.X _6014_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6014_.X _6115_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6015_.X _6016_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6016_.X _6116_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6017_.X _6018_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6018_.X _6117_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6019_.X _6020_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6020_.X _6118_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6021_.X _6022_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6022_.X _6119_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6023_.X _6024_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6024_.X _6120_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6025_.X _6026_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6026_.X _6121_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6027_.X _6028_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6028_.X _6122_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6029_.X _6030_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6030_.X _6031_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6030_.X _6033_.S (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _6030_.X _6035_.S (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _6030_.X _6037_.S (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _6030_.X _6039_.S (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6030_.X _6041_.S (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _6030_.X _6043_.S (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6030_.X _6045_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6030_.X ANTENNA__6045__S.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6030_.X ANTENNA__6043__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6030_.X ANTENNA__6041__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6030_.X ANTENNA__6039__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6030_.X ANTENNA__6037__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6030_.X ANTENNA__6035__S.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _6030_.X ANTENNA__6033__S.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _6030_.X ANTENNA__6031__S.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6031_.X _6032_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6032_.X _6123_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6033_.X _6034_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6034_.X _6124_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6035_.X _6036_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6036_.X _6125_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6037_.X _6038_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6038_.X _6126_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6039_.X _6040_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6040_.X _6127_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6041_.X _6042_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6042_.X _6128_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6043_.X _6044_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6044_.X _6129_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6045_.X _6046_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6046_.X _6130_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6047_.X _6048_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6048_.X _6049_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6048_.X _6051_.S (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _6048_.X _6053_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6048_.X _6055_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6048_.X _6057_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6048_.X _6059_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6048_.X _6061_.S (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _6048_.X _6063_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6049_.X _6050_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6050_.X _6131_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6051_.X _6052_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6052_.X _6132_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6053_.X _6054_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6054_.X _6133_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6055_.X _6056_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6056_.X _6134_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6057_.X _6058_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6058_.X _6135_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6059_.X _6060_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6060_.X _6136_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6061_.X _6062_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6062_.X _6137_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6063_.X _6064_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6064_.X _6138_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6065_.X _6066_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6066_.X _6067_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6066_.X _6069_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6066_.X _6071_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6066_.X _6073_.S (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6066_.X _6075_.S (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6066_.X _6077_.S (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6066_.X _6079_.S (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6066_.X _6081_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6066_.X ANTENNA__6081__S.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6066_.X ANTENNA__6079__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6066_.X ANTENNA__6077__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6066_.X ANTENNA__6075__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6066_.X ANTENNA__6073__S.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _6066_.X ANTENNA__6071__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6066_.X ANTENNA__6069__S.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6066_.X ANTENNA__6067__S.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6067_.X _6068_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6068_.X _6139_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6069_.X _6070_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6070_.X _6140_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6071_.X _6072_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6072_.X _6141_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6073_.X _6074_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6074_.X _6142_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6075_.X _6076_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6076_.X _6143_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6077_.X _6078_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6078_.X _6144_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6079_.X _6080_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6080_.X _6145_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6081_.X _6082_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6082_.X _6146_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6083_.Q _3162_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6083_.Q _3641_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6083_.Q _3658_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6083_.Q _3659_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6083_.Q _3684_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6084_.Q _3128_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6084_.Q _3628_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6084_.Q _3631_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6084_.Q _3641_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6084_.Q _3658_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6084_.Q _3659_.D (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _6084_.Q _3684_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6085_.Q _3066_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6085_.Q _3145_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6085_.Q _3178_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6085_.Q _3628_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6085_.Q ANTENNA__3628__D.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6085_.Q ANTENNA__3178__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6085_.Q ANTENNA__3145__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6085_.Q ANTENNA__3066__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6086_.Q _3059_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6086_.Q _3148_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6086_.Q _3149_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6086_.Q _3180_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6086_.Q _3203_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6086_.Q _3204_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6087_.Q _3056_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6087_.Q _3147_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6087_.Q _3149_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6088_.Q _3041_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6088_.Q _3045_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6088_.Q _3092_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6088_.Q _3117_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6088_.Q _3152_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6088_.Q _3608_.A (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _6088_.Q _3676_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _6088_.Q ANTENNA__3676__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _6088_.Q ANTENNA__3608__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _6088_.Q ANTENNA__3152__B2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6088_.Q ANTENNA__3117__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6088_.Q ANTENNA__3092__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6088_.Q ANTENNA__3045__A.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _6088_.Q ANTENNA__3041__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6089_.Q _3037_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6089_.Q _3039_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6089_.Q _3047_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6089_.Q _3048_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6089_.Q _3117_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6090_.Q _3037_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6090_.Q _3039_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6090_.Q _3047_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6090_.Q _3049_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6091_.Q _4164_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6091_.Q _4237_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6091_.Q _4240_.C (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6091_.Q _4663_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6091_.Q _4738_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6091_.Q _4739_.C (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6091_.Q ANTENNA__4739__C.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _6091_.Q ANTENNA__4738__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6091_.Q ANTENNA__4663__A2.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _6091_.Q ANTENNA__4240__C.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6091_.Q ANTENNA__4237__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6091_.Q ANTENNA__4164__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6092_.Q _4153_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6092_.Q _4238_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6092_.Q _4240_.D (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6092_.Q _4274_.C (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6092_.Q _4663_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6092_.Q _4738_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6092_.Q _4739_.D (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6092_.Q _4766_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6092_.Q ANTENNA__4766__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6092_.Q ANTENNA__4739__D.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6092_.Q ANTENNA__4738__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6092_.Q ANTENNA__4663__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6092_.Q ANTENNA__4274__C.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6092_.Q ANTENNA__4240__D.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6092_.Q ANTENNA__4238__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6092_.Q ANTENNA__4153__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6093_.Q _4150_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _6093_.Q _4660_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6093_.Q _4675_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6093_.Q _4737_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6093_.Q _4765_.B (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _6093_.Q ANTENNA__4765__B.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT _6093_.Q ANTENNA__4737__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6093_.Q ANTENNA__4675__D.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6093_.Q ANTENNA__4660__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6093_.Q ANTENNA__4150__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6094_.Q _4128_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6094_.Q _4261_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6094_.Q _4724_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6094_.Q _4757_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6094_.Q ANTENNA__4757__B2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6094_.Q ANTENNA__4724__D.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6094_.Q ANTENNA__4261__D.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6094_.Q ANTENNA__4128__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6095_.Q _4126_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6095_.Q _4698_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6096_.Q _4125_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6096_.Q _4229_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6096_.Q _4262_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6096_.Q _4672_.A (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _6096_.Q _4683_.B (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _6096_.Q _4689_.A1 (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _6096_.Q _4701_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6096_.Q _4709_.A2 (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _6096_.Q _4723_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _6096_.Q _4759_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _6096_.Q ANTENNA__4759__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _6096_.Q ANTENNA__4723__A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _6096_.Q ANTENNA__4709__A2.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _6096_.Q ANTENNA__4701__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6096_.Q ANTENNA__4689__A1.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _6096_.Q ANTENNA__4683__B.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _6096_.Q ANTENNA__4672__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _6096_.Q ANTENNA__4262__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6096_.Q ANTENNA__4229__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6096_.Q ANTENNA__4125__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6097_.Q _4122_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6097_.Q _4710_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6097_.Q _4726_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6097_.Q ANTENNA__4726__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6097_.Q ANTENNA__4710__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6097_.Q ANTENNA__4122__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6098_.Q _4136_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6098_.Q _4200_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6098_.Q _4250_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6098_.Q _4694_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6098_.Q _4714_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6098_.Q _4730_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6098_.Q ANTENNA__4730__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6098_.Q ANTENNA__4714__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6098_.Q ANTENNA__4694__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6098_.Q ANTENNA__4250__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6098_.Q ANTENNA__4200__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6098_.Q ANTENNA__4136__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6099_.Q _3294_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6099_.Q _3372_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6099_.Q _3374_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6099_.Q _3847_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6099_.Q _3864_.A2 (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _6099_.Q _3865_.C (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6099_.Q ANTENNA__3865__C.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _6099_.Q ANTENNA__3864__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6099_.Q ANTENNA__3847__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6099_.Q ANTENNA__3374__C.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6099_.Q ANTENNA__3372__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6099_.Q ANTENNA__3294__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6100_.Q _3295_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6100_.Q _3301_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6100_.Q _3302_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6100_.Q _3374_.D (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6100_.Q _3834_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6100_.Q _3865_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6100_.Q _3890_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6100_.Q ANTENNA__3890__D.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6100_.Q ANTENNA__3865__D.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6100_.Q ANTENNA__3834__C.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6100_.Q ANTENNA__3374__D.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6100_.Q ANTENNA__3302__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6100_.Q ANTENNA__3301__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6100_.Q ANTENNA__3295__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6101_.Q _3292_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6101_.Q _3300_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6101_.Q _3834_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6102_.Q _3281_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6102_.Q _3282_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6102_.Q _3313_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6102_.Q _3355_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6103_.Q _3281_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6103_.Q _3282_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6103_.Q _3314_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6103_.Q _3356_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6103_.Q _3359_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6104_.Q _3279_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6104_.Q _3308_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _6104_.Q _3378_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _6104_.Q _3396_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6104_.Q _3434_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6104_.Q _3800_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6104_.Q _3812_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6104_.Q _3825_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6104_.Q _3882_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6104_.Q ANTENNA__3882__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6104_.Q ANTENNA__3825__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6104_.Q ANTENNA__3812__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6104_.Q ANTENNA__3800__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6104_.Q ANTENNA__3434__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6104_.Q ANTENNA__3396__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6104_.Q ANTENNA__3378__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _6104_.Q ANTENNA__3308__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _6104_.Q ANTENNA__3279__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6105_.Q _3274_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6105_.Q _3362_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6105_.Q _3400_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6105_.Q _3806_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6105_.Q _3819_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6105_.Q ANTENNA__3819__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6105_.Q ANTENNA__3806__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6105_.Q ANTENNA__3400__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6105_.Q ANTENNA__3362__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6105_.Q ANTENNA__3274__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6106_.Q _3289_.A (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT _6106_.Q _3328_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6106_.Q _3366_.A (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT _6106_.Q _3404_.A (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT _6106_.Q _3823_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6106_.Q _3871_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6106_.Q _3971_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6106_.Q _5221_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6106_.Q _5548_.B (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _6106_.Q ANTENNA__5548__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6106_.Q ANTENNA__5221__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6106_.Q ANTENNA__3971__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6106_.Q ANTENNA__3871__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6106_.Q ANTENNA__3823__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6106_.Q ANTENNA__3404__A.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015))
+    (INTERCONNECT _6106_.Q ANTENNA__3366__A.DIODE (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT _6106_.Q ANTENNA__3328__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6106_.Q ANTENNA__3289__A.DIODE (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT _6107_.Q _4372_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6107_.Q _4471_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6107_.Q _4506_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6107_.Q _4882_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6107_.Q _4918_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6107_.Q _4919_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6108_.Q _4365_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6108_.Q _4441_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6108_.Q _4471_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6108_.Q _4506_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6108_.Q _4882_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6108_.Q _4918_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6108_.Q _4919_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6108_.Q _4954_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6109_.Q _4366_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6109_.Q _4469_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6109_.Q _4883_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6109_.Q _4917_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6109_.Q _4953_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6110_.Q _4355_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6110_.Q _4401_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6110_.Q _4493_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6110_.Q _4911_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6111_.Q _4356_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6111_.Q _4358_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6111_.Q _4493_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6111_.Q _4852_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6111_.Q _4910_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6111_.Q _4911_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6111_.Q _4945_.A1 (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _6111_.Q _4946_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6112_.Q _4354_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6112_.Q _4386_.A (0.011:0.011:0.011) (0.010:0.010:0.010))
+    (INTERCONNECT _6112_.Q _4462_.A (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _6112_.Q _4494_.A (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _6112_.Q _4855_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6112_.Q _4861_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6112_.Q _4891_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6112_.Q _4913_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6112_.Q _4947_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6112_.Q ANTENNA__4947__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT _6112_.Q ANTENNA__4913__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6112_.Q ANTENNA__4891__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6112_.Q ANTENNA__4861__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6112_.Q ANTENNA__4855__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6112_.Q ANTENNA__4494__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _6112_.Q ANTENNA__4462__A.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+    (INTERCONNECT _6112_.Q ANTENNA__4386__A.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT _6112_.Q ANTENNA__4354__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6113_.Q _4352_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6113_.Q _4361_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6113_.Q _4427_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6113_.Q _4478_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6113_.Q _4871_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _6113_.Q _4899_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _6113_.Q _4927_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _6113_.Q _4962_.B1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _6113_.Q _4963_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _6113_.Q _5335_.A (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _6113_.Q ANTENNA__5335__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _6113_.Q ANTENNA__4963__A1.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _6113_.Q ANTENNA__4962__B1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _6113_.Q ANTENNA__4927__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _6113_.Q ANTENNA__4899__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _6113_.Q ANTENNA__4871__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _6113_.Q ANTENNA__4478__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _6113_.Q ANTENNA__4427__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6113_.Q ANTENNA__4361__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _6113_.Q ANTENNA__4352__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6114_.Q _4363_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6114_.Q _4393_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6114_.Q _4903_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6114_.Q _4931_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6114_.Q ANTENNA__4931__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6114_.Q ANTENNA__4903__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6114_.Q ANTENNA__4393__A.DIODE (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _6114_.Q ANTENNA__4363__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6115_.Q _3590_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6115_.Q _3676_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6115_.Q _3707_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6115_.Q _3708_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6115_.Q _4262_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6115_.Q _4292_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6115_.Q _4293_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6115_.Q _4334_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6116_.Q _3593_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6116_.Q _4261_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6117_.Q _3596_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6117_.Q _4261_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6118_.Q _3597_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6118_.Q _3611_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6118_.Q _4274_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6119_.Q _3609_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6119_.Q _3659_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6119_.Q _4240_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6119_.Q _4274_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6120_.Q _3621_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6120_.Q _4240_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6121_.Q _3627_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6121_.Q _3628_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6121_.Q _3631_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6121_.Q _3632_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6121_.Q _3641_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6121_.Q _3642_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6121_.Q _3772_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6121_.Q _3773_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6121_.Q _4161_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6121_.Q _4210_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6122_.Q _3626_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6122_.Q _3628_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6123_.Q _3796_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6123_.Q _3882_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6123_.Q _3913_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6123_.Q _3914_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6123_.Q _4494_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6123_.Q _4524_.A2 (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _6123_.Q _4525_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6123_.Q _4566_.B (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT _6123_.Q ANTENNA__4566__B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _6123_.Q ANTENNA__4525__B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _6123_.Q ANTENNA__4524__A2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _6123_.Q ANTENNA__4494__B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT _6123_.Q ANTENNA__3914__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6123_.Q ANTENNA__3913__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6123_.Q ANTENNA__3882__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6123_.Q ANTENNA__3796__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6124_.Q _3798_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6124_.Q _4493_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6125_.Q _3802_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6125_.Q _3811_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6125_.Q _4493_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6126_.Q _3801_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6126_.Q _3815_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6126_.Q _3890_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6126_.Q _4506_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6127_.Q _3813_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6127_.Q _3865_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6127_.Q _4471_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6127_.Q _4506_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6128_.Q _3826_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6128_.Q _3827_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6128_.Q _3836_.A (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _6128_.Q _3847_.B2 (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _6128_.Q _3848_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6128_.Q _3864_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6128_.Q _3865_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6128_.Q _4442_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6128_.Q _4470_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6128_.Q _4471_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6128_.Q ANTENNA__4471__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6128_.Q ANTENNA__4470__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6128_.Q ANTENNA__4442__B2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6128_.Q ANTENNA__3865__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6128_.Q ANTENNA__3864__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6128_.Q ANTENNA__3848__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6128_.Q ANTENNA__3847__B2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6128_.Q ANTENNA__3836__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6128_.Q ANTENNA__3827__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6128_.Q ANTENNA__3826__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6129_.Q _3832_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6129_.Q _3834_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _6129_.Q _3837_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6129_.Q _3847_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6129_.Q _3848_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6130_.Q _3831_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6130_.Q _3834_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6130_.Q _3837_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6131_.Q _3094_.A2 (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _6131_.Q _3095_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT _6131_.Q _3117_.C (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _6131_.Q _3152_.A2 (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _6131_.Q _3203_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _6131_.Q _3204_.C (0.008:0.008:0.008) (0.008:0.008:0.008))
+    (INTERCONNECT _6131_.Q _3224_.B (0.008:0.008:0.008) (0.007:0.007:0.007))
+    (INTERCONNECT _6131_.Q _4759_.B (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _6131_.Q _4790_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _6131_.Q ANTENNA__4790__A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _6131_.Q ANTENNA__4759__B.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _6131_.Q ANTENNA__3224__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6131_.Q ANTENNA__3204__C.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _6131_.Q ANTENNA__3203__A2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _6131_.Q ANTENNA__3152__A2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _6131_.Q ANTENNA__3117__C.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _6131_.Q ANTENNA__3095__A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+    (INTERCONNECT _6131_.Q ANTENNA__3094__A2.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+    (INTERCONNECT _6132_.Q _3035_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6132_.Q _3180_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6132_.Q _4757_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6133_.Q _3036_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6133_.Q _3038_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6133_.Q _3149_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6133_.Q _4724_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6134_.Q _3040_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6134_.Q _3146_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6135_.Q _3044_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6135_.Q _3073_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6135_.Q _4738_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6135_.Q _4739_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6135_.Q _4766_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6136_.Q _3054_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6136_.Q _4663_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6136_.Q _4739_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6137_.Q _3058_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6137_.Q _3063_.C (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6137_.Q _4658_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6137_.Q _4659_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6137_.Q _4663_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6137_.Q _4665_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6137_.Q _4675_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6137_.Q _4676_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6137_.Q _4697_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6137_.Q _4698_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6137_.Q ANTENNA__4698__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6137_.Q ANTENNA__4697__B2.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT _6137_.Q ANTENNA__4676__B2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6137_.Q ANTENNA__4675__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6137_.Q ANTENNA__4665__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6137_.Q ANTENNA__4663__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6137_.Q ANTENNA__4659__B2.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT _6137_.Q ANTENNA__4658__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT _6137_.Q ANTENNA__3063__C.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6137_.Q ANTENNA__3058__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT _6138_.Q _3065_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6138_.Q _3081_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6138_.Q _3082_.D (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6138_.Q _4658_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6138_.Q _4659_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6138_.Q _4675_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6138_.Q _4676_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6138_.Q _4704_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6138_.Q _5124_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6138_.Q _5125_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6138_.Q ANTENNA__5125__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6138_.Q ANTENNA__5124__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6138_.Q ANTENNA__4704__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6138_.Q ANTENNA__4676__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6138_.Q ANTENNA__4675__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6138_.Q ANTENNA__4659__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6138_.Q ANTENNA__4658__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6138_.Q ANTENNA__3082__D.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT _6138_.Q ANTENNA__3081__B2.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT _6138_.Q ANTENNA__3065__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT _6139_.Q _3403_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6139_.Q _3434_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6139_.Q _3466_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6139_.Q _3492_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6139_.Q _4947_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6139_.Q _4977_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6140_.Q _3364_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6140_.Q _3432_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6140_.Q _3433_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6140_.Q _3470_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6140_.Q _3496_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6140_.Q _4913_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _6140_.Q _4945_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6140_.Q _4946_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6140_.Q _4982_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _6140_.Q _5005_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6141_.Q _3284_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6141_.Q _4911_.D (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _6141_.Q _4945_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6141_.Q _4953_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6142_.Q _3277_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6142_.Q _3358_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6143_.Q _3280_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6143_.Q _4919_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6144_.Q _3281_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6144_.Q _3282_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6144_.Q _3291_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6144_.Q _3374_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6144_.Q _3414_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6144_.Q _4882_.B2 (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _6144_.Q _4884_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6144_.Q _4918_.A1 (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT _6144_.Q _4919_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6145_.Q _3296_.B2 (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT _6145_.Q _3297_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6145_.Q _3301_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6145_.Q _3303_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6145_.Q _3374_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6145_.Q _4882_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6145_.Q _4884_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT _6146_.Q _3296_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6146_.Q _3297_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT _6146_.Q _3299_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_196.HI io_oeb[23] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_197.HI io_oeb[24] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_198.HI io_oeb[25] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_199.HI io_oeb[26] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_200.HI io_oeb[27] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_201.HI io_oeb[28] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_202.HI io_oeb[29] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_203.HI io_oeb[30] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_204.HI io_oeb[31] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_205.HI io_oeb[32] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_206.HI io_oeb[33] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_207.HI io_oeb[34] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_208.HI io_oeb[35] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_209.HI io_oeb[36] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_210.HI io_oeb[37] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_53.LO io_oeb[1] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_54.LO io_oeb[2] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_55.LO io_oeb[3] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_56.LO io_oeb[4] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_57.LO io_oeb[5] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_58.LO io_oeb[6] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_59.LO io_oeb[7] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_60.LO io_oeb[8] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_61.LO io_oeb[9] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_62.LO io_oeb[10] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_63.LO io_oeb[11] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_64.LO io_oeb[12] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_65.LO io_oeb[13] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_66.LO io_oeb[14] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_67.LO io_oeb[15] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_68.LO io_oeb[16] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_69.LO io_oeb[17] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_70.LO io_oeb[18] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_71.LO io_oeb[19] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_72.LO io_oeb[20] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_73.LO io_oeb[21] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_74.LO io_out[0] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_75.LO io_out[1] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_76.LO io_out[2] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_77.LO io_out[3] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_78.LO io_out[4] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_79.LO io_out[22] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_80.LO io_out[23] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_81.LO io_out[24] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_82.LO io_out[25] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_83.LO io_out[26] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_84.LO io_out[27] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_85.LO io_out[28] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_86.LO io_out[29] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_87.LO io_out[30] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_88.LO io_out[31] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_89.LO io_out[32] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_90.LO io_out[33] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_91.LO io_out[34] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_92.LO io_out[35] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_93.LO io_out[36] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_94.LO io_out[37] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_95.LO la_data_out[0] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_96.LO la_data_out[1] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_97.LO la_data_out[2] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_98.LO la_data_out[3] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_99.LO la_data_out[4] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_100.LO la_data_out[5] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_101.LO la_data_out[6] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_102.LO la_data_out[7] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_103.LO la_data_out[8] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_104.LO la_data_out[9] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_105.LO la_data_out[10] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_106.LO la_data_out[11] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_107.LO la_data_out[12] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_108.LO la_data_out[13] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_109.LO la_data_out[14] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_110.LO la_data_out[15] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_111.LO la_data_out[16] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_112.LO la_data_out[17] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_113.LO la_data_out[18] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_114.LO la_data_out[19] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_115.LO la_data_out[20] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_116.LO la_data_out[21] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_117.LO la_data_out[22] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_118.LO la_data_out[23] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_119.LO la_data_out[24] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_120.LO la_data_out[25] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_121.LO la_data_out[26] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_122.LO la_data_out[27] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_123.LO la_data_out[28] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_124.LO la_data_out[29] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_125.LO la_data_out[30] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_126.LO la_data_out[31] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_127.LO la_data_out[32] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_128.LO la_data_out[33] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_129.LO la_data_out[34] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_130.LO la_data_out[35] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_131.LO la_data_out[36] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_132.LO la_data_out[37] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_133.LO la_data_out[38] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_134.LO la_data_out[39] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_135.LO la_data_out[40] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_136.LO la_data_out[41] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_137.LO la_data_out[42] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_138.LO la_data_out[43] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_139.LO la_data_out[44] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_140.LO la_data_out[45] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_141.LO la_data_out[46] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_142.LO la_data_out[47] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_143.LO la_data_out[48] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_144.LO la_data_out[49] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_145.LO la_data_out[50] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_146.LO la_data_out[51] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_147.LO la_data_out[52] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_148.LO la_data_out[53] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_149.LO la_data_out[54] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_150.LO la_data_out[55] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_151.LO la_data_out[56] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_152.LO la_data_out[57] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_153.LO la_data_out[58] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_154.LO la_data_out[59] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_155.LO la_data_out[60] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_156.LO la_data_out[61] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_157.LO la_data_out[62] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_158.LO la_data_out[63] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_159.LO user_irq[0] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_160.LO user_irq[1] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_161.LO user_irq[2] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_162.LO wbs_ack_o (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_163.LO wbs_dat_o[0] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_164.LO wbs_dat_o[1] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_165.LO wbs_dat_o[2] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_166.LO wbs_dat_o[3] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_167.LO wbs_dat_o[4] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_168.LO wbs_dat_o[5] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_169.LO wbs_dat_o[6] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_170.LO wbs_dat_o[7] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_171.LO wbs_dat_o[8] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_172.LO wbs_dat_o[9] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_173.LO wbs_dat_o[10] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_174.LO wbs_dat_o[11] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_175.LO wbs_dat_o[12] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_176.LO wbs_dat_o[13] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_177.LO wbs_dat_o[14] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_178.LO wbs_dat_o[15] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_179.LO wbs_dat_o[16] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_180.LO wbs_dat_o[17] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_181.LO wbs_dat_o[18] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_182.LO wbs_dat_o[19] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_183.LO wbs_dat_o[20] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_184.LO wbs_dat_o[21] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_185.LO wbs_dat_o[22] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_186.LO wbs_dat_o[23] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_187.LO wbs_dat_o[24] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_188.LO wbs_dat_o[25] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_189.LO wbs_dat_o[26] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_190.LO wbs_dat_o[27] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_191.LO wbs_dat_o[28] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_192.LO wbs_dat_o[29] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_193.LO wbs_dat_o[30] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_194.LO wbs_dat_o[31] (0.000:0.000:0.000))
+    (INTERCONNECT user_proj_example_195.HI io_oeb[22] (0.000:0.000:0.000))
+    (INTERCONNECT input1.X _5148_.A (0.017:0.017:0.017) (0.017:0.017:0.017))
+    (INTERCONNECT input1.X _5572_.A (0.017:0.017:0.017) (0.016:0.016:0.016))
+    (INTERCONNECT input1.X _5994_.A (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT input1.X _6012_.A_N (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT input1.X _6029_.A (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT input1.X _6047_.A (0.017:0.017:0.017) (0.017:0.017:0.017))
+    (INTERCONNECT input1.X _6065_.A (0.017:0.017:0.017) (0.016:0.016:0.016))
+    (INTERCONNECT input1.X ANTENNA__6065__A.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+    (INTERCONNECT input1.X ANTENNA__6047__A.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+    (INTERCONNECT input1.X ANTENNA__6029__A.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+    (INTERCONNECT input1.X ANTENNA__6012__A_N.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+    (INTERCONNECT input1.X ANTENNA__5994__A.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+    (INTERCONNECT input1.X ANTENNA__5572__A.DIODE (0.017:0.017:0.017) (0.017:0.017:0.017))
+    (INTERCONNECT input1.X ANTENNA__5148__A.DIODE (0.017:0.017:0.017) (0.017:0.017:0.017))
+    (INTERCONNECT input2.X fanout51.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT input2.X ANTENNA_fanout51_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT input3.X fanout42.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT input3.X ANTENNA_fanout42_A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT input4.X _5942_.D (0.026:0.026:0.026) (0.025:0.025:0.025))
+    (INTERCONNECT input4.X _5960_.B_N (0.026:0.026:0.026) (0.025:0.025:0.025))
+    (INTERCONNECT input4.X _5977_.C (0.026:0.026:0.026) (0.025:0.025:0.025))
+    (INTERCONNECT input4.X _5994_.C (0.026:0.026:0.026) (0.025:0.025:0.025))
+    (INTERCONNECT input4.X _6012_.D (0.022:0.022:0.022) (0.021:0.021:0.021))
+    (INTERCONNECT input4.X _6029_.C (0.023:0.023:0.023) (0.022:0.022:0.022))
+    (INTERCONNECT input4.X _6047_.D_N (0.026:0.026:0.026) (0.025:0.025:0.025))
+    (INTERCONNECT input4.X _6065_.D (0.026:0.026:0.026) (0.025:0.025:0.025))
+    (INTERCONNECT input4.X ANTENNA__6065__D.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022))
+    (INTERCONNECT input4.X ANTENNA__6047__D_N.DIODE (0.026:0.026:0.026) (0.025:0.025:0.025))
+    (INTERCONNECT input4.X ANTENNA__6029__C.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020))
+    (INTERCONNECT input4.X ANTENNA__6012__D.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019))
+    (INTERCONNECT input4.X ANTENNA__5994__C.DIODE (0.026:0.026:0.026) (0.025:0.025:0.025))
+    (INTERCONNECT input4.X ANTENNA__5977__C.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022))
+    (INTERCONNECT input4.X ANTENNA__5960__B_N.DIODE (0.024:0.024:0.024) (0.023:0.023:0.023))
+    (INTERCONNECT input4.X ANTENNA__5942__D.DIODE (0.026:0.026:0.026) (0.025:0.025:0.025))
+    (INTERCONNECT input5.X _5942_.C (0.023:0.023:0.023) (0.023:0.023:0.023))
+    (INTERCONNECT input5.X _5960_.C (0.023:0.023:0.023) (0.023:0.023:0.023))
+    (INTERCONNECT input5.X _5977_.B_N (0.023:0.023:0.023) (0.023:0.023:0.023))
+    (INTERCONNECT input5.X _5994_.B (0.023:0.023:0.023) (0.022:0.022:0.022))
+    (INTERCONNECT input5.X _6012_.C (0.020:0.020:0.020) (0.020:0.020:0.020))
+    (INTERCONNECT input5.X _6029_.D_N (0.021:0.021:0.021) (0.020:0.020:0.020))
+    (INTERCONNECT input5.X _6047_.C (0.023:0.023:0.023) (0.023:0.023:0.023))
+    (INTERCONNECT input5.X _6065_.C (0.023:0.023:0.023) (0.023:0.023:0.023))
+    (INTERCONNECT input5.X ANTENNA__6065__C.DIODE (0.019:0.019:0.019) (0.019:0.019:0.019))
+    (INTERCONNECT input5.X ANTENNA__6047__C.DIODE (0.023:0.023:0.023) (0.023:0.023:0.023))
+    (INTERCONNECT input5.X ANTENNA__6029__D_N.DIODE (0.016:0.016:0.016) (0.016:0.016:0.016))
+    (INTERCONNECT input5.X ANTENNA__6012__C.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT input5.X ANTENNA__5994__B.DIODE (0.023:0.023:0.023) (0.023:0.023:0.023))
+    (INTERCONNECT input5.X ANTENNA__5977__B_N.DIODE (0.023:0.023:0.023) (0.023:0.023:0.023))
+    (INTERCONNECT input5.X ANTENNA__5960__C.DIODE (0.022:0.022:0.022) (0.021:0.021:0.021))
+    (INTERCONNECT input5.X ANTENNA__5942__C.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022))
+    (INTERCONNECT input6.X _5942_.B (0.024:0.024:0.024) (0.023:0.023:0.023))
+    (INTERCONNECT input6.X _5960_.D (0.024:0.024:0.024) (0.023:0.023:0.023))
+    (INTERCONNECT input6.X _5977_.D (0.024:0.024:0.024) (0.023:0.023:0.023))
+    (INTERCONNECT input6.X _5994_.D_N (0.024:0.024:0.024) (0.023:0.023:0.023))
+    (INTERCONNECT input6.X _6012_.B_N (0.022:0.022:0.022) (0.021:0.021:0.021))
+    (INTERCONNECT input6.X _6029_.B (0.022:0.022:0.022) (0.021:0.021:0.021))
+    (INTERCONNECT input6.X _6047_.B (0.024:0.024:0.024) (0.023:0.023:0.023))
+    (INTERCONNECT input6.X _6065_.B (0.024:0.024:0.024) (0.023:0.023:0.023))
+    (INTERCONNECT input6.X ANTENNA__6065__B.DIODE (0.024:0.024:0.024) (0.023:0.023:0.023))
+    (INTERCONNECT input6.X ANTENNA__6047__B.DIODE (0.024:0.024:0.024) (0.023:0.023:0.023))
+    (INTERCONNECT input6.X ANTENNA__6029__B.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018))
+    (INTERCONNECT input6.X ANTENNA__6012__B_N.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019))
+    (INTERCONNECT input6.X ANTENNA__5994__D_N.DIODE (0.024:0.024:0.024) (0.023:0.023:0.023))
+    (INTERCONNECT input6.X ANTENNA__5977__D.DIODE (0.023:0.023:0.023) (0.023:0.023:0.023))
+    (INTERCONNECT input6.X ANTENNA__5960__D.DIODE (0.024:0.024:0.024) (0.023:0.023:0.023))
+    (INTERCONNECT input6.X ANTENNA__5942__B.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022))
+    (INTERCONNECT input7.X _5944_.A1 (0.033:0.033:0.033) (0.032:0.032:0.032))
+    (INTERCONNECT input7.X _5961_.A1 (0.033:0.033:0.033) (0.032:0.032:0.032))
+    (INTERCONNECT input7.X _5978_.A1 (0.030:0.030:0.030) (0.029:0.029:0.029))
+    (INTERCONNECT input7.X _5996_.A0 (0.030:0.030:0.030) (0.029:0.029:0.029))
+    (INTERCONNECT input7.X _6013_.A1 (0.025:0.025:0.025) (0.024:0.024:0.024))
+    (INTERCONNECT input7.X _6031_.A0 (0.025:0.025:0.025) (0.024:0.024:0.024))
+    (INTERCONNECT input7.X _6049_.A0 (0.033:0.033:0.033) (0.032:0.032:0.032))
+    (INTERCONNECT input7.X _6067_.A0 (0.031:0.031:0.031) (0.029:0.029:0.029))
+    (INTERCONNECT input7.X ANTENNA__6067__A0.DIODE (0.032:0.032:0.032) (0.031:0.031:0.031))
+    (INTERCONNECT input7.X ANTENNA__6049__A0.DIODE (0.034:0.034:0.034) (0.033:0.033:0.033))
+    (INTERCONNECT input7.X ANTENNA__6031__A0.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022))
+    (INTERCONNECT input7.X ANTENNA__6013__A1.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022))
+    (INTERCONNECT input7.X ANTENNA__5996__A0.DIODE (0.028:0.028:0.028) (0.027:0.027:0.027))
+    (INTERCONNECT input7.X ANTENNA__5978__A1.DIODE (0.029:0.029:0.029) (0.028:0.028:0.028))
+    (INTERCONNECT input7.X ANTENNA__5961__A1.DIODE (0.034:0.034:0.034) (0.033:0.033:0.033))
+    (INTERCONNECT input7.X ANTENNA__5944__A1.DIODE (0.025:0.025:0.025) (0.024:0.024:0.024))
+    (INTERCONNECT input8.X _5946_.A1 (0.050:0.050:0.050) (0.047:0.047:0.047))
+    (INTERCONNECT input8.X _5963_.A1 (0.050:0.050:0.050) (0.047:0.047:0.047))
+    (INTERCONNECT input8.X _5980_.A1 (0.040:0.040:0.040) (0.038:0.038:0.038))
+    (INTERCONNECT input8.X _5998_.A0 (0.047:0.047:0.047) (0.045:0.045:0.045))
+    (INTERCONNECT input8.X _6015_.A1 (0.044:0.044:0.044) (0.042:0.042:0.042))
+    (INTERCONNECT input8.X _6033_.A0 (0.048:0.048:0.048) (0.046:0.046:0.046))
+    (INTERCONNECT input8.X _6051_.A0 (0.050:0.050:0.050) (0.048:0.048:0.048))
+    (INTERCONNECT input8.X _6069_.A0 (0.048:0.048:0.048) (0.046:0.046:0.046))
+    (INTERCONNECT input8.X ANTENNA__6069__A0.DIODE (0.049:0.049:0.049) (0.047:0.047:0.047))
+    (INTERCONNECT input8.X ANTENNA__6051__A0.DIODE (0.050:0.050:0.050) (0.048:0.048:0.048))
+    (INTERCONNECT input8.X ANTENNA__6033__A0.DIODE (0.045:0.045:0.045) (0.043:0.043:0.043))
+    (INTERCONNECT input8.X ANTENNA__6015__A1.DIODE (0.045:0.045:0.045) (0.043:0.043:0.043))
+    (INTERCONNECT input8.X ANTENNA__5998__A0.DIODE (0.045:0.045:0.045) (0.043:0.043:0.043))
+    (INTERCONNECT input8.X ANTENNA__5980__A1.DIODE (0.039:0.039:0.039) (0.037:0.037:0.037))
+    (INTERCONNECT input8.X ANTENNA__5963__A1.DIODE (0.050:0.050:0.050) (0.048:0.048:0.048))
+    (INTERCONNECT input8.X ANTENNA__5946__A1.DIODE (0.046:0.046:0.046) (0.044:0.044:0.044))
+    (INTERCONNECT input9.X _5948_.A1 (0.046:0.046:0.046) (0.044:0.044:0.044))
+    (INTERCONNECT input9.X _5965_.A1 (0.046:0.046:0.046) (0.044:0.044:0.044))
+    (INTERCONNECT input9.X _5982_.A1 (0.041:0.041:0.041) (0.039:0.039:0.039))
+    (INTERCONNECT input9.X _6000_.A0 (0.045:0.045:0.045) (0.043:0.043:0.043))
+    (INTERCONNECT input9.X _6017_.A1 (0.045:0.045:0.045) (0.043:0.043:0.043))
+    (INTERCONNECT input9.X _6035_.A0 (0.045:0.045:0.045) (0.043:0.043:0.043))
+    (INTERCONNECT input9.X _6053_.A0 (0.046:0.046:0.046) (0.044:0.044:0.044))
+    (INTERCONNECT input9.X _6071_.A0 (0.045:0.045:0.045) (0.043:0.043:0.043))
+    (INTERCONNECT input9.X ANTENNA__6071__A0.DIODE (0.045:0.045:0.045) (0.043:0.043:0.043))
+    (INTERCONNECT input9.X ANTENNA__6053__A0.DIODE (0.047:0.047:0.047) (0.045:0.045:0.045))
+    (INTERCONNECT input9.X ANTENNA__6035__A0.DIODE (0.044:0.044:0.044) (0.042:0.042:0.042))
+    (INTERCONNECT input9.X ANTENNA__6017__A1.DIODE (0.045:0.045:0.045) (0.043:0.043:0.043))
+    (INTERCONNECT input9.X ANTENNA__6000__A0.DIODE (0.045:0.045:0.045) (0.043:0.043:0.043))
+    (INTERCONNECT input9.X ANTENNA__5982__A1.DIODE (0.039:0.039:0.039) (0.037:0.037:0.037))
+    (INTERCONNECT input9.X ANTENNA__5965__A1.DIODE (0.046:0.046:0.046) (0.044:0.044:0.044))
+    (INTERCONNECT input9.X ANTENNA__5948__A1.DIODE (0.046:0.046:0.046) (0.044:0.044:0.044))
+    (INTERCONNECT input10.X _5950_.A1 (0.052:0.052:0.052) (0.050:0.050:0.050))
+    (INTERCONNECT input10.X _5967_.A1 (0.051:0.051:0.051) (0.049:0.049:0.049))
+    (INTERCONNECT input10.X _5984_.A1 (0.049:0.049:0.049) (0.047:0.047:0.047))
+    (INTERCONNECT input10.X _6002_.A0 (0.049:0.049:0.049) (0.047:0.047:0.047))
+    (INTERCONNECT input10.X _6019_.A1 (0.051:0.051:0.051) (0.049:0.049:0.049))
+    (INTERCONNECT input10.X _6037_.A0 (0.047:0.047:0.047) (0.044:0.044:0.044))
+    (INTERCONNECT input10.X _6055_.A0 (0.052:0.052:0.052) (0.049:0.049:0.049))
+    (INTERCONNECT input10.X _6073_.A0 (0.049:0.049:0.049) (0.047:0.047:0.047))
+    (INTERCONNECT input10.X ANTENNA__6073__A0.DIODE (0.049:0.049:0.049) (0.047:0.047:0.047))
+    (INTERCONNECT input10.X ANTENNA__6055__A0.DIODE (0.052:0.052:0.052) (0.050:0.050:0.050))
+    (INTERCONNECT input10.X ANTENNA__6037__A0.DIODE (0.042:0.042:0.042) (0.040:0.040:0.040))
+    (INTERCONNECT input10.X ANTENNA__6019__A1.DIODE (0.051:0.051:0.051) (0.049:0.049:0.049))
+    (INTERCONNECT input10.X ANTENNA__6002__A0.DIODE (0.048:0.048:0.048) (0.046:0.046:0.046))
+    (INTERCONNECT input10.X ANTENNA__5984__A1.DIODE (0.049:0.049:0.049) (0.047:0.047:0.047))
+    (INTERCONNECT input10.X ANTENNA__5967__A1.DIODE (0.052:0.052:0.052) (0.050:0.050:0.050))
+    (INTERCONNECT input10.X ANTENNA__5950__A1.DIODE (0.052:0.052:0.052) (0.050:0.050:0.050))
+    (INTERCONNECT input11.X _5952_.A1 (0.066:0.066:0.066) (0.064:0.064:0.064))
+    (INTERCONNECT input11.X _5969_.A1 (0.065:0.065:0.065) (0.063:0.063:0.063))
+    (INTERCONNECT input11.X _5986_.A1 (0.063:0.063:0.063) (0.061:0.061:0.061))
+    (INTERCONNECT input11.X _6004_.A0 (0.064:0.064:0.064) (0.062:0.062:0.062))
+    (INTERCONNECT input11.X _6021_.A1 (0.060:0.060:0.060) (0.058:0.058:0.058))
+    (INTERCONNECT input11.X _6039_.A0 (0.059:0.059:0.059) (0.057:0.057:0.057))
+    (INTERCONNECT input11.X _6057_.A0 (0.066:0.066:0.066) (0.064:0.064:0.064))
+    (INTERCONNECT input11.X _6075_.A0 (0.063:0.063:0.063) (0.062:0.062:0.062))
+    (INTERCONNECT input11.X ANTENNA__6075__A0.DIODE (0.063:0.063:0.063) (0.061:0.061:0.061))
+    (INTERCONNECT input11.X ANTENNA__6057__A0.DIODE (0.066:0.066:0.066) (0.064:0.064:0.064))
+    (INTERCONNECT input11.X ANTENNA__6039__A0.DIODE (0.052:0.052:0.052) (0.050:0.050:0.050))
+    (INTERCONNECT input11.X ANTENNA__6021__A1.DIODE (0.061:0.061:0.061) (0.059:0.059:0.059))
+    (INTERCONNECT input11.X ANTENNA__6004__A0.DIODE (0.061:0.061:0.061) (0.059:0.059:0.059))
+    (INTERCONNECT input11.X ANTENNA__5986__A1.DIODE (0.063:0.063:0.063) (0.061:0.061:0.061))
+    (INTERCONNECT input11.X ANTENNA__5969__A1.DIODE (0.065:0.065:0.065) (0.063:0.063:0.063))
+    (INTERCONNECT input11.X ANTENNA__5952__A1.DIODE (0.065:0.065:0.065) (0.064:0.064:0.064))
+    (INTERCONNECT input12.X _5954_.A1 (0.066:0.066:0.066) (0.065:0.065:0.065))
+    (INTERCONNECT input12.X _5971_.A1 (0.066:0.066:0.066) (0.065:0.065:0.065))
+    (INTERCONNECT input12.X _5988_.A1 (0.062:0.062:0.062) (0.061:0.061:0.061))
+    (INTERCONNECT input12.X _6006_.A0 (0.065:0.065:0.065) (0.063:0.063:0.063))
+    (INTERCONNECT input12.X _6023_.A1 (0.066:0.066:0.066) (0.065:0.065:0.065))
+    (INTERCONNECT input12.X _6041_.A0 (0.064:0.064:0.064) (0.062:0.062:0.062))
+    (INTERCONNECT input12.X _6059_.A0 (0.067:0.067:0.067) (0.065:0.065:0.065))
+    (INTERCONNECT input12.X _6077_.A0 (0.065:0.065:0.065) (0.064:0.064:0.064))
+    (INTERCONNECT input12.X ANTENNA__6077__A0.DIODE (0.065:0.065:0.065) (0.064:0.064:0.064))
+    (INTERCONNECT input12.X ANTENNA__6059__A0.DIODE (0.067:0.067:0.067) (0.066:0.066:0.066))
+    (INTERCONNECT input12.X ANTENNA__6041__A0.DIODE (0.055:0.055:0.055) (0.053:0.053:0.053))
+    (INTERCONNECT input12.X ANTENNA__6023__A1.DIODE (0.066:0.066:0.066) (0.064:0.064:0.064))
+    (INTERCONNECT input12.X ANTENNA__6006__A0.DIODE (0.064:0.064:0.064) (0.063:0.063:0.063))
+    (INTERCONNECT input12.X ANTENNA__5988__A1.DIODE (0.061:0.061:0.061) (0.060:0.060:0.060))
+    (INTERCONNECT input12.X ANTENNA__5971__A1.DIODE (0.067:0.067:0.067) (0.065:0.065:0.065))
+    (INTERCONNECT input12.X ANTENNA__5954__A1.DIODE (0.067:0.067:0.067) (0.066:0.066:0.066))
+    (INTERCONNECT input13.X _5956_.A1 (0.074:0.074:0.074) (0.073:0.073:0.073))
+    (INTERCONNECT input13.X _5973_.A1 (0.074:0.074:0.074) (0.073:0.073:0.073))
+    (INTERCONNECT input13.X _5990_.A1 (0.069:0.069:0.069) (0.068:0.068:0.068))
+    (INTERCONNECT input13.X _6008_.A0 (0.073:0.073:0.073) (0.072:0.072:0.072))
+    (INTERCONNECT input13.X _6025_.A1 (0.072:0.072:0.072) (0.071:0.071:0.071))
+    (INTERCONNECT input13.X _6043_.A0 (0.068:0.068:0.068) (0.067:0.067:0.067))
+    (INTERCONNECT input13.X _6061_.A0 (0.074:0.074:0.074) (0.073:0.073:0.073))
+    (INTERCONNECT input13.X _6079_.A0 (0.067:0.067:0.067) (0.066:0.066:0.066))
+    (INTERCONNECT input13.X ANTENNA__6079__A0.DIODE (0.067:0.067:0.067) (0.066:0.066:0.066))
+    (INTERCONNECT input13.X ANTENNA__6061__A0.DIODE (0.074:0.074:0.074) (0.073:0.073:0.073))
+    (INTERCONNECT input13.X ANTENNA__6043__A0.DIODE (0.064:0.064:0.064) (0.063:0.063:0.063))
+    (INTERCONNECT input13.X ANTENNA__6025__A1.DIODE (0.072:0.072:0.072) (0.072:0.072:0.072))
+    (INTERCONNECT input13.X ANTENNA__6008__A0.DIODE (0.071:0.071:0.071) (0.070:0.070:0.070))
+    (INTERCONNECT input13.X ANTENNA__5990__A1.DIODE (0.065:0.065:0.065) (0.064:0.064:0.064))
+    (INTERCONNECT input13.X ANTENNA__5973__A1.DIODE (0.074:0.074:0.074) (0.073:0.073:0.073))
+    (INTERCONNECT input13.X ANTENNA__5956__A1.DIODE (0.074:0.074:0.074) (0.073:0.073:0.073))
+    (INTERCONNECT input14.X _5958_.A1 (0.083:0.083:0.083) (0.083:0.083:0.083))
+    (INTERCONNECT input14.X _5975_.A1 (0.083:0.083:0.083) (0.082:0.082:0.082))
+    (INTERCONNECT input14.X _5992_.A1 (0.075:0.075:0.075) (0.074:0.074:0.074))
+    (INTERCONNECT input14.X _6010_.A0 (0.083:0.083:0.083) (0.082:0.082:0.082))
+    (INTERCONNECT input14.X _6027_.A1 (0.082:0.082:0.082) (0.081:0.081:0.081))
+    (INTERCONNECT input14.X _6045_.A0 (0.080:0.080:0.080) (0.080:0.080:0.080))
+    (INTERCONNECT input14.X _6063_.A0 (0.083:0.083:0.083) (0.083:0.083:0.083))
+    (INTERCONNECT input14.X _6081_.A0 (0.083:0.083:0.083) (0.082:0.082:0.082))
+    (INTERCONNECT input14.X ANTENNA__6081__A0.DIODE (0.083:0.083:0.083) (0.082:0.082:0.082))
+    (INTERCONNECT input14.X ANTENNA__6063__A0.DIODE (0.084:0.084:0.084) (0.083:0.083:0.083))
+    (INTERCONNECT input14.X ANTENNA__6045__A0.DIODE (0.071:0.071:0.071) (0.070:0.070:0.070))
+    (INTERCONNECT input14.X ANTENNA__6027__A1.DIODE (0.082:0.082:0.082) (0.081:0.081:0.081))
+    (INTERCONNECT input14.X ANTENNA__6010__A0.DIODE (0.081:0.081:0.081) (0.080:0.080:0.080))
+    (INTERCONNECT input14.X ANTENNA__5992__A1.DIODE (0.068:0.068:0.068) (0.067:0.067:0.067))
+    (INTERCONNECT input14.X ANTENNA__5975__A1.DIODE (0.083:0.083:0.083) (0.082:0.082:0.082))
+    (INTERCONNECT input14.X ANTENNA__5958__A1.DIODE (0.084:0.084:0.084) (0.083:0.083:0.083))
+    (INTERCONNECT input15.X _3033_.B_N (0.068:0.068:0.068) (0.064:0.064:0.064))
+    (INTERCONNECT input15.X _3588_.A (0.068:0.068:0.068) (0.065:0.065:0.065))
+    (INTERCONNECT input15.X _4654_.A_N (0.068:0.068:0.068) (0.064:0.064:0.064))
+    (INTERCONNECT input15.X _4656_.A (0.068:0.068:0.068) (0.065:0.065:0.065))
+    (INTERCONNECT input15.X _5144_.A (0.068:0.068:0.068) (0.064:0.064:0.064))
+    (INTERCONNECT input15.X _5209_.A (0.068:0.068:0.068) (0.065:0.065:0.065))
+    (INTERCONNECT input15.X _5265_.A (0.068:0.068:0.068) (0.065:0.065:0.065))
+    (INTERCONNECT input15.X _5608_.B (0.068:0.068:0.068) (0.065:0.065:0.065))
+    (INTERCONNECT input15.X ANTENNA__5608__B.DIODE (0.066:0.066:0.066) (0.063:0.063:0.063))
+    (INTERCONNECT input15.X ANTENNA__5265__A.DIODE (0.066:0.066:0.066) (0.062:0.062:0.062))
+    (INTERCONNECT input15.X ANTENNA__5209__A.DIODE (0.066:0.066:0.066) (0.063:0.063:0.063))
+    (INTERCONNECT input15.X ANTENNA__5144__A.DIODE (0.065:0.065:0.065) (0.062:0.062:0.062))
+    (INTERCONNECT input15.X ANTENNA__4656__A.DIODE (0.067:0.067:0.067) (0.063:0.063:0.063))
+    (INTERCONNECT input15.X ANTENNA__4654__A_N.DIODE (0.059:0.059:0.059) (0.056:0.056:0.056))
+    (INTERCONNECT input15.X ANTENNA__3588__A.DIODE (0.068:0.068:0.068) (0.064:0.064:0.064))
+    (INTERCONNECT input15.X ANTENNA__3033__B_N.DIODE (0.065:0.065:0.065) (0.061:0.061:0.061))
+    (INTERCONNECT input16.X _3033_.A (0.077:0.077:0.077) (0.072:0.072:0.072))
+    (INTERCONNECT input16.X _3588_.B (0.077:0.077:0.077) (0.073:0.073:0.073))
+    (INTERCONNECT input16.X _4654_.B (0.076:0.076:0.076) (0.072:0.072:0.072))
+    (INTERCONNECT input16.X _4656_.B (0.077:0.077:0.077) (0.073:0.073:0.073))
+    (INTERCONNECT input16.X _5144_.B (0.077:0.077:0.077) (0.072:0.072:0.072))
+    (INTERCONNECT input16.X _5209_.B_N (0.077:0.077:0.077) (0.073:0.073:0.073))
+    (INTERCONNECT input16.X _5265_.B (0.077:0.077:0.077) (0.073:0.073:0.073))
+    (INTERCONNECT input16.X _5327_.B2 (0.077:0.077:0.077) (0.073:0.073:0.073))
+    (INTERCONNECT input16.X _5608_.A_N (0.077:0.077:0.077) (0.073:0.073:0.073))
+    (INTERCONNECT input16.X ANTENNA__5608__A_N.DIODE (0.075:0.075:0.075) (0.071:0.071:0.071))
+    (INTERCONNECT input16.X ANTENNA__5327__B2.DIODE (0.075:0.075:0.075) (0.071:0.071:0.071))
+    (INTERCONNECT input16.X ANTENNA__5265__B.DIODE (0.074:0.074:0.074) (0.070:0.070:0.070))
+    (INTERCONNECT input16.X ANTENNA__5209__B_N.DIODE (0.073:0.073:0.073) (0.069:0.069:0.069))
+    (INTERCONNECT input16.X ANTENNA__5144__B.DIODE (0.070:0.070:0.070) (0.066:0.066:0.066))
+    (INTERCONNECT input16.X ANTENNA__4656__B.DIODE (0.075:0.075:0.075) (0.071:0.071:0.071))
+    (INTERCONNECT input16.X ANTENNA__4654__B.DIODE (0.065:0.065:0.065) (0.061:0.061:0.061))
+    (INTERCONNECT input16.X ANTENNA__3588__B.DIODE (0.075:0.075:0.075) (0.071:0.071:0.071))
+    (INTERCONNECT input16.X ANTENNA__3033__A.DIODE (0.071:0.071:0.071) (0.068:0.068:0.068))
+    (INTERCONNECT output17.X io_out[10] (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT output18.X io_out[11] (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT output19.X io_out[12] (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT output20.X io_out[13] (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT output21.X io_out[14] (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT output22.X io_out[15] (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT output23.X io_out[16] (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT output24.X io_out[17] (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT output25.X io_out[18] (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT output26.X io_out[19] (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT output27.X io_out[20] (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT output28.X io_out[21] (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT output29.X io_out[5] (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT output30.X io_out[6] (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT output31.X io_out[7] (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT output32.X io_out[8] (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT output33.X io_out[9] (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout34.X _6101_.CLK (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT fanout34.X _6106_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout34.X _6100_.CLK (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT fanout34.X _6104_.CLK (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT fanout34.X _6105_.CLK (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT fanout34.X _6123_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT fanout34.X _6126_.CLK (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT fanout34.X _6127_.CLK (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT fanout34.X _6128_.CLK (0.007:0.007:0.007) (0.006:0.006:0.006))
+    (INTERCONNECT fanout34.X _6129_.CLK (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT fanout34.X ANTENNA__6129__CLK.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT fanout34.X ANTENNA__6128__CLK.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT fanout34.X ANTENNA__6127__CLK.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT fanout34.X ANTENNA__6126__CLK.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT fanout34.X ANTENNA__6123__CLK.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout34.X ANTENNA__6105__CLK.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT fanout34.X ANTENNA__6104__CLK.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT fanout34.X ANTENNA__6100__CLK.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT fanout34.X ANTENNA__6106__CLK.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout34.X ANTENNA__6101__CLK.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT fanout35.X fanout34.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT fanout35.X _6130_.CLK (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT fanout35.X _6083_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT fanout35.X _6084_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT fanout35.X _6115_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT fanout35.X _6116_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT fanout35.X _6117_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT fanout35.X _6118_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout35.X _6119_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout35.X _6120_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT fanout35.X ANTENNA__6120__CLK.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT fanout35.X ANTENNA__6119__CLK.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT fanout35.X ANTENNA__6118__CLK.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT fanout35.X ANTENNA__6117__CLK.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT fanout35.X ANTENNA__6116__CLK.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout35.X ANTENNA__6115__CLK.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT fanout35.X ANTENNA__6084__CLK.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout35.X ANTENNA__6083__CLK.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout35.X ANTENNA__6130__CLK.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT fanout35.X ANTENNA_fanout34_A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT fanout36.X _6102_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout36.X _6103_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout36.X _6109_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout36.X _6110_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout36.X _6111_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout36.X _6140_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout36.X _6141_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout36.X _6142_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout36.X _6144_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout36.X _6143_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout37.X fanout36.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout37.X _6146_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT fanout37.X _6145_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT fanout37.X _6112_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout37.X _6113_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout37.X _6114_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout37.X _6139_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout37.X ANTENNA__6139__CLK.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout37.X ANTENNA__6114__CLK.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout37.X ANTENNA__6113__CLK.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout37.X ANTENNA__6112__CLK.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout37.X ANTENNA__6145__CLK.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+    (INTERCONNECT fanout37.X ANTENNA__6146__CLK.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT fanout37.X ANTENNA_fanout36_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout38.X _6099_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout38.X _6107_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout38.X _6108_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout38.X _6124_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout38.X _6125_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout38.X fanout37.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+    (INTERCONNECT fanout39.X _6085_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT fanout39.X _6088_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout39.X _6092_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout39.X _6093_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout39.X _6095_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout39.X _6096_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout39.X _6097_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout39.X _6098_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout39.X _6137_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout39.X _6086_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout40.X _6087_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout40.X _6089_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT fanout40.X _6090_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT fanout40.X _6134_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout40.X _6135_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout40.X _6136_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout40.X _6138_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT fanout41.X _6091_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout41.X _6094_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout41.X _6131_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout41.X _6132_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout41.X _6133_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout41.X fanout40.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout41.X fanout39.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+    (INTERCONNECT fanout42.X fanout35.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout42.X _6122_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout42.X _6121_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout42.X fanout41.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout42.X fanout38.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT fanout42.X ANTENNA_fanout38_A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT fanout42.X ANTENNA_fanout41_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout42.X ANTENNA__6121__CLK.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout42.X ANTENNA__6122__CLK.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout42.X ANTENNA_fanout35_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout43.X _6101_.RESET_B (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT fanout43.X _6106_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout43.X _6100_.RESET_B (0.015:0.015:0.015) (0.014:0.014:0.014))
+    (INTERCONNECT fanout43.X _6104_.RESET_B (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT fanout43.X _6105_.RESET_B (0.015:0.015:0.015) (0.014:0.014:0.014))
+    (INTERCONNECT fanout43.X _6123_.RESET_B (0.010:0.010:0.010) (0.010:0.010:0.010))
+    (INTERCONNECT fanout43.X _6126_.RESET_B (0.015:0.015:0.015) (0.014:0.014:0.014))
+    (INTERCONNECT fanout43.X _6127_.RESET_B (0.014:0.014:0.014) (0.014:0.014:0.014))
+    (INTERCONNECT fanout43.X _6128_.RESET_B (0.015:0.015:0.015) (0.014:0.014:0.014))
+    (INTERCONNECT fanout43.X _6129_.RESET_B (0.015:0.015:0.015) (0.014:0.014:0.014))
+    (INTERCONNECT fanout43.X ANTENNA__6129__RESET_B.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT fanout43.X ANTENNA__6128__RESET_B.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+    (INTERCONNECT fanout43.X ANTENNA__6127__RESET_B.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+    (INTERCONNECT fanout43.X ANTENNA__6126__RESET_B.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+    (INTERCONNECT fanout43.X ANTENNA__6123__RESET_B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT fanout43.X ANTENNA__6105__RESET_B.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
+    (INTERCONNECT fanout43.X ANTENNA__6104__RESET_B.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT fanout43.X ANTENNA__6100__RESET_B.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+    (INTERCONNECT fanout43.X ANTENNA__6106__RESET_B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT fanout43.X ANTENNA__6101__RESET_B.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
+    (INTERCONNECT fanout44.X fanout43.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT fanout44.X _6130_.RESET_B (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT fanout44.X _6083_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT fanout44.X _6084_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT fanout44.X _6115_.RESET_B (0.006:0.006:0.006) (0.006:0.006:0.006))
+    (INTERCONNECT fanout44.X _6116_.RESET_B (0.006:0.006:0.006) (0.005:0.005:0.005))
+    (INTERCONNECT fanout44.X _6117_.RESET_B (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT fanout44.X _6118_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT fanout44.X _6119_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout44.X _6120_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT fanout44.X ANTENNA__6120__RESET_B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout44.X ANTENNA__6119__RESET_B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout44.X ANTENNA__6118__RESET_B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout44.X ANTENNA__6117__RESET_B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT fanout44.X ANTENNA__6116__RESET_B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT fanout44.X ANTENNA__6115__RESET_B.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+    (INTERCONNECT fanout44.X ANTENNA__6084__RESET_B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT fanout44.X ANTENNA__6083__RESET_B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT fanout44.X ANTENNA__6130__RESET_B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+    (INTERCONNECT fanout44.X ANTENNA_fanout43_A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT fanout45.X _6102_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT fanout45.X _6103_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT fanout45.X _6109_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout45.X _6110_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout45.X _6111_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout45.X _6140_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout45.X _6141_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT fanout45.X _6142_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT fanout45.X _6144_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT fanout45.X _6143_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT fanout46.X fanout45.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT fanout46.X _6146_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT fanout46.X _6145_.RESET_B (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT fanout46.X _6112_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT fanout46.X _6113_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout46.X _6114_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout46.X _6139_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout46.X ANTENNA__6139__RESET_B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout46.X ANTENNA__6114__RESET_B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout46.X ANTENNA__6113__RESET_B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT fanout46.X ANTENNA__6112__RESET_B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout46.X ANTENNA__6145__RESET_B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT fanout46.X ANTENNA__6146__RESET_B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT fanout46.X ANTENNA_fanout45_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout47.X _6099_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout47.X _6107_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout47.X _6108_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout47.X _6124_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout47.X _6125_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout47.X fanout46.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout48.X _6085_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout48.X _6088_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout48.X _6092_.RESET_B (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT fanout48.X _6093_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT fanout48.X _6095_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT fanout48.X _6096_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout48.X _6097_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout48.X _6098_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout48.X _6137_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout48.X _6086_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT fanout49.X _6087_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout49.X _6089_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout49.X _6090_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout49.X _6134_.RESET_B (0.002:0.002:0.002) (0.001:0.001:0.001))
+    (INTERCONNECT fanout49.X _6135_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout49.X _6136_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout49.X _6138_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout50.X _6091_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT fanout50.X _6094_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT fanout50.X _6131_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT fanout50.X _6132_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT fanout50.X _6133_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT fanout50.X fanout49.A (0.003:0.003:0.003) (0.002:0.002:0.002))
+    (INTERCONNECT fanout50.X fanout48.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout50.X ANTENNA_fanout48_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout50.X ANTENNA_fanout49_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout50.X ANTENNA__6133__RESET_B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT fanout50.X ANTENNA__6132__RESET_B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout50.X ANTENNA__6131__RESET_B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout50.X ANTENNA__6094__RESET_B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout50.X ANTENNA__6091__RESET_B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout51.X fanout44.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout51.X _6122_.RESET_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+    (INTERCONNECT fanout51.X _6121_.RESET_B (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout51.X fanout50.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT fanout51.X fanout47.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+    (INTERCONNECT fanout51.X ANTENNA_fanout47_A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+    (INTERCONNECT fanout51.X ANTENNA_fanout50_A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+    (INTERCONNECT fanout51.X ANTENNA__6121__RESET_B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout51.X ANTENNA__6122__RESET_B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT fanout51.X ANTENNA_fanout44_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+    (INTERCONNECT user_proj_example_52.LO io_oeb[0] (0.000:0.000:0.000))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _3033_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.143:0.143:0.143) (0.261:0.261:0.261))
+    (IOPATH B_N X (0.193:0.193:0.193) (0.253:0.253:0.253))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3034_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.310:0.311:0.311) (0.266:0.266:0.266))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3035_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.259:0.259:0.259) (0.214:0.214:0.214))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3036_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.268:0.268:0.268) (0.217:0.217:0.217))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3037_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.225:0.225:0.225) (0.183:0.183:0.183))
+    (IOPATH B X (0.247:0.247:0.247) (0.221:0.221:0.221))
+    (IOPATH C X (0.248:0.248:0.248) (0.226:0.226:0.226))
+    (IOPATH D X (0.252:0.252:0.252) (0.240:0.240:0.240))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3038_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.339:0.339:0.339) (0.282:0.282:0.282))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _3039_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.229:0.229:0.229) (0.121:0.121:0.121))
+    (IOPATH A2 Y (0.242:0.242:0.242) (0.135:0.135:0.135))
+    (IOPATH B1 Y (0.230:0.230:0.230) (0.133:0.133:0.133))
+    (IOPATH B2 Y (0.225:0.225:0.225) (0.115:0.115:0.115))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3040_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.256:0.256:0.256) (0.208:0.208:0.208))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3041_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.094:0.094:0.094) (0.094:0.094:0.094))
+    (IOPATH B Y (0.125:0.125:0.125) (0.106:0.106:0.106))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _3042_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.152:0.152:0.152) (0.410:0.410:0.411))
+    (IOPATH B X (0.178:0.179:0.180) (0.397:0.402:0.406))
+    (IOPATH C X (0.137:0.139:0.141) (0.356:0.356:0.356))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _3043_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.215:0.216:0.217) (0.203:0.203:0.203))
+    (IOPATH B X (0.156:0.156:0.157) (0.194:0.195:0.195))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3044_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.267:0.267:0.267) (0.215:0.215:0.215))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3045_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.411:0.411:0.411) (0.333:0.333:0.333))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3046_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.103:0.103:0.103) (0.106:0.106:0.106))
+    (IOPATH B Y (0.154:0.154:0.154) (0.123:0.123:0.123))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3047_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.211:0.211:0.211) (0.175:0.175:0.175))
+    (IOPATH B X (0.239:0.239:0.239) (0.207:0.207:0.207))
+    (IOPATH C X (0.232:0.232:0.232) (0.228:0.228:0.228))
+    (IOPATH D X (0.237:0.237:0.237) (0.231:0.231:0.231))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3048_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.375:0.375:0.375) (0.307:0.307:0.307))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3049_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.368:0.368:0.368) (0.303:0.303:0.303))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _3050_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.202:0.202:0.202) (0.243:0.243:0.243))
+    (IOPATH A2 X (0.217:0.217:0.217) (0.299:0.299:0.299))
+    (IOPATH B1 X (0.198:0.198:0.198) (0.241:0.241:0.241))
+    (IOPATH B2 X (0.204:0.204:0.204) (0.273:0.273:0.273))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _3051_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.199:0.200:0.201) (0.190:0.190:0.190))
+    (IOPATH B X (0.139:0.140:0.140) (0.167:0.167:0.167))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3052_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.156:0.159:0.162) (0.165:0.166:0.167))
+    (IOPATH A Y (0.243:0.244:0.244) (0.101:0.104:0.107))
+    (IOPATH B Y (0.152:0.152:0.152) (0.146:0.146:0.146))
+    (IOPATH B Y (0.210:0.210:0.210) (0.089:0.089:0.089))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _3053_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.208:0.209:0.209) (0.194:0.194:0.194))
+    (IOPATH B X (0.153:0.166:0.178) (0.177:0.180:0.182))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3054_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.387:0.387:0.387) (0.282:0.282:0.282))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3055_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.297:0.297:0.297) (0.242:0.242:0.242))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3056_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.369:0.369:0.369) (0.302:0.302:0.302))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3057_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.429:0.429:0.429) (0.359:0.359:0.359))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3058_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.272:0.272:0.272) (0.231:0.231:0.231))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3059_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.372:0.372:0.372) (0.306:0.306:0.306))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3060_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.422:0.422:0.422) (0.353:0.353:0.353))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3061_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.458:0.458:0.458) (0.379:0.379:0.379))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _3062_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.237:0.237:0.237) (0.139:0.139:0.139))
+    (IOPATH A2 Y (0.294:0.294:0.294) (0.170:0.170:0.170))
+    (IOPATH B1 Y (0.207:0.207:0.207) (0.118:0.118:0.118))
+    (IOPATH B2 Y (0.282:0.282:0.282) (0.152:0.152:0.152))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3063_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.232:0.232:0.232) (0.181:0.181:0.181))
+    (IOPATH B X (0.272:0.272:0.272) (0.264:0.264:0.264))
+    (IOPATH C X (0.252:0.252:0.252) (0.248:0.248:0.248))
+    (IOPATH D X (0.269:0.269:0.269) (0.302:0.302:0.302))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3064_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.158:0.161:0.165) (0.095:0.096:0.098))
+    (IOPATH B Y (0.126:0.127:0.127) (0.060:0.060:0.061))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3065_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.393:0.393:0.393) (0.291:0.291:0.291))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3066_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.459:0.459:0.459) (0.367:0.367:0.367))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _3067_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.408:0.408:0.408) (0.332:0.332:0.332))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3068_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.148:0.148:0.148) (0.151:0.151:0.151))
+    (IOPATH B Y (0.149:0.149:0.149) (0.147:0.147:0.147))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3069_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.178:0.178:0.178) (0.151:0.157:0.163))
+    (IOPATH A Y (0.239:0.244:0.250) (0.122:0.122:0.122))
+    (IOPATH B Y (0.170:0.170:0.170) (0.176:0.180:0.184))
+    (IOPATH B Y (0.246:0.250:0.253) (0.105:0.105:0.105))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3070_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.152:0.152:0.152) (0.148:0.148:0.148))
+    (IOPATH A Y (0.220:0.220:0.220) (0.099:0.099:0.099))
+    (IOPATH B Y (0.160:0.171:0.183) (0.153:0.155:0.158))
+    (IOPATH B Y (0.209:0.211:0.213) (0.098:0.112:0.126))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _3071_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.148:0.163:0.178) (0.153:0.155:0.158))
+    (IOPATH B X (0.144:0.157:0.169) (0.167:0.171:0.174))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _3072_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.284:0.284:0.284) (0.177:0.177:0.177))
+    (IOPATH A2 Y (0.262:0.262:0.262) (0.149:0.149:0.149))
+    (IOPATH B1 Y (0.254:0.254:0.254) (0.149:0.149:0.149))
+    (IOPATH B2 Y (0.236:0.236:0.236) (0.129:0.129:0.129))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3073_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.360:0.360:0.360) (0.262:0.262:0.262))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3074_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.266:0.266:0.266) (0.232:0.232:0.232))
+    (IOPATH B X (0.249:0.249:0.249) (0.214:0.214:0.214))
+    (IOPATH C X (0.264:0.264:0.264) (0.271:0.271:0.271))
+    (IOPATH D X (0.268:0.268:0.268) (0.269:0.269:0.269))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3075_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.158:0.163:0.168) (0.097:0.098:0.099))
+    (IOPATH B Y (0.126:0.127:0.128) (0.060:0.061:0.061))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3076_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.161:0.161:0.161) (0.141:0.141:0.141))
+    (IOPATH B Y (0.118:0.118:0.118) (0.113:0.113:0.113))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3077_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.191:0.191:0.191) (0.160:0.166:0.173))
+    (IOPATH A Y (0.265:0.271:0.277) (0.132:0.132:0.132))
+    (IOPATH B Y (0.180:0.183:0.185) (0.175:0.183:0.190))
+    (IOPATH B Y (0.263:0.270:0.277) (0.111:0.113:0.116))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3078_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.446:0.446:0.446) (0.300:0.300:0.300))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _3079_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.247:0.247:0.247) (0.265:0.265:0.265))
+    (IOPATH A2 X (0.239:0.239:0.239) (0.297:0.297:0.297))
+    (IOPATH A3 X (0.185:0.185:0.185) (0.244:0.244:0.244))
+    (IOPATH B1 X (0.139:0.139:0.139) (0.209:0.210:0.211))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3080_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.159:0.171:0.184) (0.159:0.161:0.164))
+    (IOPATH A Y (0.221:0.223:0.225) (0.109:0.125:0.141))
+    (IOPATH B Y (0.149:0.150:0.151) (0.144:0.144:0.145))
+    (IOPATH B Y (0.192:0.192:0.192) (0.089:0.090:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _3081_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.229:0.229:0.229) (0.149:0.149:0.149))
+    (IOPATH A2 Y (0.200:0.200:0.200) (0.117:0.117:0.117))
+    (IOPATH B1 Y (0.215:0.215:0.215) (0.119:0.119:0.119))
+    (IOPATH B2 Y (0.197:0.197:0.197) (0.107:0.107:0.107))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3082_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.295:0.295:0.295) (0.252:0.252:0.252))
+    (IOPATH B X (0.266:0.266:0.266) (0.222:0.222:0.222))
+    (IOPATH C X (0.301:0.301:0.301) (0.308:0.308:0.308))
+    (IOPATH D X (0.279:0.279:0.279) (0.276:0.276:0.276))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _3083_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.149:0.150:0.151) (0.251:0.259:0.267))
+    (IOPATH B X (0.138:0.138:0.139) (0.230:0.230:0.231))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _3084_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.201:0.207:0.213) (0.091:0.103:0.116))
+    (IOPATH A X (0.193:0.203:0.213) (0.177:0.182:0.188))
+    (IOPATH B X (0.185:0.185:0.185) (0.083:0.083:0.084))
+    (IOPATH B X (0.196:0.196:0.196) (0.160:0.160:0.160))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _3085_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.206:0.206:0.206) (0.104:0.104:0.105))
+    (IOPATH A2 Y (0.191:0.191:0.192) (0.088:0.089:0.089))
+    (IOPATH B1 Y (0.128:0.134:0.139) (0.130:0.132:0.134))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _3086_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.128:0.130:0.131) (0.353:0.357:0.361))
+    (IOPATH B X (0.097:0.097:0.097) (0.329:0.329:0.329))
+    (IOPATH C X (0.091:0.091:0.092) (0.290:0.290:0.290))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _3087_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.173:0.179:0.186) (0.161:0.168:0.174))
+    (IOPATH B X (0.141:0.141:0.142) (0.175:0.175:0.175))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _3088_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.223:0.225:0.227) (0.237:0.240:0.244))
+    (IOPATH A2 X (0.185:0.185:0.185) (0.227:0.231:0.235))
+    (IOPATH B1_N X (0.268:0.269:0.270) (0.188:0.188:0.188))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3089_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.158:0.158:0.159) (0.149:0.150:0.150))
+    (IOPATH A Y (0.229:0.229:0.229) (0.103:0.103:0.103))
+    (IOPATH B Y (0.170:0.170:0.170) (0.155:0.156:0.157))
+    (IOPATH B Y (0.218:0.219:0.220) (0.106:0.106:0.106))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3090_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.169:0.180:0.192) (0.163:0.166:0.168))
+    (IOPATH A Y (0.244:0.246:0.248) (0.114:0.129:0.144))
+    (IOPATH B Y (0.164:0.176:0.187) (0.156:0.159:0.162))
+    (IOPATH B Y (0.221:0.224:0.227) (0.100:0.114:0.128))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _3091_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.189:0.190:0.191) (0.102:0.102:0.102))
+    (IOPATH A2 Y (0.189:0.194:0.199) (0.114:0.115:0.116))
+    (IOPATH B1 Y (0.124:0.124:0.125) (0.087:0.090:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _3092_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.217:0.217:0.217) (0.212:0.212:0.212))
+    (IOPATH B X (0.214:0.214:0.214) (0.237:0.237:0.237))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3093_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.448:0.448:0.448) (0.299:0.299:0.299))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _3094_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.248:0.248:0.248) (0.295:0.295:0.295))
+    (IOPATH A2 X (0.234:0.234:0.234) (0.304:0.304:0.304))
+    (IOPATH B1 X (0.248:0.248:0.248) (0.275:0.275:0.275))
+    (IOPATH B2 X (0.227:0.227:0.227) (0.286:0.286:0.286))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3095_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.430:0.430:0.430) (0.310:0.310:0.310))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_1")
+  (INSTANCE _3096_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.189:0.189:0.189) (0.209:0.209:0.209))
+    (IOPATH B Y (0.204:0.204:0.204) (0.210:0.210:0.210))
+    (IOPATH C Y (0.209:0.209:0.209) (0.221:0.221:0.221))
+    (IOPATH D Y (0.211:0.211:0.211) (0.225:0.225:0.225))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _3097_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.141:0.141:0.141) (0.185:0.185:0.186))
+    (IOPATH A2 X (0.144:0.144:0.145) (0.212:0.212:0.212))
+    (IOPATH B1_N X (0.247:0.249:0.251) (0.234:0.236:0.237))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3098_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.129:0.130:0.130) (0.117:0.117:0.117))
+    (IOPATH B Y (0.135:0.135:0.136) (0.136:0.142:0.148))
+    (IOPATH C Y (0.120:0.121:0.121) (0.121:0.121:0.121))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _3099_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.185:0.185:0.185) (0.232:0.232:0.232))
+    (IOPATH A2 X (0.205:0.205:0.205) (0.295:0.295:0.295))
+    (IOPATH B1 X (0.189:0.189:0.189) (0.245:0.245:0.245))
+    (IOPATH B2 X (0.162:0.162:0.162) (0.217:0.217:0.217))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_1")
+  (INSTANCE _3100_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.120:0.120:0.120) (0.152:0.152:0.152))
+    (IOPATH B Y (0.130:0.130:0.130) (0.150:0.150:0.150))
+    (IOPATH C Y (0.193:0.193:0.193) (0.176:0.176:0.176))
+    (IOPATH D Y (0.186:0.186:0.186) (0.174:0.174:0.174))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3101_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.088:0.088:0.088) (0.073:0.074:0.074))
+    (IOPATH B Y (0.127:0.130:0.133) (0.087:0.091:0.096))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3102_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.110:0.110:0.110) (0.111:0.111:0.111))
+    (IOPATH B Y (0.146:0.146:0.146) (0.141:0.141:0.141))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _3103_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.281:0.282:0.283) (0.116:0.117:0.119))
+    (IOPATH A X (0.271:0.272:0.273) (0.199:0.200:0.201))
+    (IOPATH B X (0.272:0.275:0.278) (0.114:0.116:0.118))
+    (IOPATH B X (0.276:0.277:0.279) (0.190:0.193:0.196))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3104_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.138:0.138:0.138) (0.188:0.188:0.189))
+    (IOPATH A2 X (0.154:0.159:0.164) (0.200:0.200:0.201))
+    (IOPATH B1 X (0.107:0.107:0.107) (0.167:0.167:0.168))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3105_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.135:0.137:0.139) (0.123:0.125:0.127))
+    (IOPATH B Y (0.136:0.142:0.148) (0.173:0.175:0.176))
+    (IOPATH C Y (0.121:0.121:0.121) (0.121:0.121:0.122))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3106_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.111:0.113:0.114) (0.087:0.089:0.091))
+    (IOPATH B Y (0.119:0.124:0.128) (0.090:0.091:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _3107_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.192:0.197:0.202) (0.184:0.196:0.208))
+    (IOPATH B X (0.122:0.122:0.123) (0.156:0.157:0.158))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _3108_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.227:0.232:0.237) (0.104:0.118:0.133))
+    (IOPATH A X (0.220:0.229:0.239) (0.187:0.192:0.196))
+    (IOPATH B X (0.205:0.206:0.207) (0.093:0.094:0.095))
+    (IOPATH B X (0.218:0.219:0.219) (0.165:0.166:0.167))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _3109_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.319:0.320:0.321) (0.154:0.155:0.156))
+    (IOPATH A2 Y (0.316:0.319:0.322) (0.137:0.138:0.140))
+    (IOPATH B1 Y (0.232:0.235:0.237) (0.151:0.156:0.161))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _3110_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.191:0.199:0.206) (0.206:0.207:0.208))
+    (IOPATH B X (0.165:0.172:0.178) (0.189:0.194:0.199))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3111_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.145:0.146:0.146) (0.052:0.052:0.052))
+    (IOPATH B Y (0.132:0.132:0.133) (0.050:0.051:0.052))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3112_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.149:0.160:0.171) (0.149:0.151:0.153))
+    (IOPATH A Y (0.207:0.209:0.211) (0.099:0.113:0.127))
+    (IOPATH B Y (0.159:0.159:0.159) (0.132:0.133:0.134))
+    (IOPATH B Y (0.176:0.177:0.178) (0.102:0.102:0.102))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3113_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.186:0.191:0.196) (0.180:0.184:0.189))
+    (IOPATH A Y (0.246:0.250:0.254) (0.141:0.149:0.157))
+    (IOPATH B Y (0.176:0.177:0.178) (0.146:0.153:0.160))
+    (IOPATH B Y (0.200:0.207:0.213) (0.118:0.119:0.121))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3114_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.139:0.141:0.143) (0.189:0.191:0.192))
+    (IOPATH A2 X (0.130:0.131:0.131) (0.186:0.186:0.187))
+    (IOPATH B1 X (0.140:0.140:0.141) (0.173:0.178:0.183))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3115_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.134:0.136:0.137) (0.106:0.108:0.109))
+    (IOPATH B Y (0.102:0.103:0.103) (0.103:0.104:0.104))
+    (IOPATH C Y (0.106:0.106:0.106) (0.106:0.106:0.107))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3116_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.148:0.150:0.151) (0.213:0.215:0.217))
+    (IOPATH A2 X (0.144:0.145:0.145) (0.196:0.197:0.197))
+    (IOPATH B1 X (0.114:0.114:0.114) (0.169:0.169:0.169))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3117_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.277:0.277:0.277) (0.216:0.216:0.216))
+    (IOPATH B X (0.306:0.306:0.306) (0.264:0.264:0.264))
+    (IOPATH C X (0.300:0.300:0.300) (0.279:0.279:0.279))
+    (IOPATH D X (0.286:0.286:0.286) (0.258:0.258:0.258))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3118_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.122:0.124:0.126) (0.117:0.118:0.119))
+    (IOPATH B Y (0.124:0.124:0.124) (0.118:0.119:0.119))
+    (IOPATH C Y (0.131:0.132:0.133) (0.139:0.139:0.139))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _3119_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.238:0.238:0.238) (0.147:0.147:0.147))
+    (IOPATH A2 Y (0.287:0.287:0.287) (0.168:0.168:0.168))
+    (IOPATH B1 Y (0.249:0.249:0.249) (0.144:0.144:0.144))
+    (IOPATH B2 Y (0.224:0.224:0.224) (0.124:0.124:0.124))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3120_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.223:0.223:0.223) (0.177:0.177:0.177))
+    (IOPATH B X (0.256:0.256:0.256) (0.231:0.231:0.231))
+    (IOPATH C X (0.248:0.248:0.248) (0.266:0.266:0.266))
+    (IOPATH D X (0.244:0.244:0.244) (0.275:0.275:0.275))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _3121_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.149:0.150:0.151) (0.249:0.255:0.261))
+    (IOPATH B X (0.110:0.111:0.111) (0.212:0.213:0.213))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3122_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.111:0.111:0.111) (0.113:0.113:0.113))
+    (IOPATH B Y (0.146:0.146:0.146) (0.142:0.142:0.142))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _3123_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.274:0.274:0.274) (0.107:0.107:0.107))
+    (IOPATH A X (0.259:0.259:0.259) (0.196:0.196:0.196))
+    (IOPATH B X (0.267:0.270:0.273) (0.113:0.114:0.116))
+    (IOPATH B X (0.271:0.272:0.274) (0.189:0.192:0.195))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3124_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.137:0.138:0.139) (0.181:0.183:0.185))
+    (IOPATH A2 X (0.135:0.135:0.135) (0.189:0.190:0.190))
+    (IOPATH B1 X (0.124:0.124:0.124) (0.175:0.176:0.177))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3125_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.142:0.142:0.143) (0.129:0.131:0.133))
+    (IOPATH B Y (0.141:0.147:0.153) (0.177:0.179:0.180))
+    (IOPATH C Y (0.126:0.127:0.127) (0.126:0.127:0.127))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3126_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.113:0.114:0.114) (0.089:0.091:0.093))
+    (IOPATH B Y (0.124:0.128:0.132) (0.094:0.095:0.097))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _3127_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.285:0.291:0.297) (0.165:0.167:0.168))
+    (IOPATH A2 Y (0.270:0.273:0.276) (0.119:0.121:0.122))
+    (IOPATH B1_N Y (0.175:0.176:0.176) (0.200:0.201:0.202))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _3128_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.349:0.349:0.349) (0.264:0.264:0.264))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3129_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.449:0.449:0.449) (0.345:0.345:0.345))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3130_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.415:0.415:0.415) (0.353:0.353:0.353))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3131_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.121:0.121:0.121) (0.117:0.117:0.117))
+    (IOPATH B Y (0.140:0.140:0.140) (0.113:0.113:0.113))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3132_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.187:0.198:0.210) (0.180:0.186:0.191))
+    (IOPATH A Y (0.273:0.278:0.282) (0.130:0.147:0.163))
+    (IOPATH B Y (0.168:0.169:0.170) (0.167:0.172:0.177))
+    (IOPATH B Y (0.246:0.250:0.254) (0.100:0.101:0.102))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3133_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.098:0.102:0.106) (0.076:0.078:0.080))
+    (IOPATH B Y (0.077:0.077:0.078) (0.065:0.066:0.066))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3134_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.153:0.154:0.154) (0.148:0.150:0.153))
+    (IOPATH A Y (0.227:0.230:0.232) (0.097:0.098:0.099))
+    (IOPATH B Y (0.158:0.159:0.160) (0.154:0.155:0.156))
+    (IOPATH B Y (0.217:0.218:0.219) (0.094:0.095:0.096))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a32o_1")
+  (INSTANCE _3135_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.212:0.214:0.216) (0.271:0.275:0.280))
+    (IOPATH A2 X (0.205:0.205:0.205) (0.271:0.271:0.272))
+    (IOPATH A3 X (0.213:0.214:0.215) (0.291:0.292:0.293))
+    (IOPATH B1 X (0.183:0.198:0.212) (0.242:0.248:0.253))
+    (IOPATH B2 X (0.180:0.194:0.207) (0.249:0.251:0.253))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _3136_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.151:0.165:0.179) (0.154:0.162:0.170))
+    (IOPATH B X (0.155:0.156:0.156) (0.173:0.174:0.174))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3137_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.261:0.261:0.261) (0.223:0.223:0.223))
+    (IOPATH B X (0.252:0.252:0.252) (0.258:0.258:0.258))
+    (IOPATH C X (0.218:0.231:0.244) (0.228:0.233:0.238))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _3138_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.063:0.063:0.064) (0.049:0.049:0.049))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3139_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.163:0.174:0.184) (0.157:0.165:0.173))
+    (IOPATH A Y (0.237:0.244:0.251) (0.107:0.121:0.135))
+    (IOPATH B Y (0.165:0.166:0.166) (0.154:0.155:0.156))
+    (IOPATH B Y (0.219:0.219:0.220) (0.101:0.102:0.102))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3140_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.126:0.126:0.126) (0.047:0.048:0.048))
+    (IOPATH B Y (0.125:0.128:0.132) (0.061:0.071:0.081))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _3141_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.105:0.119:0.133) (0.358:0.362:0.365))
+    (IOPATH B X (0.099:0.100:0.100) (0.331:0.331:0.331))
+    (IOPATH C X (0.112:0.112:0.112) (0.291:0.295:0.298))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _3142_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.200:0.200:0.200) (0.102:0.102:0.102))
+    (IOPATH A2 Y (0.182:0.188:0.193) (0.102:0.102:0.102))
+    (IOPATH B1 Y (0.127:0.131:0.136) (0.100:0.115:0.130))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _3143_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.151:0.152:0.152) (0.166:0.167:0.167))
+    (IOPATH B X (0.187:0.193:0.198) (0.190:0.196:0.202))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3144_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.166:0.177:0.189) (0.160:0.167:0.173))
+    (IOPATH A Y (0.235:0.240:0.246) (0.112:0.128:0.143))
+    (IOPATH B Y (0.161:0.173:0.184) (0.153:0.155:0.158))
+    (IOPATH B Y (0.212:0.214:0.216) (0.098:0.112:0.126))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3145_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.148:0.148:0.148) (0.151:0.151:0.151))
+    (IOPATH B Y (0.162:0.162:0.162) (0.147:0.147:0.147))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3146_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.235:0.235:0.235) (0.198:0.198:0.198))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3147_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.388:0.388:0.388) (0.313:0.313:0.313))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _3148_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.211:0.211:0.211) (0.122:0.122:0.122))
+    (IOPATH A2 Y (0.237:0.237:0.237) (0.113:0.113:0.113))
+    (IOPATH B1 Y (0.179:0.179:0.179) (0.098:0.098:0.098))
+    (IOPATH B2 Y (0.260:0.260:0.260) (0.120:0.120:0.120))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3149_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.297:0.297:0.297) (0.214:0.214:0.214))
+    (IOPATH B X (0.286:0.286:0.286) (0.234:0.234:0.234))
+    (IOPATH C X (0.294:0.294:0.294) (0.256:0.256:0.256))
+    (IOPATH D X (0.298:0.298:0.298) (0.267:0.267:0.267))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _3150_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.143:0.144:0.145) (0.414:0.417:0.420))
+    (IOPATH B X (0.157:0.160:0.164) (0.379:0.384:0.389))
+    (IOPATH C X (0.154:0.154:0.154) (0.345:0.346:0.346))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _3151_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.189:0.194:0.200) (0.117:0.120:0.123))
+    (IOPATH A2 Y (0.180:0.181:0.182) (0.103:0.103:0.103))
+    (IOPATH B1 Y (0.133:0.137:0.142) (0.098:0.099:0.100))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _3152_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.232:0.232:0.232) (0.149:0.149:0.149))
+    (IOPATH A2 Y (0.235:0.235:0.235) (0.140:0.140:0.140))
+    (IOPATH B1 Y (0.215:0.215:0.215) (0.133:0.133:0.133))
+    (IOPATH B2 Y (0.213:0.213:0.213) (0.117:0.117:0.117))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3153_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.151:0.152:0.153) (0.080:0.080:0.080))
+    (IOPATH B Y (0.141:0.150:0.159) (0.078:0.078:0.079))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3154_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.173:0.173:0.173) (0.161:0.161:0.161))
+    (IOPATH B Y (0.181:0.184:0.188) (0.184:0.190:0.196))
+    (IOPATH C Y (0.167:0.168:0.169) (0.187:0.187:0.187))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3155_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.141:0.143:0.145) (0.191:0.191:0.192))
+    (IOPATH A2 X (0.132:0.132:0.133) (0.187:0.188:0.188))
+    (IOPATH B1 X (0.140:0.141:0.142) (0.173:0.178:0.183))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3b_1")
+  (INSTANCE _3156_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N Y (0.181:0.183:0.184) (0.257:0.258:0.259))
+    (IOPATH B Y (0.128:0.129:0.129) (0.123:0.123:0.123))
+    (IOPATH C Y (0.160:0.164:0.169) (0.139:0.140:0.142))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_1")
+  (INSTANCE _3157_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.280:0.283:0.287) (0.079:0.080:0.081))
+    (IOPATH B Y (0.255:0.260:0.265) (0.090:0.092:0.095))
+    (IOPATH C Y (0.222:0.223:0.224) (0.084:0.084:0.084))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_1")
+  (INSTANCE _3158_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.192:0.192:0.192) (0.243:0.244:0.245))
+    (IOPATH A2 X (0.188:0.188:0.188) (0.216:0.219:0.222))
+    (IOPATH B1 X (0.193:0.193:0.193) (0.154:0.154:0.154))
+    (IOPATH C1 X (0.215:0.215:0.215) (0.180:0.180:0.180))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3159_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.333:0.333:0.333) (0.268:0.268:0.268))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211oi_1")
+  (INSTANCE _3160_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.314:0.314:0.314) (0.136:0.136:0.136))
+    (IOPATH A2 Y (0.355:0.355:0.355) (0.147:0.147:0.147))
+    (IOPATH B1 Y (0.294:0.295:0.296) (0.087:0.087:0.087))
+    (IOPATH C1 Y (0.246:0.248:0.250) (0.098:0.098:0.098))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3161_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.135:0.136:0.137) (0.056:0.057:0.057))
+    (IOPATH B Y (0.128:0.135:0.141) (0.090:0.092:0.095))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3162_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.348:0.348:0.348) (0.288:0.288:0.288))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3163_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.490:0.490:0.490) (0.350:0.350:0.350))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3164_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.502:0.502:0.502) (0.393:0.393:0.393))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3165_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.151:0.151:0.151) (0.155:0.155:0.155))
+    (IOPATH B Y (0.192:0.192:0.192) (0.157:0.157:0.157))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3166_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.194:0.194:0.194) (0.160:0.169:0.178))
+    (IOPATH A Y (0.271:0.278:0.286) (0.133:0.133:0.133))
+    (IOPATH B Y (0.188:0.190:0.193) (0.191:0.194:0.197))
+    (IOPATH B Y (0.282:0.285:0.287) (0.117:0.119:0.122))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _3167_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.142:0.143:0.145) (0.205:0.209:0.213))
+    (IOPATH A2 X (0.128:0.128:0.128) (0.200:0.200:0.201))
+    (IOPATH B1_N X (0.245:0.247:0.248) (0.239:0.240:0.242))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3168_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.145:0.145:0.146) (0.132:0.134:0.136))
+    (IOPATH B Y (0.150:0.152:0.155) (0.144:0.163:0.182))
+    (IOPATH C Y (0.126:0.126:0.127) (0.126:0.126:0.126))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3169_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.121:0.121:0.122) (0.097:0.099:0.101))
+    (IOPATH B Y (0.128:0.133:0.137) (0.098:0.100:0.102))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _3170_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.128:0.140:0.153) (0.243:0.247:0.252))
+    (IOPATH B_N X (0.178:0.179:0.180) (0.249:0.250:0.250))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _3171_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.260:0.263:0.266) (0.241:0.247:0.254))
+    (IOPATH A2 X (0.213:0.215:0.217) (0.245:0.248:0.250))
+    (IOPATH B1_N X (0.294:0.295:0.297) (0.195:0.195:0.196))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3172_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.157:0.168:0.179) (0.154:0.160:0.165))
+    (IOPATH A Y (0.224:0.229:0.234) (0.105:0.119:0.132))
+    (IOPATH B Y (0.153:0.154:0.155) (0.153:0.154:0.155))
+    (IOPATH B Y (0.207:0.208:0.209) (0.092:0.093:0.094))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _3173_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.144:0.144:0.144) (0.250:0.251:0.252))
+    (IOPATH B_N X (0.177:0.181:0.186) (0.251:0.260:0.268))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3174_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.134:0.134:0.135) (0.132:0.133:0.133))
+    (IOPATH A Y (0.196:0.196:0.196) (0.081:0.081:0.081))
+    (IOPATH B Y (0.151:0.163:0.175) (0.146:0.150:0.154))
+    (IOPATH B Y (0.193:0.196:0.200) (0.091:0.104:0.118))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3175_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.142:0.142:0.143) (0.182:0.182:0.182))
+    (IOPATH A2 X (0.147:0.147:0.147) (0.204:0.204:0.204))
+    (IOPATH B1 X (0.123:0.133:0.143) (0.179:0.182:0.185))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _3176_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.057:0.057:0.058) (0.042:0.042:0.042))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3177_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.151:0.151:0.151) (0.198:0.198:0.198))
+    (IOPATH A2 X (0.170:0.175:0.180) (0.211:0.213:0.216))
+    (IOPATH B1 X (0.141:0.141:0.141) (0.181:0.182:0.183))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _3178_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.205:0.205:0.205) (0.186:0.186:0.186))
+    (IOPATH B X (0.230:0.230:0.230) (0.248:0.248:0.248))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_1")
+  (INSTANCE _3179_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.195:0.195:0.195) (0.207:0.207:0.207))
+    (IOPATH B Y (0.201:0.201:0.201) (0.203:0.203:0.203))
+    (IOPATH C Y (0.157:0.157:0.157) (0.173:0.173:0.173))
+    (IOPATH D Y (0.152:0.152:0.152) (0.177:0.177:0.177))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _3180_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.259:0.259:0.259) (0.309:0.309:0.309))
+    (IOPATH A2 X (0.212:0.212:0.212) (0.283:0.283:0.283))
+    (IOPATH B1 X (0.213:0.213:0.213) (0.233:0.233:0.233))
+    (IOPATH B2 X (0.197:0.197:0.197) (0.249:0.249:0.249))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3181_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.172:0.172:0.172) (0.155:0.156:0.156))
+    (IOPATH B X (0.179:0.180:0.182) (0.210:0.218:0.227))
+    (IOPATH C X (0.177:0.177:0.178) (0.194:0.195:0.195))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3182_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.209:0.216:0.223) (0.100:0.102:0.103))
+    (IOPATH A2 Y (0.201:0.201:0.201) (0.098:0.099:0.099))
+    (IOPATH B1 Y (0.172:0.172:0.172) (0.071:0.071:0.071))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4bb_1")
+  (INSTANCE _3183_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.327:0.328:0.328) (0.229:0.229:0.229))
+    (IOPATH B_N X (0.349:0.353:0.357) (0.281:0.282:0.284))
+    (IOPATH C X (0.328:0.328:0.328) (0.312:0.312:0.312))
+    (IOPATH D X (0.337:0.337:0.337) (0.315:0.315:0.315))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3184_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.205:0.207:0.209) (0.213:0.214:0.215))
+    (IOPATH B X (0.186:0.186:0.187) (0.183:0.183:0.184))
+    (IOPATH C X (0.204:0.204:0.205) (0.209:0.210:0.211))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3185_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.144:0.145:0.146) (0.124:0.126:0.128))
+    (IOPATH B Y (0.110:0.110:0.111) (0.108:0.108:0.108))
+    (IOPATH C Y (0.119:0.120:0.121) (0.125:0.125:0.126))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3186_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.096:0.096:0.096) (0.097:0.097:0.097))
+    (IOPATH B Y (0.157:0.157:0.157) (0.138:0.138:0.138))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _3187_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.168:0.168:0.169) (0.205:0.206:0.206))
+    (IOPATH A2 X (0.168:0.169:0.169) (0.231:0.232:0.232))
+    (IOPATH B1_N X (0.259:0.267:0.274) (0.251:0.252:0.253))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3188_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.092:0.092:0.092) (0.094:0.094:0.094))
+    (IOPATH B Y (0.153:0.153:0.153) (0.120:0.120:0.120))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3189_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.181:0.181:0.182) (0.168:0.169:0.169))
+    (IOPATH A Y (0.271:0.271:0.272) (0.120:0.120:0.121))
+    (IOPATH B Y (0.170:0.174:0.178) (0.168:0.171:0.175))
+    (IOPATH B Y (0.255:0.258:0.261) (0.100:0.104:0.108))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3190_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.174:0.178:0.181) (0.175:0.182:0.189))
+    (IOPATH A Y (0.278:0.284:0.290) (0.114:0.118:0.122))
+    (IOPATH B Y (0.191:0.203:0.216) (0.177:0.179:0.181))
+    (IOPATH B Y (0.263:0.265:0.268) (0.122:0.141:0.159))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3191_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.152:0.154:0.155) (0.212:0.213:0.214))
+    (IOPATH A2 X (0.135:0.135:0.136) (0.189:0.190:0.190))
+    (IOPATH B1 X (0.121:0.121:0.121) (0.175:0.176:0.177))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3192_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.232:0.233:0.233) (0.203:0.206:0.210))
+    (IOPATH B X (0.248:0.265:0.283) (0.225:0.229:0.234))
+    (IOPATH C X (0.232:0.232:0.232) (0.220:0.220:0.220))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3193_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.141:0.142:0.144) (0.192:0.192:0.193))
+    (IOPATH A2 X (0.128:0.128:0.128) (0.185:0.186:0.186))
+    (IOPATH B1 X (0.118:0.129:0.139) (0.179:0.181:0.183))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_1")
+  (INSTANCE _3194_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.194:0.194:0.194) (0.247:0.247:0.248))
+    (IOPATH A2 X (0.188:0.188:0.188) (0.240:0.241:0.241))
+    (IOPATH B1 X (0.195:0.196:0.198) (0.177:0.182:0.186))
+    (IOPATH C1 X (0.170:0.171:0.171) (0.128:0.128:0.129))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3195_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.379:0.379:0.379) (0.264:0.264:0.264))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3196_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.236:0.236:0.236) (0.207:0.207:0.207))
+    (IOPATH B X (0.227:0.227:0.227) (0.240:0.240:0.240))
+    (IOPATH C X (0.182:0.182:0.182) (0.195:0.195:0.196))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31oi_2")
+  (INSTANCE _3197_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.249:0.249:0.249) (0.172:0.172:0.172))
+    (IOPATH A2 Y (0.324:0.324:0.324) (0.194:0.194:0.194))
+    (IOPATH A3 Y (0.273:0.275:0.277) (0.142:0.156:0.170))
+    (IOPATH B1 Y (0.221:0.221:0.221) (0.060:0.061:0.061))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211oi_1")
+  (INSTANCE _3198_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.308:0.311:0.315) (0.103:0.105:0.107))
+    (IOPATH A2 Y (0.311:0.311:0.312) (0.087:0.087:0.087))
+    (IOPATH B1 Y (0.294:0.295:0.295) (0.069:0.069:0.069))
+    (IOPATH C1 Y (0.265:0.265:0.266) (0.082:0.082:0.082))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_1")
+  (INSTANCE _3199_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.385:0.386:0.388) (0.093:0.093:0.094))
+    (IOPATH B Y (0.369:0.385:0.400) (0.119:0.126:0.133))
+    (IOPATH C Y (0.334:0.339:0.343) (0.137:0.140:0.144))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _3200_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.170:0.170:0.170) (0.211:0.212:0.214))
+    (IOPATH A2 X (0.191:0.193:0.195) (0.193:0.199:0.204))
+    (IOPATH B1 X (0.190:0.196:0.201) (0.125:0.146:0.166))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3201_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.189:0.192:0.195) (0.089:0.090:0.090))
+    (IOPATH A2 Y (0.189:0.190:0.190) (0.081:0.081:0.082))
+    (IOPATH B1 Y (0.181:0.185:0.188) (0.075:0.087:0.099))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3202_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.089:0.089:0.089) (0.090:0.090:0.090))
+    (IOPATH B Y (0.149:0.149:0.149) (0.127:0.127:0.127))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _3203_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.224:0.224:0.224) (0.285:0.285:0.285))
+    (IOPATH A2 X (0.204:0.204:0.204) (0.282:0.282:0.282))
+    (IOPATH B1 X (0.174:0.174:0.174) (0.206:0.206:0.206))
+    (IOPATH B2 X (0.162:0.162:0.162) (0.224:0.224:0.224))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3204_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.270:0.270:0.270) (0.244:0.244:0.244))
+    (IOPATH B X (0.226:0.226:0.226) (0.205:0.205:0.205))
+    (IOPATH C X (0.254:0.254:0.254) (0.252:0.252:0.252))
+    (IOPATH D X (0.240:0.240:0.240) (0.231:0.231:0.231))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _3205_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.224:0.224:0.224) (0.250:0.250:0.250))
+    (IOPATH A2 X (0.243:0.243:0.243) (0.305:0.305:0.305))
+    (IOPATH A3 X (0.182:0.182:0.183) (0.240:0.240:0.240))
+    (IOPATH B1 X (0.140:0.141:0.141) (0.208:0.209:0.210))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3206_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.096:0.096:0.096) (0.098:0.098:0.098))
+    (IOPATH B Y (0.151:0.151:0.151) (0.118:0.118:0.118))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3207_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.165:0.165:0.166) (0.157:0.157:0.158))
+    (IOPATH A Y (0.240:0.241:0.241) (0.109:0.109:0.110))
+    (IOPATH B Y (0.156:0.160:0.164) (0.162:0.163:0.165))
+    (IOPATH B Y (0.229:0.230:0.232) (0.091:0.095:0.099))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3208_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.193:0.197:0.201) (0.187:0.193:0.200))
+    (IOPATH A Y (0.317:0.323:0.329) (0.126:0.131:0.135))
+    (IOPATH B Y (0.207:0.218:0.230) (0.186:0.189:0.191))
+    (IOPATH B Y (0.301:0.303:0.305) (0.131:0.149:0.166))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o2bb2a_1")
+  (INSTANCE _3209_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1_N X (0.313:0.313:0.313) (0.261:0.261:0.261))
+    (IOPATH A2_N X (0.288:0.288:0.288) (0.271:0.271:0.271))
+    (IOPATH B1 X (0.147:0.147:0.147) (0.283:0.284:0.285))
+    (IOPATH B2 X (0.159:0.161:0.163) (0.276:0.280:0.284))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3210_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.187:0.188:0.189) (0.097:0.097:0.097))
+    (IOPATH B Y (0.157:0.160:0.162) (0.058:0.058:0.058))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3211_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.097:0.100:0.102) (0.088:0.101:0.114))
+    (IOPATH B Y (0.077:0.082:0.087) (0.093:0.093:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_2")
+  (INSTANCE _3212_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.190:0.190:0.190) (0.545:0.545:0.546))
+    (IOPATH B X (0.189:0.192:0.194) (0.513:0.518:0.523))
+    (IOPATH C X (0.156:0.156:0.156) (0.476:0.481:0.487))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3213_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.228:0.228:0.228) (0.211:0.211:0.211))
+    (IOPATH B X (0.206:0.206:0.206) (0.227:0.227:0.227))
+    (IOPATH C X (0.160:0.160:0.161) (0.179:0.179:0.180))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _3214_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.278:0.278:0.278) (0.274:0.274:0.274))
+    (IOPATH A2 X (0.287:0.287:0.287) (0.334:0.334:0.334))
+    (IOPATH A3 X (0.234:0.246:0.259) (0.274:0.276:0.279))
+    (IOPATH B1 X (0.172:0.173:0.174) (0.229:0.230:0.230))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _3215_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.207:0.208:0.209) (0.120:0.120:0.120))
+    (IOPATH A2 Y (0.187:0.192:0.198) (0.107:0.109:0.111))
+    (IOPATH B1 Y (0.125:0.132:0.140) (0.090:0.091:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_2")
+  (INSTANCE _3216_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.133:0.133:0.133) (0.118:0.118:0.118))
+    (IOPATH B Y (0.130:0.131:0.132) (0.146:0.146:0.147))
+    (IOPATH C Y (0.123:0.125:0.128) (0.139:0.144:0.148))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211ai_2")
+  (INSTANCE _3217_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.217:0.224:0.231) (0.171:0.171:0.171))
+    (IOPATH A2 Y (0.188:0.189:0.190) (0.108:0.108:0.108))
+    (IOPATH B1 Y (0.123:0.123:0.123) (0.120:0.120:0.121))
+    (IOPATH C1 Y (0.111:0.112:0.113) (0.112:0.113:0.114))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3218_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.140:0.140:0.140) (0.198:0.198:0.198))
+    (IOPATH A2 X (0.157:0.162:0.168) (0.200:0.202:0.204))
+    (IOPATH B1 X (0.127:0.128:0.128) (0.182:0.183:0.183))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3219_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.177:0.190:0.203) (0.176:0.179:0.182))
+    (IOPATH A Y (0.255:0.257:0.259) (0.125:0.143:0.161))
+    (IOPATH B Y (0.181:0.181:0.181) (0.146:0.150:0.155))
+    (IOPATH B Y (0.212:0.216:0.220) (0.120:0.120:0.120))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3220_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.387:0.387:0.387) (0.322:0.322:0.322))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3221_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.126:0.126:0.126) (0.109:0.109:0.109))
+    (IOPATH B Y (0.125:0.125:0.125) (0.117:0.117:0.117))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _3222_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.204:0.205:0.206) (0.195:0.195:0.196))
+    (IOPATH B X (0.141:0.142:0.142) (0.168:0.168:0.168))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3223_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.167:0.168:0.168) (0.172:0.173:0.174))
+    (IOPATH A Y (0.263:0.264:0.265) (0.109:0.109:0.110))
+    (IOPATH B Y (0.164:0.164:0.164) (0.154:0.154:0.154))
+    (IOPATH B Y (0.231:0.231:0.231) (0.097:0.097:0.097))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3224_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.299:0.299:0.299) (0.253:0.253:0.253))
+    (IOPATH B X (0.293:0.293:0.293) (0.257:0.257:0.257))
+    (IOPATH C X (0.275:0.275:0.275) (0.243:0.243:0.243))
+    (IOPATH D X (0.302:0.302:0.302) (0.319:0.319:0.319))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3225_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.371:0.371:0.371) (0.293:0.293:0.293))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3226_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.124:0.124:0.124) (0.107:0.107:0.107))
+    (IOPATH B Y (0.150:0.150:0.150) (0.116:0.116:0.116))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3227_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.170:0.170:0.170) (0.156:0.157:0.158))
+    (IOPATH A Y (0.236:0.237:0.238) (0.114:0.115:0.115))
+    (IOPATH B Y (0.157:0.159:0.160) (0.161:0.162:0.163))
+    (IOPATH B Y (0.225:0.226:0.227) (0.092:0.094:0.096))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3228_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.140:0.140:0.140) (0.132:0.132:0.132))
+    (IOPATH B Y (0.157:0.157:0.157) (0.139:0.139:0.139))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3229_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.160:0.171:0.182) (0.154:0.157:0.159))
+    (IOPATH A Y (0.228:0.230:0.232) (0.106:0.120:0.134))
+    (IOPATH B Y (0.155:0.156:0.157) (0.168:0.170:0.173))
+    (IOPATH B Y (0.224:0.226:0.229) (0.092:0.093:0.094))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3230_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.124:0.126:0.129) (0.113:0.131:0.149))
+    (IOPATH B Y (0.125:0.130:0.135) (0.107:0.122:0.137))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3231_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.156:0.162:0.169) (0.074:0.087:0.101))
+    (IOPATH B Y (0.148:0.153:0.158) (0.070:0.071:0.072))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3232_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.203:0.203:0.203) (0.200:0.200:0.200))
+    (IOPATH B X (0.206:0.206:0.206) (0.227:0.227:0.227))
+    (IOPATH C X (0.166:0.166:0.166) (0.181:0.182:0.183))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _3233_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.259:0.259:0.259) (0.272:0.272:0.272))
+    (IOPATH A2 X (0.258:0.258:0.258) (0.317:0.317:0.317))
+    (IOPATH A3 X (0.203:0.215:0.227) (0.256:0.258:0.261))
+    (IOPATH B1 X (0.142:0.143:0.144) (0.212:0.213:0.213))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _3234_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.221:0.228:0.235) (0.101:0.116:0.130))
+    (IOPATH A X (0.213:0.223:0.233) (0.185:0.192:0.199))
+    (IOPATH B X (0.208:0.214:0.219) (0.102:0.103:0.103))
+    (IOPATH B X (0.219:0.219:0.220) (0.173:0.179:0.184))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _3235_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.162:0.163:0.164) (0.158:0.159:0.159))
+    (IOPATH B X (0.181:0.182:0.184) (0.172:0.179:0.186))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211o_1")
+  (INSTANCE _3236_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.175:0.176:0.177) (0.317:0.318:0.319))
+    (IOPATH A2 X (0.167:0.167:0.167) (0.328:0.328:0.329))
+    (IOPATH B1 X (0.166:0.166:0.166) (0.305:0.309:0.313))
+    (IOPATH C1 X (0.144:0.144:0.145) (0.265:0.265:0.265))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3237_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.167:0.168:0.168) (0.158:0.159:0.159))
+    (IOPATH A Y (0.239:0.239:0.240) (0.112:0.112:0.113))
+    (IOPATH B Y (0.183:0.184:0.185) (0.150:0.157:0.164))
+    (IOPATH B Y (0.216:0.222:0.229) (0.122:0.123:0.125))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _3238_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.232:0.235:0.237) (0.107:0.123:0.138))
+    (IOPATH A X (0.225:0.235:0.245) (0.190:0.192:0.194))
+    (IOPATH B X (0.209:0.213:0.217) (0.099:0.114:0.129))
+    (IOPATH B X (0.224:0.232:0.240) (0.166:0.170:0.175))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3239_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.386:0.386:0.386) (0.302:0.302:0.302))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _3240_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.213:0.213:0.213) (0.139:0.139:0.139))
+    (IOPATH A2 Y (0.221:0.221:0.221) (0.148:0.148:0.148))
+    (IOPATH B1 Y (0.189:0.189:0.189) (0.104:0.104:0.104))
+    (IOPATH B2 Y (0.185:0.185:0.185) (0.109:0.109:0.109))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _3241_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.158:0.158:0.158) (0.262:0.263:0.264))
+    (IOPATH B X (0.157:0.158:0.159) (0.253:0.255:0.257))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _3242_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.271:0.271:0.271) (0.166:0.166:0.166))
+    (IOPATH A2 Y (0.297:0.297:0.297) (0.195:0.195:0.195))
+    (IOPATH B1 Y (0.261:0.261:0.261) (0.149:0.149:0.149))
+    (IOPATH B2 Y (0.261:0.261:0.261) (0.139:0.139:0.139))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3243_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.260:0.260:0.260) (0.224:0.224:0.224))
+    (IOPATH B X (0.298:0.298:0.298) (0.268:0.268:0.268))
+    (IOPATH C X (0.272:0.272:0.272) (0.286:0.286:0.286))
+    (IOPATH D X (0.259:0.259:0.259) (0.276:0.276:0.276))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _3244_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.231:0.231:0.231) (0.116:0.116:0.116))
+    (IOPATH A2 Y (0.226:0.232:0.237) (0.130:0.131:0.132))
+    (IOPATH B1_N Y (0.157:0.158:0.158) (0.185:0.186:0.187))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3245_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.130:0.131:0.132) (0.063:0.063:0.064))
+    (IOPATH B Y (0.134:0.140:0.145) (0.081:0.082:0.082))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3246_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.172:0.172:0.172) (0.168:0.169:0.169))
+    (IOPATH A Y (0.260:0.260:0.260) (0.114:0.114:0.114))
+    (IOPATH B Y (0.179:0.179:0.180) (0.151:0.156:0.161))
+    (IOPATH B Y (0.229:0.233:0.237) (0.112:0.113:0.113))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3247_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.273:0.273:0.273) (0.233:0.233:0.233))
+    (IOPATH B X (0.304:0.304:0.304) (0.269:0.269:0.269))
+    (IOPATH C X (0.278:0.278:0.278) (0.255:0.255:0.255))
+    (IOPATH D X (0.267:0.267:0.267) (0.277:0.277:0.277))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3248_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.135:0.135:0.135) (0.131:0.131:0.131))
+    (IOPATH B Y (0.131:0.131:0.131) (0.126:0.126:0.126))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _3249_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.271:0.271:0.271) (0.190:0.190:0.190))
+    (IOPATH A2 Y (0.289:0.289:0.289) (0.169:0.169:0.169))
+    (IOPATH B1 Y (0.234:0.234:0.234) (0.135:0.135:0.135))
+    (IOPATH B2 Y (0.268:0.268:0.268) (0.160:0.160:0.160))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _3250_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.136:0.137:0.137) (0.407:0.411:0.416))
+    (IOPATH B X (0.139:0.140:0.140) (0.372:0.373:0.374))
+    (IOPATH C X (0.161:0.163:0.164) (0.352:0.358:0.364))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _3251_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.142:0.142:0.143) (0.250:0.250:0.251))
+    (IOPATH B_N X (0.200:0.200:0.200) (0.257:0.257:0.258))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3252_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.084:0.086:0.088) (0.079:0.091:0.103))
+    (IOPATH B Y (0.088:0.088:0.088) (0.072:0.072:0.072))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _3253_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.165:0.166:0.166) (0.093:0.094:0.094))
+    (IOPATH A2 Y (0.171:0.178:0.184) (0.102:0.103:0.104))
+    (IOPATH B1 Y (0.114:0.119:0.125) (0.084:0.085:0.085))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3254_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.300:0.300:0.300) (0.235:0.235:0.235))
+    (IOPATH B X (0.317:0.317:0.317) (0.278:0.278:0.278))
+    (IOPATH C X (0.287:0.287:0.287) (0.288:0.288:0.288))
+    (IOPATH D X (0.287:0.287:0.287) (0.307:0.307:0.307))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3255_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.192:0.192:0.192) (0.187:0.187:0.187))
+    (IOPATH B X (0.208:0.213:0.218) (0.196:0.197:0.198))
+    (IOPATH C X (0.209:0.210:0.210) (0.209:0.210:0.211))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _3256_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.187:0.189:0.191) (0.090:0.104:0.117))
+    (IOPATH A X (0.179:0.189:0.199) (0.176:0.178:0.180))
+    (IOPATH B X (0.165:0.165:0.165) (0.078:0.078:0.078))
+    (IOPATH B X (0.176:0.176:0.176) (0.155:0.155:0.155))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3257_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.097:0.097:0.098) (0.093:0.093:0.093))
+    (IOPATH B Y (0.097:0.104:0.111) (0.103:0.105:0.106))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3258_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.158:0.159:0.160) (0.135:0.144:0.153))
+    (IOPATH A Y (0.184:0.191:0.199) (0.113:0.114:0.115))
+    (IOPATH B Y (0.144:0.153:0.162) (0.147:0.147:0.148))
+    (IOPATH B Y (0.178:0.178:0.178) (0.087:0.097:0.107))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3259_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.155:0.162:0.168) (0.071:0.071:0.072))
+    (IOPATH A2 Y (0.183:0.184:0.184) (0.083:0.084:0.085))
+    (IOPATH B1 Y (0.148:0.152:0.155) (0.052:0.062:0.072))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3260_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.245:0.252:0.260) (0.146:0.148:0.150))
+    (IOPATH A2 Y (0.276:0.276:0.277) (0.125:0.139:0.153))
+    (IOPATH B1 Y (0.232:0.235:0.238) (0.095:0.097:0.099))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3261_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.188:0.190:0.192) (0.082:0.097:0.112))
+    (IOPATH B Y (0.174:0.181:0.189) (0.108:0.110:0.112))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211ai_1")
+  (INSTANCE _3262_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.262:0.266:0.271) (0.160:0.160:0.160))
+    (IOPATH A2 Y (0.247:0.248:0.248) (0.128:0.128:0.128))
+    (IOPATH B1 Y (0.171:0.172:0.173) (0.144:0.145:0.146))
+    (IOPATH C1 Y (0.131:0.131:0.131) (0.128:0.129:0.129))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _3263_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.149:0.150:0.150) (0.200:0.201:0.201))
+    (IOPATH A2 X (0.171:0.171:0.171) (0.214:0.220:0.226))
+    (IOPATH B1_N X (0.232:0.235:0.239) (0.244:0.255:0.265))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211o_1")
+  (INSTANCE _3264_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.162:0.162:0.162) (0.312:0.312:0.312))
+    (IOPATH A2 X (0.166:0.168:0.169) (0.345:0.346:0.346))
+    (IOPATH B1 X (0.198:0.198:0.198) (0.306:0.313:0.319))
+    (IOPATH C1 X (0.140:0.140:0.140) (0.259:0.260:0.261))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _3265_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.191:0.203:0.214) (0.231:0.238:0.245))
+    (IOPATH A2 X (0.190:0.191:0.191) (0.246:0.246:0.246))
+    (IOPATH B1_N X (0.261:0.261:0.262) (0.259:0.260:0.260))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3266_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.170:0.170:0.170) (0.162:0.163:0.164))
+    (IOPATH A Y (0.232:0.233:0.234) (0.119:0.119:0.120))
+    (IOPATH B Y (0.156:0.167:0.179) (0.149:0.154:0.159))
+    (IOPATH B Y (0.205:0.209:0.213) (0.094:0.107:0.120))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3267_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.194:0.196:0.197) (0.079:0.079:0.079))
+    (IOPATH B Y (0.186:0.192:0.199) (0.139:0.139:0.139))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3268_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.161:0.172:0.183) (0.156:0.159:0.163))
+    (IOPATH A Y (0.233:0.236:0.239) (0.107:0.121:0.134))
+    (IOPATH B Y (0.183:0.183:0.183) (0.151:0.163:0.176))
+    (IOPATH B Y (0.212:0.224:0.236) (0.124:0.124:0.124))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _3269_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.191:0.204:0.217) (0.206:0.206:0.206))
+    (IOPATH B X (0.130:0.142:0.154) (0.160:0.163:0.166))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3270_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.232:0.232:0.232) (0.231:0.232:0.232))
+    (IOPATH A2 X (0.225:0.239:0.252) (0.246:0.250:0.254))
+    (IOPATH B1 X (0.178:0.178:0.178) (0.210:0.210:0.210))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3271_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.120:0.120:0.120) (0.116:0.116:0.117))
+    (IOPATH B Y (0.136:0.136:0.136) (0.125:0.125:0.125))
+    (IOPATH C Y (0.130:0.134:0.137) (0.132:0.144:0.155))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _3272_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.150:0.150:0.150) (0.073:0.073:0.073))
+    (IOPATH A2 Y (0.163:0.163:0.164) (0.094:0.095:0.095))
+    (IOPATH B1 Y (0.122:0.124:0.125) (0.093:0.094:0.096))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _3273_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.300:0.301:0.301) (0.130:0.130:0.130))
+    (IOPATH A X (0.297:0.297:0.297) (0.204:0.204:0.204))
+    (IOPATH B X (0.282:0.283:0.285) (0.128:0.134:0.139))
+    (IOPATH B X (0.301:0.304:0.307) (0.184:0.186:0.188))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _3274_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.363:0.363:0.363) (0.285:0.285:0.285))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _3275_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.354:0.354:0.354) (0.267:0.267:0.267))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _3276_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.372:0.372:0.372) (0.276:0.276:0.276))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3277_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.308:0.308:0.308) (0.261:0.261:0.261))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3278_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.344:0.344:0.344) (0.292:0.292:0.292))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _3279_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.374:0.374:0.374) (0.296:0.296:0.296))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+  (INSTANCE _3280_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.203:0.203:0.203) (0.178:0.178:0.178))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _3281_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.237:0.237:0.237) (0.275:0.275:0.275))
+    (IOPATH A2 X (0.242:0.242:0.242) (0.303:0.303:0.303))
+    (IOPATH B1 X (0.235:0.235:0.235) (0.259:0.259:0.259))
+    (IOPATH B2 X (0.231:0.231:0.231) (0.270:0.270:0.270))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3282_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.264:0.264:0.264) (0.204:0.204:0.204))
+    (IOPATH B X (0.279:0.279:0.279) (0.235:0.235:0.235))
+    (IOPATH C X (0.280:0.280:0.280) (0.253:0.253:0.253))
+    (IOPATH D X (0.287:0.287:0.287) (0.272:0.272:0.272))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _3283_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.291:0.291:0.291) (0.271:0.271:0.271))
+    (IOPATH A2 X (0.280:0.280:0.280) (0.306:0.306:0.306))
+    (IOPATH A3 X (0.263:0.263:0.263) (0.294:0.295:0.295))
+    (IOPATH B1 X (0.218:0.218:0.218) (0.255:0.256:0.257))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3284_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.248:0.248:0.248) (0.203:0.203:0.203))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3285_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.285:0.285:0.285) (0.234:0.234:0.234))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3286_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.327:0.327:0.327) (0.276:0.276:0.276))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3287_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.108:0.108:0.108) (0.109:0.109:0.109))
+    (IOPATH B Y (0.119:0.119:0.119) (0.099:0.099:0.099))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3288_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.159:0.159:0.159) (0.157:0.158:0.159))
+    (IOPATH A Y (0.209:0.209:0.210) (0.112:0.112:0.113))
+    (IOPATH B Y (0.133:0.134:0.135) (0.139:0.145:0.150))
+    (IOPATH B Y (0.177:0.182:0.187) (0.075:0.076:0.077))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3289_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.261:0.261:0.261) (0.233:0.233:0.233))
+    (IOPATH B X (0.249:0.249:0.249) (0.245:0.245:0.245))
+    (IOPATH C X (0.207:0.217:0.227) (0.208:0.212:0.216))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _3290_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.228:0.228:0.228) (0.235:0.235:0.235))
+    (IOPATH A2 X (0.217:0.217:0.217) (0.269:0.269:0.269))
+    (IOPATH A3 X (0.205:0.205:0.206) (0.259:0.260:0.260))
+    (IOPATH B1 X (0.148:0.148:0.148) (0.213:0.213:0.214))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3291_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.254:0.254:0.254) (0.212:0.212:0.212))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3292_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.310:0.310:0.310) (0.262:0.262:0.262))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _3293_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.202:0.202:0.202) (0.183:0.183:0.183))
+    (IOPATH B X (0.217:0.217:0.217) (0.240:0.240:0.240))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3294_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.338:0.338:0.338) (0.286:0.286:0.286))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3295_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.339:0.339:0.339) (0.287:0.287:0.287))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _3296_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.217:0.217:0.217) (0.263:0.263:0.263))
+    (IOPATH A2 X (0.264:0.264:0.264) (0.326:0.326:0.326))
+    (IOPATH B1 X (0.250:0.250:0.250) (0.273:0.273:0.273))
+    (IOPATH B2 X (0.218:0.218:0.218) (0.261:0.261:0.261))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_1")
+  (INSTANCE _3297_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.150:0.150:0.150) (0.174:0.174:0.174))
+    (IOPATH B Y (0.179:0.179:0.179) (0.194:0.194:0.194))
+    (IOPATH C Y (0.226:0.226:0.226) (0.229:0.229:0.229))
+    (IOPATH D Y (0.211:0.211:0.211) (0.227:0.227:0.227))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21boi_1")
+  (INSTANCE _3298_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.206:0.207:0.207) (0.104:0.104:0.104))
+    (IOPATH A2 Y (0.238:0.238:0.238) (0.118:0.118:0.118))
+    (IOPATH B1_N Y (0.260:0.262:0.265) (0.208:0.210:0.212))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3299_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.224:0.224:0.224) (0.191:0.191:0.191))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _3300_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.320:0.320:0.320) (0.243:0.243:0.243))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _3301_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.248:0.248:0.248) (0.211:0.211:0.211))
+    (IOPATH B X (0.263:0.263:0.263) (0.252:0.252:0.252))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _3302_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.331:0.331:0.331) (0.263:0.263:0.263))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3303_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.243:0.243:0.243) (0.202:0.202:0.202))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _3304_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.220:0.220:0.220) (0.128:0.128:0.128))
+    (IOPATH A2 Y (0.248:0.248:0.248) (0.153:0.153:0.153))
+    (IOPATH B1 Y (0.222:0.222:0.222) (0.126:0.126:0.126))
+    (IOPATH B2 Y (0.207:0.207:0.207) (0.109:0.109:0.109))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31oi_2")
+  (INSTANCE _3305_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.203:0.203:0.203) (0.143:0.143:0.143))
+    (IOPATH A2 Y (0.266:0.266:0.266) (0.174:0.174:0.174))
+    (IOPATH A3 Y (0.260:0.260:0.261) (0.145:0.145:0.145))
+    (IOPATH B1 Y (0.214:0.218:0.223) (0.080:0.080:0.081))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _3306_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.152:0.154:0.156) (0.242:0.246:0.250))
+    (IOPATH B_N X (0.179:0.191:0.202) (0.263:0.267:0.270))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _3307_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.139:0.140:0.140) (0.245:0.246:0.247))
+    (IOPATH B_N X (0.189:0.189:0.189) (0.261:0.261:0.261))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3308_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.121:0.121:0.121) (0.108:0.108:0.108))
+    (IOPATH B Y (0.130:0.130:0.130) (0.108:0.108:0.108))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3309_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.191:0.191:0.191) (0.181:0.181:0.181))
+    (IOPATH A Y (0.307:0.307:0.307) (0.126:0.126:0.126))
+    (IOPATH B Y (0.193:0.193:0.194) (0.184:0.188:0.191))
+    (IOPATH B Y (0.294:0.297:0.301) (0.118:0.119:0.119))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3310_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.166:0.170:0.175) (0.152:0.164:0.176))
+    (IOPATH A Y (0.217:0.228:0.238) (0.117:0.123:0.128))
+    (IOPATH B Y (0.173:0.175:0.177) (0.146:0.151:0.155))
+    (IOPATH B Y (0.196:0.200:0.205) (0.117:0.119:0.121))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _3311_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.142:0.156:0.171) (0.255:0.257:0.259))
+    (IOPATH B_N X (0.174:0.179:0.183) (0.249:0.257:0.266))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3312_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.265:0.265:0.265) (0.209:0.209:0.209))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3313_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.364:0.364:0.364) (0.298:0.298:0.298))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _3314_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.337:0.337:0.337) (0.258:0.258:0.258))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3315_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.248:0.248:0.248) (0.236:0.236:0.236))
+    (IOPATH B X (0.246:0.246:0.246) (0.226:0.226:0.226))
+    (IOPATH C X (0.218:0.218:0.218) (0.220:0.220:0.220))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3316_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.340:0.340:0.340) (0.272:0.272:0.272))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _3317_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.196:0.196:0.196) (0.259:0.259:0.259))
+    (IOPATH A2 X (0.166:0.166:0.166) (0.239:0.239:0.239))
+    (IOPATH B1 X (0.165:0.165:0.165) (0.216:0.216:0.216))
+    (IOPATH B2 X (0.174:0.174:0.174) (0.226:0.226:0.226))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _3318_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.167:0.167:0.167) (0.204:0.204:0.204))
+    (IOPATH A2 X (0.145:0.145:0.145) (0.211:0.211:0.212))
+    (IOPATH B1_N X (0.196:0.196:0.197) (0.211:0.212:0.213))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3319_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.320:0.320:0.320) (0.273:0.273:0.273))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3320_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.341:0.341:0.341) (0.289:0.289:0.289))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3321_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.128:0.128:0.128) (0.133:0.133:0.133))
+    (IOPATH B Y (0.143:0.143:0.143) (0.121:0.121:0.121))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _3322_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.226:0.227:0.227) (0.094:0.095:0.095))
+    (IOPATH A X (0.218:0.218:0.218) (0.180:0.181:0.181))
+    (IOPATH B X (0.220:0.225:0.229) (0.096:0.097:0.099))
+    (IOPATH B X (0.227:0.227:0.228) (0.174:0.179:0.183))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3323_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.264:0.264:0.264) (0.210:0.210:0.210))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3324_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.095:0.095:0.095) (0.096:0.096:0.096))
+    (IOPATH B Y (0.125:0.125:0.125) (0.117:0.117:0.117))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3325_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.155:0.156:0.156) (0.091:0.091:0.091))
+    (IOPATH B Y (0.131:0.132:0.134) (0.053:0.055:0.057))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3326_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.166:0.167:0.169) (0.141:0.145:0.150))
+    (IOPATH A Y (0.198:0.202:0.206) (0.120:0.122:0.123))
+    (IOPATH B Y (0.153:0.153:0.154) (0.129:0.135:0.140))
+    (IOPATH B Y (0.172:0.177:0.182) (0.095:0.095:0.095))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3327_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.158:0.158:0.158) (0.193:0.193:0.193))
+    (IOPATH A2 X (0.161:0.161:0.162) (0.214:0.214:0.214))
+    (IOPATH B1 X (0.136:0.146:0.155) (0.188:0.192:0.196))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _3328_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.396:0.396:0.396) (0.308:0.308:0.308))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3329_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.210:0.210:0.210) (0.141:0.141:0.141))
+    (IOPATH A2 Y (0.232:0.232:0.232) (0.130:0.130:0.130))
+    (IOPATH B1 Y (0.163:0.166:0.169) (0.058:0.069:0.080))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3330_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.083:0.088:0.093) (0.087:0.099:0.112))
+    (IOPATH B Y (0.100:0.100:0.100) (0.090:0.091:0.091))
+    (IOPATH C Y (0.098:0.098:0.098) (0.092:0.092:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3331_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.091:0.091:0.091) (0.084:0.084:0.084))
+    (IOPATH B Y (0.101:0.105:0.109) (0.076:0.078:0.080))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _3332_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.132:0.132:0.133) (0.381:0.381:0.382))
+    (IOPATH B X (0.140:0.142:0.144) (0.352:0.362:0.373))
+    (IOPATH C X (0.114:0.115:0.116) (0.319:0.320:0.321))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3333_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.251:0.251:0.251) (0.238:0.238:0.238))
+    (IOPATH B X (0.221:0.221:0.221) (0.206:0.206:0.206))
+    (IOPATH C X (0.242:0.242:0.242) (0.244:0.244:0.244))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _3334_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.383:0.383:0.383) (0.311:0.311:0.311))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _3335_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.407:0.407:0.407) (0.294:0.294:0.294))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _3336_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.218:0.218:0.218) (0.253:0.253:0.253))
+    (IOPATH A2 X (0.188:0.188:0.188) (0.257:0.257:0.257))
+    (IOPATH B1 X (0.203:0.203:0.203) (0.230:0.230:0.230))
+    (IOPATH B2 X (0.174:0.174:0.174) (0.224:0.224:0.224))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _3337_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.157:0.157:0.157) (0.197:0.197:0.197))
+    (IOPATH A2 X (0.135:0.136:0.136) (0.204:0.205:0.205))
+    (IOPATH B1_N X (0.191:0.191:0.192) (0.212:0.212:0.213))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _3338_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.382:0.382:0.382) (0.281:0.281:0.281))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3339_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.107:0.107:0.107) (0.105:0.105:0.105))
+    (IOPATH B Y (0.124:0.124:0.124) (0.101:0.101:0.101))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _3340_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.214:0.214:0.215) (0.088:0.088:0.088))
+    (IOPATH A X (0.204:0.204:0.205) (0.176:0.176:0.176))
+    (IOPATH B X (0.204:0.208:0.212) (0.086:0.087:0.089))
+    (IOPATH B X (0.212:0.213:0.214) (0.165:0.170:0.174))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _3341_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.206:0.206:0.206) (0.229:0.229:0.230))
+    (IOPATH A2 X (0.190:0.191:0.192) (0.199:0.203:0.207))
+    (IOPATH B1_N X (0.265:0.266:0.268) (0.172:0.174:0.176))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _3342_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.220:0.223:0.226) (0.123:0.124:0.126))
+    (IOPATH A X (0.233:0.234:0.235) (0.180:0.183:0.186))
+    (IOPATH B X (0.203:0.204:0.204) (0.099:0.099:0.099))
+    (IOPATH B X (0.222:0.222:0.222) (0.163:0.163:0.164))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o2bb2ai_1")
+  (INSTANCE _3343_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1_N Y (0.167:0.167:0.167) (0.163:0.163:0.163))
+    (IOPATH A2_N Y (0.144:0.144:0.145) (0.140:0.141:0.142))
+    (IOPATH B1 Y (0.190:0.190:0.191) (0.093:0.094:0.094))
+    (IOPATH B2 Y (0.187:0.192:0.196) (0.086:0.087:0.087))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _3344_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.196:0.196:0.196) (0.186:0.186:0.186))
+    (IOPATH B X (0.180:0.180:0.180) (0.212:0.212:0.212))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3345_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.142:0.144:0.146) (0.067:0.077:0.086))
+    (IOPATH B Y (0.122:0.122:0.122) (0.054:0.054:0.055))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _3346_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.144:0.154:0.164) (0.146:0.149:0.151))
+    (IOPATH B X (0.138:0.138:0.139) (0.159:0.159:0.159))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3347_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.126:0.130:0.134) (0.075:0.075:0.075))
+    (IOPATH B Y (0.113:0.113:0.114) (0.050:0.050:0.051))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3348_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.138:0.138:0.138) (0.145:0.145:0.145))
+    (IOPATH B Y (0.145:0.145:0.145) (0.124:0.124:0.124))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3349_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.172:0.172:0.172) (0.145:0.148:0.151))
+    (IOPATH A Y (0.229:0.232:0.236) (0.116:0.116:0.116))
+    (IOPATH B Y (0.166:0.166:0.167) (0.166:0.173:0.180))
+    (IOPATH B Y (0.233:0.239:0.245) (0.101:0.101:0.102))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3350_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.165:0.166:0.168) (0.141:0.148:0.155))
+    (IOPATH A Y (0.199:0.205:0.211) (0.119:0.121:0.122))
+    (IOPATH B Y (0.150:0.161:0.173) (0.146:0.148:0.151))
+    (IOPATH B Y (0.188:0.190:0.192) (0.091:0.105:0.118))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3351_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.287:0.287:0.287) (0.131:0.131:0.131))
+    (IOPATH A2 Y (0.310:0.310:0.310) (0.118:0.118:0.118))
+    (IOPATH B1 Y (0.277:0.281:0.285) (0.087:0.103:0.118))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3352_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.144:0.158:0.172) (0.141:0.145:0.150))
+    (IOPATH B X (0.156:0.156:0.156) (0.164:0.165:0.165))
+    (IOPATH C X (0.147:0.147:0.147) (0.184:0.184:0.184))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _3353_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.182:0.183:0.184) (0.257:0.264:0.271))
+    (IOPATH B X (0.119:0.120:0.120) (0.224:0.225:0.225))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3354_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.191:0.191:0.192) (0.175:0.175:0.176))
+    (IOPATH A Y (0.292:0.293:0.293) (0.127:0.128:0.129))
+    (IOPATH B Y (0.187:0.187:0.187) (0.177:0.177:0.177))
+    (IOPATH B Y (0.278:0.278:0.278) (0.114:0.114:0.115))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3355_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.314:0.314:0.314) (0.264:0.264:0.264))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3356_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.346:0.346:0.346) (0.285:0.285:0.285))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _3357_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.227:0.227:0.227) (0.142:0.142:0.142))
+    (IOPATH A2 Y (0.237:0.237:0.237) (0.135:0.135:0.135))
+    (IOPATH B1 Y (0.205:0.205:0.205) (0.120:0.120:0.120))
+    (IOPATH B2 Y (0.227:0.227:0.227) (0.122:0.122:0.122))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3358_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.211:0.211:0.211) (0.182:0.182:0.182))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3359_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.250:0.250:0.250) (0.212:0.212:0.212))
+    (IOPATH B X (0.229:0.229:0.229) (0.205:0.205:0.205))
+    (IOPATH C X (0.235:0.235:0.235) (0.231:0.231:0.231))
+    (IOPATH D X (0.238:0.238:0.238) (0.229:0.229:0.229))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3360_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.271:0.274:0.277) (0.125:0.127:0.129))
+    (IOPATH B Y (0.242:0.243:0.244) (0.092:0.092:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _3361_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.344:0.344:0.344) (0.302:0.302:0.302))
+    (IOPATH A2 X (0.339:0.339:0.339) (0.344:0.344:0.344))
+    (IOPATH A3 X (0.342:0.342:0.342) (0.318:0.321:0.325))
+    (IOPATH B1 X (0.255:0.255:0.255) (0.275:0.276:0.277))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _3362_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.188:0.188:0.188) (0.193:0.193:0.193))
+    (IOPATH B X (0.188:0.188:0.188) (0.219:0.219:0.219))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3363_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.169:0.169:0.170) (0.103:0.103:0.103))
+    (IOPATH B Y (0.123:0.123:0.123) (0.056:0.056:0.056))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3364_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.332:0.332:0.332) (0.284:0.284:0.284))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3365_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.411:0.411:0.411) (0.340:0.340:0.340))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3366_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.179:0.179:0.179) (0.172:0.172:0.172))
+    (IOPATH B Y (0.194:0.194:0.194) (0.168:0.168:0.168))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _3367_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.183:0.184:0.184) (0.179:0.180:0.181))
+    (IOPATH B X (0.140:0.140:0.140) (0.160:0.160:0.160))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _3368_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.184:0.184:0.184) (0.200:0.207:0.214))
+    (IOPATH A2 X (0.170:0.170:0.171) (0.219:0.222:0.225))
+    (IOPATH B1_N X (0.243:0.244:0.244) (0.167:0.167:0.168))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3369_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.135:0.137:0.138) (0.105:0.108:0.111))
+    (IOPATH B Y (0.097:0.098:0.098) (0.099:0.099:0.099))
+    (IOPATH C Y (0.109:0.110:0.110) (0.109:0.109:0.109))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3370_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.147:0.150:0.153) (0.218:0.219:0.221))
+    (IOPATH A2 X (0.149:0.149:0.150) (0.200:0.201:0.201))
+    (IOPATH B1 X (0.110:0.110:0.110) (0.165:0.166:0.166))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3371_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.133:0.133:0.133) (0.121:0.121:0.121))
+    (IOPATH B Y (0.151:0.151:0.151) (0.129:0.129:0.129))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _3372_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.367:0.367:0.367) (0.281:0.281:0.281))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _3373_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.206:0.206:0.206) (0.120:0.120:0.120))
+    (IOPATH A2 Y (0.255:0.255:0.255) (0.150:0.150:0.150))
+    (IOPATH B1 Y (0.186:0.186:0.186) (0.106:0.106:0.106))
+    (IOPATH B2 Y (0.197:0.197:0.197) (0.095:0.095:0.095))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3374_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.260:0.260:0.260) (0.202:0.202:0.202))
+    (IOPATH B X (0.277:0.277:0.277) (0.236:0.236:0.236))
+    (IOPATH C X (0.286:0.286:0.286) (0.264:0.264:0.264))
+    (IOPATH D X (0.289:0.289:0.289) (0.276:0.276:0.276))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _3375_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.215:0.216:0.217) (0.106:0.107:0.108))
+    (IOPATH A2 Y (0.194:0.200:0.205) (0.109:0.111:0.113))
+    (IOPATH B1_N Y (0.162:0.162:0.162) (0.187:0.188:0.189))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3376_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.246:0.247:0.249) (0.207:0.210:0.214))
+    (IOPATH B X (0.245:0.245:0.245) (0.215:0.215:0.216))
+    (IOPATH C X (0.261:0.270:0.279) (0.244:0.245:0.247))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3377_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.250:0.253:0.256) (0.107:0.109:0.110))
+    (IOPATH A2 Y (0.257:0.257:0.257) (0.104:0.104:0.104))
+    (IOPATH B1 Y (0.243:0.244:0.245) (0.084:0.096:0.107))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3378_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.132:0.132:0.132) (0.122:0.122:0.122))
+    (IOPATH B Y (0.114:0.114:0.114) (0.099:0.099:0.099))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3379_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.237:0.237:0.237) (0.188:0.192:0.197))
+    (IOPATH A Y (0.315:0.318:0.322) (0.189:0.189:0.189))
+    (IOPATH B Y (0.194:0.195:0.197) (0.183:0.190:0.197))
+    (IOPATH B Y (0.294:0.300:0.306) (0.120:0.121:0.122))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3b_1")
+  (INSTANCE _3380_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.243:0.244:0.244) (0.084:0.084:0.084))
+    (IOPATH B Y (0.219:0.224:0.229) (0.092:0.094:0.095))
+    (IOPATH C_N Y (0.239:0.251:0.264) (0.166:0.172:0.178))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3381_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.154:0.166:0.179) (0.160:0.162:0.165))
+    (IOPATH A Y (0.208:0.210:0.213) (0.107:0.123:0.139))
+    (IOPATH B Y (0.139:0.150:0.162) (0.139:0.143:0.147))
+    (IOPATH B Y (0.174:0.178:0.181) (0.083:0.095:0.106))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _3382_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.226:0.226:0.227) (0.134:0.134:0.134))
+    (IOPATH A2 Y (0.195:0.201:0.207) (0.126:0.126:0.126))
+    (IOPATH B1 Y (0.131:0.138:0.146) (0.102:0.116:0.131))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3383_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.123:0.130:0.136) (0.073:0.074:0.074))
+    (IOPATH B Y (0.110:0.110:0.111) (0.050:0.050:0.050))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3384_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.169:0.169:0.169) (0.143:0.147:0.150))
+    (IOPATH A Y (0.226:0.229:0.232) (0.114:0.114:0.114))
+    (IOPATH B Y (0.174:0.174:0.175) (0.180:0.183:0.185))
+    (IOPATH B Y (0.243:0.246:0.249) (0.110:0.111:0.111))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _3385_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.103:0.117:0.131) (0.357:0.363:0.369))
+    (IOPATH B X (0.129:0.129:0.129) (0.347:0.347:0.348))
+    (IOPATH C X (0.133:0.133:0.133) (0.294:0.299:0.303))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _3386_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.184:0.191:0.197) (0.175:0.178:0.180))
+    (IOPATH B X (0.152:0.152:0.153) (0.183:0.183:0.183))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3387_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.090:0.092:0.094) (0.082:0.095:0.108))
+    (IOPATH B Y (0.090:0.091:0.091) (0.081:0.081:0.081))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3388_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.132:0.133:0.133) (0.069:0.069:0.069))
+    (IOPATH B Y (0.111:0.123:0.135) (0.070:0.071:0.072))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _3389_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.189:0.191:0.193) (0.095:0.095:0.096))
+    (IOPATH A X (0.195:0.195:0.195) (0.168:0.170:0.171))
+    (IOPATH B X (0.177:0.178:0.179) (0.081:0.082:0.083))
+    (IOPATH B X (0.191:0.192:0.193) (0.155:0.156:0.157))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3390_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.148:0.154:0.160) (0.180:0.182:0.185))
+    (IOPATH A2 X (0.130:0.131:0.131) (0.189:0.195:0.200))
+    (IOPATH B1 X (0.121:0.122:0.123) (0.163:0.166:0.168))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3391_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.065:0.068:0.071) (0.089:0.090:0.091))
+    (IOPATH B Y (0.095:0.098:0.100) (0.093:0.098:0.102))
+    (IOPATH C Y (0.082:0.088:0.094) (0.077:0.078:0.078))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3392_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.090:0.090:0.091) (0.077:0.078:0.078))
+    (IOPATH B Y (0.100:0.105:0.109) (0.077:0.079:0.082))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _3393_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.200:0.200:0.200) (0.231:0.232:0.233))
+    (IOPATH A2 X (0.183:0.184:0.185) (0.219:0.220:0.220))
+    (IOPATH B1 X (0.184:0.185:0.185) (0.143:0.143:0.144))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3394_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.172:0.185:0.198) (0.170:0.172:0.175))
+    (IOPATH A Y (0.245:0.247:0.249) (0.119:0.137:0.154))
+    (IOPATH B Y (0.171:0.171:0.171) (0.158:0.159:0.160))
+    (IOPATH B Y (0.219:0.220:0.221) (0.108:0.108:0.108))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3395_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.193:0.204:0.215) (0.178:0.181:0.183))
+    (IOPATH A Y (0.292:0.294:0.296) (0.130:0.146:0.162))
+    (IOPATH B Y (0.189:0.189:0.189) (0.169:0.170:0.170))
+    (IOPATH B Y (0.268:0.268:0.269) (0.117:0.117:0.117))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3396_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.147:0.147:0.147) (0.138:0.138:0.138))
+    (IOPATH B Y (0.156:0.156:0.156) (0.133:0.133:0.133))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _3397_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.281:0.281:0.281) (0.174:0.174:0.174))
+    (IOPATH A2 Y (0.282:0.282:0.282) (0.161:0.161:0.161))
+    (IOPATH B1 Y (0.217:0.217:0.217) (0.115:0.115:0.115))
+    (IOPATH B2 Y (0.244:0.244:0.244) (0.144:0.144:0.144))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3398_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.301:0.301:0.301) (0.242:0.242:0.242))
+    (IOPATH B X (0.314:0.314:0.314) (0.279:0.279:0.279))
+    (IOPATH C X (0.287:0.287:0.287) (0.250:0.250:0.250))
+    (IOPATH D X (0.292:0.292:0.292) (0.262:0.262:0.262))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _3399_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.294:0.297:0.301) (0.140:0.141:0.141))
+    (IOPATH A2 Y (0.280:0.286:0.291) (0.155:0.156:0.158))
+    (IOPATH B1_N Y (0.203:0.203:0.203) (0.220:0.221:0.222))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3400_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.237:0.237:0.237) (0.211:0.211:0.211))
+    (IOPATH B X (0.252:0.252:0.252) (0.257:0.257:0.257))
+    (IOPATH C X (0.216:0.229:0.242) (0.231:0.232:0.232))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3401_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.184:0.184:0.184) (0.125:0.125:0.125))
+    (IOPATH A2 Y (0.223:0.223:0.223) (0.130:0.130:0.130))
+    (IOPATH B1 Y (0.164:0.164:0.165) (0.069:0.078:0.086))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3402_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.195:0.195:0.196) (0.083:0.083:0.083))
+    (IOPATH B Y (0.179:0.187:0.194) (0.086:0.088:0.090))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3403_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.353:0.353:0.353) (0.292:0.292:0.292))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3404_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.134:0.134:0.134) (0.123:0.123:0.123))
+    (IOPATH B Y (0.140:0.140:0.140) (0.118:0.118:0.118))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3405_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.182:0.182:0.182) (0.152:0.153:0.155))
+    (IOPATH A Y (0.230:0.231:0.232) (0.132:0.132:0.132))
+    (IOPATH B Y (0.158:0.158:0.158) (0.160:0.164:0.168))
+    (IOPATH B Y (0.221:0.225:0.229) (0.094:0.094:0.094))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3b_1")
+  (INSTANCE _3406_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.165:0.165:0.165) (0.414:0.415:0.415))
+    (IOPATH B X (0.178:0.180:0.182) (0.383:0.387:0.392))
+    (IOPATH C_N X (0.209:0.214:0.220) (0.393:0.404:0.414))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _3407_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.199:0.200:0.200) (0.120:0.120:0.120))
+    (IOPATH A2 Y (0.175:0.180:0.186) (0.120:0.122:0.124))
+    (IOPATH B1_N Y (0.157:0.173:0.189) (0.195:0.201:0.207))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3408_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.145:0.150:0.156) (0.090:0.091:0.093))
+    (IOPATH B Y (0.124:0.125:0.126) (0.070:0.070:0.070))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3409_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.194:0.194:0.195) (0.190:0.194:0.198))
+    (IOPATH A Y (0.308:0.312:0.315) (0.129:0.130:0.130))
+    (IOPATH B Y (0.199:0.199:0.199) (0.168:0.171:0.175))
+    (IOPATH B Y (0.273:0.276:0.279) (0.125:0.125:0.125))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _3410_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.151:0.151:0.151) (0.399:0.400:0.400))
+    (IOPATH B X (0.134:0.135:0.136) (0.381:0.382:0.383))
+    (IOPATH C X (0.149:0.151:0.154) (0.337:0.342:0.347))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _3411_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.193:0.194:0.195) (0.113:0.113:0.113))
+    (IOPATH A2 Y (0.179:0.185:0.190) (0.103:0.105:0.108))
+    (IOPATH B1 Y (0.128:0.130:0.131) (0.096:0.097:0.098))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3412_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.150:0.150:0.150) (0.140:0.140:0.140))
+    (IOPATH B Y (0.158:0.158:0.158) (0.137:0.137:0.137))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _3413_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.274:0.274:0.274) (0.152:0.152:0.152))
+    (IOPATH A2 Y (0.306:0.306:0.306) (0.185:0.185:0.185))
+    (IOPATH B1 Y (0.257:0.257:0.257) (0.157:0.157:0.157))
+    (IOPATH B2 Y (0.281:0.281:0.281) (0.142:0.142:0.142))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3414_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.243:0.243:0.243) (0.195:0.195:0.195))
+    (IOPATH B X (0.256:0.256:0.256) (0.229:0.229:0.229))
+    (IOPATH C X (0.276:0.276:0.276) (0.270:0.270:0.270))
+    (IOPATH D X (0.274:0.274:0.274) (0.278:0.278:0.278))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _3415_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.261:0.264:0.266) (0.126:0.127:0.127))
+    (IOPATH A2 Y (0.251:0.256:0.260) (0.149:0.150:0.152))
+    (IOPATH B1_N Y (0.175:0.175:0.176) (0.197:0.198:0.200))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3416_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.149:0.149:0.149) (0.195:0.195:0.195))
+    (IOPATH A2 X (0.170:0.175:0.180) (0.209:0.211:0.213))
+    (IOPATH B1 X (0.141:0.149:0.158) (0.199:0.200:0.201))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3417_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.122:0.123:0.123) (0.109:0.109:0.109))
+    (IOPATH B Y (0.130:0.132:0.134) (0.134:0.139:0.145))
+    (IOPATH C Y (0.141:0.143:0.144) (0.135:0.148:0.161))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _3418_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.183:0.197:0.211) (0.222:0.224:0.226))
+    (IOPATH A2 X (0.169:0.169:0.169) (0.230:0.230:0.231))
+    (IOPATH B1_N X (0.251:0.253:0.256) (0.249:0.251:0.253))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3419_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.169:0.169:0.169) (0.209:0.209:0.209))
+    (IOPATH A2 X (0.178:0.188:0.197) (0.223:0.225:0.226))
+    (IOPATH B1 X (0.153:0.153:0.153) (0.199:0.199:0.200))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3420_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.149:0.149:0.149) (0.136:0.137:0.137))
+    (IOPATH B Y (0.157:0.159:0.161) (0.149:0.160:0.171))
+    (IOPATH C Y (0.151:0.152:0.152) (0.155:0.156:0.156))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21boi_1")
+  (INSTANCE _3421_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.235:0.239:0.242) (0.110:0.127:0.144))
+    (IOPATH A2 Y (0.256:0.257:0.257) (0.117:0.117:0.117))
+    (IOPATH B1_N Y (0.283:0.284:0.285) (0.194:0.195:0.196))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3422_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.399:0.399:0.399) (0.330:0.330:0.330))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31oi_2")
+  (INSTANCE _3423_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.217:0.217:0.217) (0.172:0.172:0.172))
+    (IOPATH A2 Y (0.273:0.273:0.273) (0.164:0.164:0.164))
+    (IOPATH A3 Y (0.231:0.233:0.234) (0.141:0.141:0.141))
+    (IOPATH B1 Y (0.194:0.195:0.195) (0.060:0.060:0.061))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _3424_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.230:0.234:0.238) (0.111:0.128:0.145))
+    (IOPATH A X (0.220:0.231:0.242) (0.194:0.199:0.203))
+    (IOPATH B X (0.199:0.207:0.216) (0.132:0.134:0.137))
+    (IOPATH B X (0.234:0.235:0.236) (0.164:0.173:0.181))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _3425_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.118:0.123:0.128) (0.216:0.231:0.246))
+    (IOPATH B_N X (0.150:0.160:0.170) (0.246:0.247:0.247))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _3426_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.224:0.228:0.232) (0.124:0.142:0.160))
+    (IOPATH A2 Y (0.200:0.208:0.217) (0.129:0.131:0.134))
+    (IOPATH B1 Y (0.126:0.126:0.126) (0.086:0.087:0.087))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3427_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.193:0.193:0.193) (0.175:0.176:0.177))
+    (IOPATH A Y (0.294:0.295:0.296) (0.130:0.130:0.130))
+    (IOPATH B Y (0.191:0.191:0.192) (0.178:0.178:0.178))
+    (IOPATH B Y (0.280:0.281:0.281) (0.118:0.119:0.120))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3428_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.175:0.180:0.185) (0.166:0.171:0.176))
+    (IOPATH A Y (0.245:0.250:0.254) (0.122:0.129:0.135))
+    (IOPATH B Y (0.176:0.189:0.202) (0.170:0.172:0.174))
+    (IOPATH B Y (0.233:0.236:0.238) (0.113:0.130:0.147))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3429_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.168:0.173:0.177) (0.145:0.163:0.182))
+    (IOPATH A Y (0.218:0.235:0.251) (0.116:0.122:0.128))
+    (IOPATH B Y (0.176:0.178:0.179) (0.146:0.156:0.166))
+    (IOPATH B Y (0.204:0.213:0.222) (0.117:0.118:0.120))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3430_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.138:0.141:0.143) (0.128:0.130:0.132))
+    (IOPATH B Y (0.151:0.153:0.155) (0.147:0.166:0.185))
+    (IOPATH C Y (0.129:0.130:0.130) (0.132:0.132:0.133))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3431_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.169:0.171:0.173) (0.209:0.212:0.214))
+    (IOPATH A2 X (0.168:0.168:0.168) (0.212:0.213:0.213))
+    (IOPATH B1 X (0.151:0.161:0.172) (0.204:0.206:0.208))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _3432_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.228:0.228:0.228) (0.280:0.280:0.280))
+    (IOPATH A2 X (0.183:0.183:0.183) (0.268:0.268:0.268))
+    (IOPATH B1 X (0.185:0.185:0.185) (0.225:0.225:0.225))
+    (IOPATH B2 X (0.214:0.214:0.214) (0.283:0.283:0.283))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_1")
+  (INSTANCE _3433_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.152:0.152:0.152) (0.156:0.156:0.156))
+    (IOPATH B Y (0.141:0.141:0.141) (0.159:0.159:0.159))
+    (IOPATH C Y (0.126:0.126:0.126) (0.122:0.122:0.122))
+    (IOPATH D Y (0.131:0.131:0.131) (0.135:0.135:0.135))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _3434_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.201:0.201:0.201) (0.206:0.206:0.206))
+    (IOPATH B X (0.176:0.176:0.176) (0.197:0.197:0.197))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3435_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.128:0.128:0.129) (0.068:0.068:0.069))
+    (IOPATH A2 Y (0.158:0.165:0.173) (0.073:0.073:0.074))
+    (IOPATH B1 Y (0.114:0.115:0.115) (0.045:0.045:0.046))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3436_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.241:0.242:0.242) (0.199:0.199:0.199))
+    (IOPATH B X (0.248:0.249:0.249) (0.233:0.242:0.250))
+    (IOPATH C X (0.248:0.248:0.248) (0.229:0.229:0.229))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3437_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.176:0.178:0.181) (0.078:0.081:0.084))
+    (IOPATH B Y (0.181:0.181:0.182) (0.092:0.092:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _3438_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.153:0.154:0.154) (0.408:0.409:0.410))
+    (IOPATH B X (0.150:0.150:0.151) (0.397:0.400:0.402))
+    (IOPATH C X (0.182:0.183:0.185) (0.363:0.367:0.370))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _3439_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.214:0.215:0.216) (0.116:0.116:0.117))
+    (IOPATH A2 Y (0.219:0.223:0.228) (0.136:0.138:0.139))
+    (IOPATH B1 Y (0.150:0.153:0.156) (0.111:0.112:0.112))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3440_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.110:0.110:0.110) (0.115:0.115:0.115))
+    (IOPATH B Y (0.154:0.154:0.154) (0.132:0.132:0.132))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _3441_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.230:0.230:0.230) (0.132:0.132:0.132))
+    (IOPATH A2 Y (0.262:0.262:0.262) (0.138:0.138:0.138))
+    (IOPATH B1 Y (0.187:0.187:0.187) (0.107:0.107:0.107))
+    (IOPATH B2 Y (0.231:0.231:0.231) (0.109:0.109:0.109))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3442_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.244:0.244:0.244) (0.201:0.201:0.201))
+    (IOPATH B X (0.253:0.253:0.253) (0.215:0.215:0.215))
+    (IOPATH C X (0.273:0.273:0.273) (0.267:0.267:0.267))
+    (IOPATH D X (0.270:0.270:0.270) (0.275:0.275:0.275))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _3443_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.260:0.260:0.261) (0.122:0.124:0.126))
+    (IOPATH A2 Y (0.242:0.246:0.250) (0.127:0.130:0.133))
+    (IOPATH B1_N Y (0.174:0.175:0.175) (0.197:0.198:0.199))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3444_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.158:0.158:0.159) (0.203:0.203:0.203))
+    (IOPATH A2 X (0.180:0.186:0.192) (0.221:0.222:0.223))
+    (IOPATH B1 X (0.144:0.153:0.162) (0.199:0.201:0.202))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3445_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.132:0.133:0.133) (0.119:0.119:0.119))
+    (IOPATH B Y (0.145:0.146:0.148) (0.145:0.153:0.160))
+    (IOPATH C Y (0.143:0.145:0.147) (0.141:0.154:0.167))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _3446_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.185:0.185:0.185) (0.201:0.203:0.206))
+    (IOPATH A2 X (0.165:0.165:0.165) (0.227:0.227:0.227))
+    (IOPATH B1_N X (0.248:0.251:0.253) (0.247:0.249:0.250))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_2")
+  (INSTANCE _3447_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.145:0.150:0.155) (0.141:0.143:0.145))
+    (IOPATH B Y (0.134:0.135:0.135) (0.149:0.149:0.149))
+    (IOPATH C Y (0.133:0.133:0.133) (0.147:0.147:0.147))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3448_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.272:0.272:0.272) (0.209:0.209:0.209))
+    (IOPATH B X (0.282:0.282:0.282) (0.240:0.240:0.240))
+    (IOPATH C X (0.273:0.273:0.273) (0.278:0.278:0.278))
+    (IOPATH D X (0.260:0.260:0.260) (0.269:0.269:0.269))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_1")
+  (INSTANCE _3449_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.232:0.232:0.232) (0.273:0.274:0.275))
+    (IOPATH A2 X (0.227:0.227:0.227) (0.269:0.270:0.271))
+    (IOPATH B1 X (0.271:0.271:0.271) (0.209:0.209:0.209))
+    (IOPATH C1 X (0.271:0.271:0.271) (0.217:0.217:0.217))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211oi_1")
+  (INSTANCE _3450_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.239:0.239:0.239) (0.122:0.122:0.122))
+    (IOPATH A2 Y (0.290:0.290:0.290) (0.126:0.126:0.126))
+    (IOPATH B1 Y (0.218:0.219:0.220) (0.059:0.059:0.060))
+    (IOPATH C1 Y (0.190:0.191:0.192) (0.066:0.066:0.066))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3451_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.185:0.186:0.188) (0.089:0.089:0.089))
+    (IOPATH B Y (0.157:0.165:0.174) (0.090:0.093:0.096))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3452_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.155:0.156:0.158) (0.201:0.205:0.210))
+    (IOPATH A2 X (0.154:0.154:0.154) (0.201:0.202:0.202))
+    (IOPATH B1 X (0.124:0.124:0.124) (0.178:0.179:0.179))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_2")
+  (INSTANCE _3453_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.147:0.148:0.149) (0.136:0.137:0.138))
+    (IOPATH B Y (0.127:0.129:0.132) (0.162:0.162:0.162))
+    (IOPATH C Y (0.122:0.122:0.122) (0.135:0.135:0.136))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3454_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.191:0.193:0.195) (0.195:0.196:0.198))
+    (IOPATH B X (0.185:0.199:0.214) (0.184:0.188:0.193))
+    (IOPATH C X (0.190:0.190:0.190) (0.200:0.201:0.201))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3455_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.215:0.216:0.217) (0.104:0.106:0.107))
+    (IOPATH A2 Y (0.206:0.206:0.206) (0.100:0.100:0.100))
+    (IOPATH B1 Y (0.179:0.182:0.186) (0.068:0.079:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211o_1")
+  (INSTANCE _3456_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.171:0.173:0.174) (0.318:0.318:0.319))
+    (IOPATH A2 X (0.170:0.171:0.172) (0.349:0.350:0.351))
+    (IOPATH B1 X (0.138:0.139:0.139) (0.297:0.298:0.298))
+    (IOPATH C1 X (0.156:0.157:0.159) (0.258:0.262:0.266))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211ai_1")
+  (INSTANCE _3457_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.219:0.220:0.221) (0.122:0.122:0.123))
+    (IOPATH A2 Y (0.206:0.211:0.216) (0.126:0.127:0.129))
+    (IOPATH B1 Y (0.156:0.157:0.157) (0.127:0.128:0.130))
+    (IOPATH C1 Y (0.140:0.142:0.143) (0.120:0.121:0.122))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3458_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.121:0.123:0.124) (0.129:0.129:0.130))
+    (IOPATH B Y (0.133:0.134:0.135) (0.122:0.122:0.123))
+    (IOPATH C Y (0.136:0.138:0.141) (0.132:0.142:0.152))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _3459_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.177:0.178:0.178) (0.177:0.178:0.178))
+    (IOPATH B X (0.186:0.187:0.189) (0.211:0.213:0.215))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3460_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.163:0.174:0.185) (0.157:0.161:0.165))
+    (IOPATH A Y (0.236:0.239:0.243) (0.108:0.122:0.135))
+    (IOPATH B Y (0.168:0.168:0.168) (0.154:0.154:0.154))
+    (IOPATH B Y (0.217:0.218:0.218) (0.104:0.104:0.104))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3461_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.132:0.132:0.133) (0.175:0.175:0.176))
+    (IOPATH A2 X (0.145:0.155:0.165) (0.201:0.203:0.205))
+    (IOPATH B1 X (0.118:0.118:0.119) (0.175:0.177:0.178))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3462_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.108:0.111:0.113) (0.097:0.098:0.100))
+    (IOPATH B Y (0.097:0.099:0.102) (0.119:0.119:0.119))
+    (IOPATH C Y (0.098:0.099:0.099) (0.100:0.100:0.100))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3463_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.137:0.139:0.141) (0.189:0.191:0.194))
+    (IOPATH A2 X (0.135:0.136:0.136) (0.189:0.189:0.190))
+    (IOPATH B1 X (0.123:0.123:0.123) (0.163:0.165:0.168))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3464_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.127:0.127:0.127) (0.129:0.129:0.129))
+    (IOPATH B Y (0.145:0.145:0.145) (0.122:0.122:0.122))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3465_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.176:0.176:0.176) (0.184:0.184:0.184))
+    (IOPATH B Y (0.196:0.196:0.196) (0.171:0.171:0.171))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _3466_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.203:0.203:0.203) (0.242:0.242:0.242))
+    (IOPATH A2 X (0.174:0.174:0.174) (0.256:0.256:0.256))
+    (IOPATH B1 X (0.181:0.181:0.181) (0.230:0.230:0.230))
+    (IOPATH B2 X (0.185:0.185:0.185) (0.236:0.236:0.236))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _3467_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.175:0.176:0.178) (0.227:0.231:0.236))
+    (IOPATH A2 X (0.175:0.176:0.177) (0.229:0.233:0.237))
+    (IOPATH B1 X (0.159:0.160:0.161) (0.128:0.129:0.129))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _3468_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.150:0.150:0.150) (0.405:0.406:0.407))
+    (IOPATH B X (0.142:0.145:0.147) (0.393:0.393:0.394))
+    (IOPATH C X (0.159:0.162:0.164) (0.352:0.356:0.359))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _3469_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.179:0.180:0.181) (0.100:0.100:0.100))
+    (IOPATH A2 Y (0.175:0.179:0.182) (0.100:0.102:0.105))
+    (IOPATH B1 Y (0.123:0.124:0.124) (0.091:0.093:0.096))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3470_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.115:0.115:0.115) (0.100:0.100:0.100))
+    (IOPATH B Y (0.147:0.147:0.147) (0.146:0.146:0.146))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _3471_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.244:0.244:0.244) (0.145:0.145:0.145))
+    (IOPATH A2 Y (0.262:0.262:0.262) (0.154:0.154:0.154))
+    (IOPATH B1 Y (0.193:0.193:0.193) (0.112:0.112:0.112))
+    (IOPATH B2 Y (0.204:0.204:0.204) (0.098:0.098:0.098))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3472_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.266:0.266:0.266) (0.200:0.200:0.200))
+    (IOPATH B X (0.295:0.295:0.295) (0.263:0.263:0.263))
+    (IOPATH C X (0.291:0.291:0.291) (0.277:0.277:0.277))
+    (IOPATH D X (0.279:0.279:0.279) (0.254:0.254:0.254))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _3473_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.203:0.207:0.212) (0.102:0.104:0.105))
+    (IOPATH A2 Y (0.187:0.193:0.198) (0.107:0.109:0.111))
+    (IOPATH B1_N Y (0.155:0.155:0.155) (0.180:0.181:0.182))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3474_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.155:0.155:0.155) (0.200:0.200:0.200))
+    (IOPATH A2 X (0.170:0.174:0.179) (0.209:0.211:0.212))
+    (IOPATH B1 X (0.133:0.141:0.149) (0.188:0.189:0.190))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3475_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.125:0.125:0.125) (0.111:0.111:0.111))
+    (IOPATH B Y (0.126:0.128:0.129) (0.129:0.135:0.140))
+    (IOPATH C Y (0.126:0.127:0.128) (0.124:0.134:0.143))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _3476_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.165:0.165:0.165) (0.204:0.205:0.206))
+    (IOPATH A2 X (0.162:0.162:0.163) (0.226:0.226:0.227))
+    (IOPATH B1_N X (0.243:0.244:0.245) (0.244:0.246:0.248))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3477_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.239:0.240:0.241) (0.203:0.205:0.208))
+    (IOPATH B X (0.240:0.240:0.240) (0.210:0.211:0.211))
+    (IOPATH C X (0.254:0.254:0.254) (0.236:0.237:0.237))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3478_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.191:0.193:0.196) (0.084:0.086:0.087))
+    (IOPATH A2 Y (0.196:0.197:0.197) (0.083:0.083:0.083))
+    (IOPATH B1 Y (0.180:0.180:0.181) (0.068:0.068:0.068))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3479_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.214:0.218:0.222) (0.082:0.083:0.084))
+    (IOPATH B Y (0.216:0.219:0.223) (0.097:0.097:0.098))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3b_1")
+  (INSTANCE _3480_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.413:0.414:0.415) (0.115:0.115:0.115))
+    (IOPATH B Y (0.386:0.389:0.392) (0.113:0.115:0.117))
+    (IOPATH C_N Y (0.421:0.421:0.421) (0.176:0.179:0.181))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3481_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.158:0.159:0.160) (0.210:0.211:0.211))
+    (IOPATH A2 X (0.149:0.149:0.149) (0.199:0.199:0.199))
+    (IOPATH B1 X (0.139:0.139:0.140) (0.177:0.180:0.182))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_1")
+  (INSTANCE _3482_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.287:0.287:0.287) (0.309:0.310:0.311))
+    (IOPATH A2 X (0.304:0.304:0.304) (0.287:0.290:0.292))
+    (IOPATH B1 X (0.259:0.259:0.259) (0.196:0.196:0.196))
+    (IOPATH C1 X (0.262:0.263:0.264) (0.210:0.211:0.213))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3483_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.151:0.153:0.155) (0.197:0.199:0.201))
+    (IOPATH A2 X (0.141:0.141:0.142) (0.193:0.194:0.194))
+    (IOPATH B1 X (0.138:0.138:0.138) (0.194:0.196:0.198))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _3484_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.145:0.145:0.145) (0.185:0.185:0.186))
+    (IOPATH A2 X (0.129:0.130:0.131) (0.157:0.160:0.164))
+    (IOPATH B1_N X (0.204:0.206:0.209) (0.172:0.172:0.172))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_2")
+  (INSTANCE _3485_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.276:0.276:0.277) (0.374:0.377:0.379))
+    (IOPATH B X (0.176:0.176:0.177) (0.339:0.340:0.340))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _3486_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.088:0.089:0.089) (0.059:0.059:0.060))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211oi_2")
+  (INSTANCE _3487_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.311:0.312:0.313) (0.104:0.105:0.106))
+    (IOPATH A2 Y (0.321:0.321:0.322) (0.097:0.097:0.097))
+    (IOPATH B1 Y (0.307:0.310:0.312) (0.125:0.125:0.125))
+    (IOPATH C1 Y (0.263:0.264:0.264) (0.078:0.078:0.078))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3488_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.131:0.132:0.134) (0.121:0.123:0.125))
+    (IOPATH B Y (0.128:0.129:0.130) (0.128:0.129:0.129))
+    (IOPATH C Y (0.124:0.124:0.125) (0.126:0.126:0.127))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3489_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.154:0.156:0.158) (0.199:0.200:0.202))
+    (IOPATH A2 X (0.155:0.155:0.155) (0.203:0.203:0.204))
+    (IOPATH B1 X (0.129:0.129:0.129) (0.180:0.181:0.182))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _3490_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.141:0.141:0.141) (0.388:0.389:0.390))
+    (IOPATH B X (0.127:0.128:0.130) (0.368:0.373:0.377))
+    (IOPATH C X (0.143:0.146:0.148) (0.330:0.335:0.340))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _3491_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.176:0.177:0.178) (0.104:0.104:0.105))
+    (IOPATH A2 Y (0.166:0.171:0.177) (0.099:0.101:0.103))
+    (IOPATH B1 Y (0.112:0.118:0.124) (0.090:0.092:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3492_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.121:0.121:0.121) (0.114:0.114:0.114))
+    (IOPATH B Y (0.143:0.143:0.143) (0.140:0.140:0.140))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3493_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.419:0.419:0.419) (0.320:0.320:0.320))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3494_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.311:0.311:0.311) (0.242:0.242:0.242))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _3495_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.237:0.237:0.237) (0.141:0.141:0.141))
+    (IOPATH A2 Y (0.275:0.275:0.275) (0.148:0.148:0.148))
+    (IOPATH B1 Y (0.177:0.177:0.177) (0.087:0.087:0.087))
+    (IOPATH B2 Y (0.199:0.199:0.199) (0.097:0.097:0.097))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3496_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.294:0.294:0.294) (0.223:0.223:0.223))
+    (IOPATH B X (0.246:0.246:0.246) (0.221:0.221:0.221))
+    (IOPATH C X (0.287:0.287:0.287) (0.256:0.256:0.256))
+    (IOPATH D X (0.268:0.268:0.268) (0.247:0.247:0.247))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _3497_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.188:0.190:0.192) (0.093:0.095:0.096))
+    (IOPATH A2 Y (0.167:0.171:0.175) (0.096:0.098:0.100))
+    (IOPATH B1_N Y (0.140:0.141:0.141) (0.169:0.170:0.171))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3498_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.215:0.215:0.215) (0.086:0.086:0.086))
+    (IOPATH A2 Y (0.227:0.228:0.229) (0.108:0.113:0.118))
+    (IOPATH B1 Y (0.200:0.201:0.202) (0.068:0.077:0.087))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3499_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.174:0.174:0.174) (0.174:0.174:0.174))
+    (IOPATH B X (0.196:0.201:0.206) (0.187:0.188:0.189))
+    (IOPATH C X (0.191:0.199:0.206) (0.201:0.202:0.204))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _3500_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.244:0.248:0.251) (0.125:0.126:0.127))
+    (IOPATH A2 Y (0.202:0.207:0.212) (0.122:0.124:0.125))
+    (IOPATH B1_N Y (0.154:0.154:0.155) (0.181:0.182:0.183))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_2")
+  (INSTANCE _3501_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.172:0.172:0.173) (0.173:0.175:0.177))
+    (IOPATH B Y (0.162:0.163:0.163) (0.177:0.177:0.178))
+    (IOPATH C Y (0.169:0.170:0.171) (0.184:0.194:0.205))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o22ai_2")
+  (INSTANCE _3502_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.229:0.231:0.232) (0.132:0.132:0.133))
+    (IOPATH A2 Y (0.189:0.199:0.208) (0.133:0.136:0.138))
+    (IOPATH B1 Y (0.208:0.209:0.210) (0.121:0.123:0.124))
+    (IOPATH B2 Y (0.159:0.159:0.159) (0.100:0.100:0.101))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3503_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.138:0.140:0.142) (0.189:0.189:0.189))
+    (IOPATH A2 X (0.134:0.134:0.135) (0.189:0.190:0.190))
+    (IOPATH B1 X (0.114:0.122:0.129) (0.176:0.177:0.178))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _3504_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.148:0.149:0.150) (0.411:0.414:0.417))
+    (IOPATH B X (0.128:0.128:0.128) (0.363:0.364:0.364))
+    (IOPATH C X (0.153:0.155:0.157) (0.326:0.330:0.335))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _3505_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.177:0.178:0.178) (0.096:0.096:0.097))
+    (IOPATH A2 Y (0.164:0.169:0.174) (0.108:0.110:0.112))
+    (IOPATH B1 Y (0.140:0.144:0.148) (0.110:0.111:0.112))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _3506_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.140:0.140:0.141) (0.391:0.391:0.392))
+    (IOPATH B X (0.129:0.131:0.132) (0.377:0.379:0.381))
+    (IOPATH C X (0.145:0.147:0.150) (0.334:0.338:0.341))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _3507_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.173:0.174:0.175) (0.100:0.101:0.101))
+    (IOPATH A2 Y (0.165:0.169:0.173) (0.096:0.099:0.101))
+    (IOPATH B1 Y (0.118:0.121:0.123) (0.088:0.090:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3508_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.307:0.307:0.307) (0.245:0.245:0.245))
+    (IOPATH B X (0.296:0.296:0.296) (0.238:0.238:0.238))
+    (IOPATH C X (0.316:0.316:0.316) (0.302:0.302:0.302))
+    (IOPATH D X (0.315:0.315:0.315) (0.318:0.318:0.318))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3509_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.187:0.187:0.187) (0.182:0.182:0.182))
+    (IOPATH B X (0.208:0.213:0.218) (0.193:0.195:0.197))
+    (IOPATH C X (0.216:0.216:0.216) (0.215:0.216:0.217))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3510_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.186:0.186:0.186) (0.181:0.181:0.181))
+    (IOPATH B X (0.209:0.214:0.219) (0.196:0.199:0.201))
+    (IOPATH C X (0.206:0.206:0.206) (0.207:0.208:0.209))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3511_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.303:0.304:0.306) (0.272:0.273:0.275))
+    (IOPATH B X (0.278:0.278:0.278) (0.233:0.233:0.234))
+    (IOPATH C X (0.292:0.292:0.292) (0.254:0.255:0.256))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor4_1")
+  (INSTANCE _3512_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.322:0.323:0.325) (0.091:0.091:0.091))
+    (IOPATH B Y (0.294:0.303:0.312) (0.101:0.103:0.106))
+    (IOPATH C Y (0.303:0.304:0.305) (0.078:0.079:0.080))
+    (IOPATH D Y (0.221:0.221:0.221) (0.069:0.069:0.069))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _3513_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.184:0.184:0.184) (0.205:0.205:0.205))
+    (IOPATH A2 X (0.223:0.224:0.224) (0.248:0.251:0.254))
+    (IOPATH A3 X (0.230:0.230:0.230) (0.275:0.275:0.276))
+    (IOPATH B1 X (0.195:0.196:0.196) (0.218:0.224:0.230))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3514_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.122:0.123:0.125) (0.110:0.112:0.114))
+    (IOPATH B Y (0.137:0.139:0.141) (0.136:0.136:0.137))
+    (IOPATH C Y (0.106:0.107:0.107) (0.107:0.107:0.107))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _3515_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.158:0.158:0.158) (0.201:0.202:0.202))
+    (IOPATH A2 X (0.171:0.171:0.171) (0.230:0.231:0.232))
+    (IOPATH B1_N X (0.239:0.242:0.244) (0.242:0.244:0.246))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _3516_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.195:0.196:0.196) (0.191:0.191:0.191))
+    (IOPATH B X (0.133:0.145:0.157) (0.162:0.166:0.170))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3517_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.156:0.168:0.181) (0.187:0.191:0.195))
+    (IOPATH A2 X (0.158:0.158:0.158) (0.207:0.207:0.208))
+    (IOPATH B1 X (0.113:0.113:0.113) (0.172:0.172:0.172))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _3518_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.207:0.210:0.212) (0.194:0.208:0.221))
+    (IOPATH B X (0.141:0.146:0.151) (0.166:0.171:0.176))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3519_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.154:0.166:0.179) (0.184:0.190:0.195))
+    (IOPATH A2 X (0.150:0.150:0.151) (0.200:0.201:0.201))
+    (IOPATH B1 X (0.109:0.109:0.109) (0.169:0.169:0.169))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _3520_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.284:0.290:0.297) (0.123:0.139:0.155))
+    (IOPATH A X (0.277:0.287:0.297) (0.202:0.209:0.215))
+    (IOPATH B X (0.260:0.261:0.261) (0.113:0.113:0.114))
+    (IOPATH B X (0.275:0.276:0.276) (0.178:0.178:0.179))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3521_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.216:0.217:0.217) (0.175:0.183:0.190))
+    (IOPATH A Y (0.274:0.281:0.288) (0.171:0.172:0.173))
+    (IOPATH B Y (0.214:0.215:0.216) (0.170:0.178:0.187))
+    (IOPATH B Y (0.254:0.262:0.269) (0.157:0.158:0.159))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3522_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.196:0.207:0.219) (0.181:0.187:0.192))
+    (IOPATH A Y (0.299:0.304:0.309) (0.132:0.148:0.164))
+    (IOPATH B Y (0.189:0.189:0.190) (0.172:0.172:0.173))
+    (IOPATH B Y (0.275:0.276:0.276) (0.116:0.116:0.117))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3523_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.098:0.099:0.099) (0.087:0.087:0.087))
+    (IOPATH B Y (0.132:0.134:0.135) (0.099:0.101:0.103))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+  (INSTANCE _3524_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.258:0.258:0.259) (0.130:0.131:0.131))
+    (IOPATH A X (0.238:0.238:0.238) (0.216:0.216:0.216))
+    (IOPATH B X (0.221:0.221:0.222) (0.102:0.103:0.104))
+    (IOPATH B X (0.229:0.230:0.231) (0.177:0.178:0.178))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3525_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.153:0.166:0.178) (0.157:0.162:0.167))
+    (IOPATH A Y (0.207:0.211:0.216) (0.106:0.122:0.138))
+    (IOPATH B Y (0.164:0.165:0.167) (0.138:0.144:0.151))
+    (IOPATH B Y (0.174:0.180:0.186) (0.108:0.110:0.112))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3526_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.206:0.206:0.207) (0.190:0.191:0.191))
+    (IOPATH A Y (0.310:0.311:0.311) (0.145:0.145:0.145))
+    (IOPATH B Y (0.197:0.209:0.221) (0.180:0.184:0.188))
+    (IOPATH B Y (0.285:0.289:0.293) (0.124:0.140:0.157))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _3527_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.268:0.272:0.276) (0.118:0.133:0.149))
+    (IOPATH A X (0.261:0.271:0.280) (0.198:0.202:0.206))
+    (IOPATH B X (0.248:0.249:0.249) (0.114:0.115:0.115))
+    (IOPATH B X (0.264:0.264:0.264) (0.178:0.179:0.179))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3528_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.188:0.201:0.213) (0.182:0.185:0.188))
+    (IOPATH A Y (0.276:0.279:0.281) (0.132:0.150:0.169))
+    (IOPATH B Y (0.206:0.208:0.209) (0.165:0.173:0.181))
+    (IOPATH B Y (0.245:0.253:0.260) (0.148:0.149:0.151))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3529_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.193:0.193:0.194) (0.178:0.178:0.179))
+    (IOPATH B X (0.200:0.212:0.225) (0.201:0.209:0.217))
+    (IOPATH C X (0.201:0.201:0.201) (0.206:0.207:0.207))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3530_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.234:0.234:0.235) (0.103:0.103:0.104))
+    (IOPATH A2 Y (0.255:0.262:0.269) (0.108:0.122:0.135))
+    (IOPATH B1 Y (0.221:0.221:0.221) (0.072:0.072:0.073))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3531_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.233:0.236:0.238) (0.105:0.107:0.109))
+    (IOPATH A2 Y (0.237:0.237:0.238) (0.103:0.103:0.104))
+    (IOPATH B1 Y (0.217:0.217:0.218) (0.083:0.084:0.084))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3532_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.212:0.213:0.215) (0.195:0.197:0.200))
+    (IOPATH B X (0.212:0.212:0.212) (0.198:0.199:0.199))
+    (IOPATH C X (0.225:0.225:0.225) (0.221:0.221:0.222))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o22ai_2")
+  (INSTANCE _3533_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.210:0.211:0.211) (0.111:0.111:0.112))
+    (IOPATH A2 Y (0.187:0.193:0.200) (0.126:0.128:0.129))
+    (IOPATH B1 Y (0.164:0.168:0.172) (0.136:0.138:0.141))
+    (IOPATH B2 Y (0.142:0.142:0.143) (0.096:0.096:0.096))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3534_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.121:0.125:0.129) (0.122:0.138:0.154))
+    (IOPATH B Y (0.123:0.124:0.125) (0.115:0.115:0.116))
+    (IOPATH C Y (0.111:0.118:0.125) (0.135:0.135:0.136))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3535_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.159:0.170:0.182) (0.197:0.200:0.204))
+    (IOPATH A2 X (0.150:0.150:0.151) (0.206:0.207:0.207))
+    (IOPATH B1 X (0.136:0.136:0.136) (0.174:0.180:0.185))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or4_1")
+  (INSTANCE _3536_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.176:0.176:0.176) (0.577:0.579:0.580))
+    (IOPATH B X (0.194:0.197:0.200) (0.546:0.554:0.562))
+    (IOPATH C X (0.166:0.167:0.168) (0.551:0.552:0.552))
+    (IOPATH D X (0.157:0.158:0.158) (0.458:0.458:0.458))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _3537_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.170:0.170:0.170) (0.253:0.253:0.253))
+    (IOPATH A2 X (0.198:0.199:0.199) (0.258:0.261:0.264))
+    (IOPATH B1 X (0.195:0.195:0.195) (0.223:0.224:0.224))
+    (IOPATH B2 X (0.154:0.154:0.154) (0.217:0.217:0.217))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_1")
+  (INSTANCE _3538_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.110:0.110:0.110) (0.126:0.126:0.126))
+    (IOPATH B Y (0.160:0.160:0.160) (0.144:0.144:0.144))
+    (IOPATH C Y (0.147:0.150:0.154) (0.171:0.171:0.172))
+    (IOPATH D Y (0.157:0.158:0.159) (0.180:0.180:0.180))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _3539_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.157:0.159:0.161) (0.233:0.238:0.244))
+    (IOPATH A2 X (0.154:0.154:0.155) (0.238:0.239:0.239))
+    (IOPATH B1 X (0.144:0.144:0.144) (0.192:0.193:0.193))
+    (IOPATH B2 X (0.153:0.156:0.159) (0.240:0.241:0.242))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3540_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.189:0.200:0.211) (0.176:0.178:0.181))
+    (IOPATH A Y (0.285:0.287:0.289) (0.128:0.143:0.159))
+    (IOPATH B Y (0.216:0.218:0.220) (0.174:0.183:0.192))
+    (IOPATH B Y (0.267:0.276:0.284) (0.156:0.159:0.161))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _3541_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.080:0.081:0.081) (0.040:0.040:0.040))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3542_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.131:0.132:0.132) (0.052:0.052:0.052))
+    (IOPATH B Y (0.146:0.146:0.147) (0.087:0.087:0.087))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3543_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.193:0.193:0.194) (0.192:0.192:0.192))
+    (IOPATH A Y (0.294:0.294:0.294) (0.135:0.136:0.136))
+    (IOPATH B Y (0.191:0.191:0.191) (0.157:0.163:0.170))
+    (IOPATH B Y (0.247:0.253:0.258) (0.123:0.123:0.123))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3544_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.186:0.199:0.212) (0.178:0.183:0.188))
+    (IOPATH A Y (0.273:0.277:0.281) (0.129:0.147:0.165))
+    (IOPATH B Y (0.186:0.198:0.211) (0.174:0.177:0.180))
+    (IOPATH B Y (0.254:0.256:0.259) (0.119:0.137:0.155))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3545_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.161:0.162:0.163) (0.080:0.080:0.081))
+    (IOPATH A2 Y (0.126:0.127:0.127) (0.059:0.059:0.059))
+    (IOPATH B1 Y (0.105:0.106:0.107) (0.046:0.046:0.046))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _3546_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.210:0.210:0.210) (0.302:0.302:0.303))
+    (IOPATH B X (0.174:0.176:0.178) (0.257:0.260:0.263))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3547_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.136:0.149:0.162) (0.135:0.139:0.144))
+    (IOPATH B X (0.139:0.140:0.140) (0.154:0.161:0.168))
+    (IOPATH C X (0.150:0.151:0.151) (0.180:0.180:0.181))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _3548_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.161:0.162:0.164) (0.254:0.258:0.261))
+    (IOPATH B X (0.129:0.130:0.130) (0.234:0.234:0.235))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3549_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.183:0.183:0.183) (0.177:0.177:0.178))
+    (IOPATH A Y (0.268:0.268:0.268) (0.126:0.126:0.126))
+    (IOPATH B Y (0.172:0.172:0.172) (0.165:0.166:0.166))
+    (IOPATH B Y (0.242:0.242:0.242) (0.105:0.105:0.105))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _3550_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.109:0.109:0.109) (0.222:0.223:0.223))
+    (IOPATH B X (0.115:0.116:0.117) (0.198:0.204:0.209))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _3551_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.176:0.177:0.178) (0.175:0.176:0.176))
+    (IOPATH B X (0.164:0.164:0.164) (0.182:0.182:0.182))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3552_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.151:0.151:0.151) (0.066:0.066:0.066))
+    (IOPATH A2 Y (0.164:0.166:0.168) (0.087:0.092:0.096))
+    (IOPATH B1 Y (0.133:0.134:0.135) (0.056:0.056:0.056))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3553_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.264:0.265:0.265) (0.104:0.104:0.104))
+    (IOPATH B Y (0.243:0.246:0.248) (0.103:0.106:0.109))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3554_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.175:0.175:0.175) (0.161:0.161:0.161))
+    (IOPATH A Y (0.251:0.251:0.251) (0.117:0.117:0.117))
+    (IOPATH B Y (0.208:0.208:0.208) (0.165:0.165:0.166))
+    (IOPATH B Y (0.239:0.239:0.240) (0.152:0.152:0.152))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3555_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.137:0.137:0.137) (0.063:0.063:0.063))
+    (IOPATH A2 Y (0.145:0.145:0.146) (0.077:0.082:0.086))
+    (IOPATH B1 Y (0.116:0.117:0.118) (0.051:0.052:0.052))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _3556_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.172:0.172:0.172) (0.274:0.275:0.276))
+    (IOPATH B X (0.165:0.167:0.170) (0.250:0.251:0.253))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3557_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.142:0.142:0.142) (0.063:0.063:0.063))
+    (IOPATH A2 Y (0.151:0.153:0.154) (0.082:0.086:0.091))
+    (IOPATH B1 Y (0.130:0.131:0.132) (0.060:0.060:0.060))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _3558_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.187:0.187:0.187) (0.285:0.286:0.286))
+    (IOPATH B X (0.184:0.187:0.190) (0.262:0.264:0.265))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3559_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.443:0.443:0.443) (0.348:0.348:0.348))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _3560_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.225:0.225:0.225) (0.164:0.164:0.164))
+    (IOPATH A2 Y (0.235:0.235:0.235) (0.141:0.141:0.141))
+    (IOPATH B1 Y (0.203:0.203:0.203) (0.112:0.112:0.112))
+    (IOPATH B2 Y (0.213:0.213:0.213) (0.113:0.113:0.113))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _3561_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.192:0.193:0.193) (0.286:0.287:0.288))
+    (IOPATH B X (0.199:0.200:0.201) (0.279:0.286:0.293))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _3562_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.256:0.256:0.256) (0.151:0.151:0.151))
+    (IOPATH A2 Y (0.303:0.303:0.303) (0.172:0.172:0.172))
+    (IOPATH B1 Y (0.269:0.269:0.269) (0.154:0.154:0.154))
+    (IOPATH B2 Y (0.270:0.270:0.270) (0.143:0.143:0.143))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3563_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.138:0.138:0.139) (0.078:0.078:0.078))
+    (IOPATH B Y (0.135:0.139:0.144) (0.083:0.084:0.084))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3564_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.197:0.197:0.197) (0.189:0.189:0.189))
+    (IOPATH A Y (0.294:0.295:0.295) (0.139:0.139:0.139))
+    (IOPATH B Y (0.190:0.191:0.191) (0.164:0.167:0.170))
+    (IOPATH B Y (0.256:0.259:0.262) (0.120:0.121:0.121))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3565_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.306:0.306:0.306) (0.253:0.253:0.253))
+    (IOPATH B X (0.307:0.307:0.307) (0.276:0.276:0.276))
+    (IOPATH C X (0.316:0.316:0.316) (0.316:0.316:0.316))
+    (IOPATH D X (0.286:0.286:0.286) (0.259:0.259:0.259))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3566_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.077:0.080:0.083) (0.070:0.081:0.091))
+    (IOPATH B Y (0.075:0.076:0.077) (0.072:0.072:0.072))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o31a_1")
+  (INSTANCE _3567_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.227:0.227:0.227) (0.351:0.352:0.353))
+    (IOPATH A2 X (0.224:0.224:0.224) (0.343:0.343:0.343))
+    (IOPATH A3 X (0.224:0.225:0.225) (0.305:0.310:0.315))
+    (IOPATH B1 X (0.195:0.195:0.196) (0.139:0.147:0.154))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3568_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.156:0.156:0.156) (0.157:0.157:0.158))
+    (IOPATH A Y (0.218:0.219:0.219) (0.105:0.105:0.105))
+    (IOPATH B Y (0.161:0.161:0.161) (0.159:0.159:0.159))
+    (IOPATH B Y (0.205:0.205:0.205) (0.102:0.102:0.102))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3569_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.127:0.130:0.133) (0.073:0.073:0.074))
+    (IOPATH B Y (0.106:0.108:0.110) (0.053:0.062:0.071))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _3570_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.202:0.202:0.202) (0.230:0.231:0.231))
+    (IOPATH A2 X (0.191:0.191:0.192) (0.221:0.222:0.222))
+    (IOPATH B1_N X (0.260:0.264:0.267) (0.189:0.189:0.189))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3571_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.069:0.070:0.070) (0.070:0.070:0.070))
+    (IOPATH B Y (0.084:0.085:0.085) (0.103:0.103:0.103))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _3572_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.191:0.205:0.220) (0.228:0.232:0.237))
+    (IOPATH A2 X (0.176:0.176:0.177) (0.207:0.208:0.209))
+    (IOPATH B1 X (0.165:0.166:0.168) (0.135:0.139:0.144))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _3573_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.125:0.125:0.125) (0.243:0.243:0.243))
+    (IOPATH B X (0.109:0.110:0.110) (0.217:0.217:0.217))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _3574_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.186:0.201:0.215) (0.226:0.228:0.230))
+    (IOPATH A2 X (0.169:0.169:0.170) (0.204:0.205:0.206))
+    (IOPATH B1 X (0.158:0.158:0.158) (0.133:0.133:0.133))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _3575_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.128:0.143:0.158) (0.245:0.249:0.253))
+    (IOPATH B X (0.124:0.138:0.152) (0.226:0.229:0.231))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _3576_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.211:0.216:0.221) (0.115:0.131:0.148))
+    (IOPATH A2 Y (0.190:0.191:0.192) (0.097:0.097:0.097))
+    (IOPATH B1 Y (0.123:0.123:0.123) (0.087:0.087:0.088))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3577_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.202:0.204:0.206) (0.179:0.186:0.192))
+    (IOPATH B X (0.218:0.221:0.224) (0.224:0.225:0.227))
+    (IOPATH C X (0.213:0.213:0.213) (0.201:0.202:0.202))
+    (IOPATH D X (0.220:0.221:0.221) (0.216:0.216:0.216))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3578_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.169:0.170:0.170) (0.194:0.194:0.194))
+    (IOPATH A2 X (0.195:0.200:0.206) (0.223:0.229:0.234))
+    (IOPATH B1 X (0.150:0.150:0.150) (0.192:0.192:0.193))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or4_1")
+  (INSTANCE _3579_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.166:0.166:0.166) (0.579:0.579:0.580))
+    (IOPATH B X (0.173:0.174:0.174) (0.564:0.565:0.565))
+    (IOPATH C X (0.194:0.197:0.199) (0.528:0.533:0.539))
+    (IOPATH D X (0.188:0.190:0.192) (0.461:0.464:0.467))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _3580_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.195:0.195:0.196) (0.215:0.220:0.226))
+    (IOPATH A2 X (0.184:0.185:0.185) (0.239:0.240:0.240))
+    (IOPATH B1_N X (0.267:0.267:0.267) (0.252:0.252:0.252))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _3581_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.210:0.213:0.216) (0.197:0.211:0.224))
+    (IOPATH B X (0.164:0.165:0.166) (0.162:0.171:0.179))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3582_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.186:0.192:0.198) (0.099:0.115:0.132))
+    (IOPATH A2 Y (0.201:0.201:0.201) (0.100:0.100:0.100))
+    (IOPATH B1 Y (0.161:0.161:0.161) (0.049:0.049:0.050))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _3583_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.125:0.138:0.150) (0.245:0.250:0.256))
+    (IOPATH B X (0.145:0.146:0.148) (0.222:0.232:0.243))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _3584_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.271:0.275:0.279) (0.144:0.164:0.184))
+    (IOPATH A2 Y (0.245:0.251:0.257) (0.143:0.146:0.148))
+    (IOPATH B1 Y (0.166:0.166:0.166) (0.117:0.117:0.117))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3585_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.117:0.122:0.128) (0.065:0.075:0.086))
+    (IOPATH B Y (0.110:0.115:0.119) (0.064:0.068:0.072))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3586_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.065:0.071:0.077) (0.059:0.066:0.074))
+    (IOPATH B Y (0.083:0.087:0.092) (0.071:0.076:0.080))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3b_1")
+  (INSTANCE _3587_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.206:0.206:0.206) (0.461:0.461:0.461))
+    (IOPATH B X (0.149:0.150:0.151) (0.389:0.393:0.396))
+    (IOPATH C_N X (0.195:0.199:0.204) (0.383:0.385:0.386))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+  (INSTANCE _3588_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.225:0.225:0.225) (0.204:0.204:0.204))
+    (IOPATH B Y (0.240:0.240:0.240) (0.206:0.206:0.206))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3589_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.427:0.427:0.427) (0.356:0.356:0.356))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3590_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.466:0.466:0.466) (0.320:0.320:0.320))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3591_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.488:0.488:0.488) (0.384:0.384:0.384))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3592_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.386:0.386:0.386) (0.327:0.327:0.327))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3593_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.240:0.240:0.240) (0.199:0.199:0.199))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3594_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.422:0.422:0.422) (0.287:0.287:0.287))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3595_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.188:0.188:0.188) (0.169:0.169:0.169))
+    (IOPATH B Y (0.146:0.146:0.146) (0.139:0.139:0.139))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3596_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.230:0.230:0.230) (0.193:0.193:0.193))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3597_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.251:0.251:0.251) (0.202:0.202:0.202))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _3598_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.288:0.288:0.288) (0.179:0.179:0.179))
+    (IOPATH A2 Y (0.259:0.259:0.259) (0.142:0.142:0.142))
+    (IOPATH B1 Y (0.221:0.221:0.221) (0.131:0.131:0.131))
+    (IOPATH B2 Y (0.278:0.278:0.278) (0.150:0.150:0.150))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3599_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.267:0.267:0.267) (0.243:0.243:0.243))
+    (IOPATH B X (0.264:0.264:0.264) (0.255:0.255:0.255))
+    (IOPATH C X (0.235:0.235:0.235) (0.218:0.218:0.218))
+    (IOPATH D X (0.242:0.242:0.242) (0.234:0.234:0.234))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _3600_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.214:0.220:0.225) (0.113:0.114:0.115))
+    (IOPATH A2 Y (0.196:0.202:0.208) (0.119:0.120:0.122))
+    (IOPATH B1_N Y (0.140:0.140:0.141) (0.172:0.173:0.173))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3601_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.241:0.241:0.241) (0.220:0.220:0.220))
+    (IOPATH B X (0.265:0.265:0.265) (0.252:0.252:0.252))
+    (IOPATH C X (0.200:0.209:0.218) (0.211:0.211:0.212))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3602_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.421:0.421:0.421) (0.333:0.333:0.333))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3603_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.180:0.180:0.180) (0.110:0.110:0.110))
+    (IOPATH A2 Y (0.200:0.200:0.200) (0.117:0.117:0.117))
+    (IOPATH B1 Y (0.132:0.132:0.133) (0.052:0.058:0.064))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3604_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.196:0.196:0.197) (0.082:0.083:0.083))
+    (IOPATH B Y (0.175:0.183:0.190) (0.080:0.083:0.086))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31oi_2")
+  (INSTANCE _3605_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.282:0.282:0.282) (0.198:0.198:0.198))
+    (IOPATH A2 Y (0.330:0.330:0.330) (0.197:0.197:0.197))
+    (IOPATH A3 Y (0.275:0.275:0.276) (0.163:0.163:0.164))
+    (IOPATH B1 Y (0.237:0.238:0.238) (0.070:0.071:0.071))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3606_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.350:0.350:0.350) (0.279:0.279:0.279))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3607_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.424:0.424:0.424) (0.347:0.347:0.347))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3608_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.141:0.141:0.141) (0.133:0.133:0.133))
+    (IOPATH B Y (0.152:0.152:0.152) (0.130:0.130:0.130))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3609_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.249:0.249:0.249) (0.221:0.221:0.221))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3610_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.383:0.383:0.383) (0.277:0.277:0.277))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3611_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.326:0.326:0.326) (0.273:0.273:0.273))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _3612_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.292:0.292:0.292) (0.180:0.180:0.180))
+    (IOPATH A2 Y (0.294:0.294:0.294) (0.185:0.185:0.185))
+    (IOPATH B1 Y (0.256:0.256:0.256) (0.149:0.149:0.149))
+    (IOPATH B2 Y (0.284:0.284:0.284) (0.153:0.153:0.153))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3613_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.260:0.260:0.260) (0.230:0.230:0.230))
+    (IOPATH B X (0.263:0.263:0.263) (0.255:0.255:0.255))
+    (IOPATH C X (0.227:0.227:0.227) (0.223:0.223:0.223))
+    (IOPATH D X (0.241:0.241:0.241) (0.234:0.234:0.234))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _3614_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.200:0.203:0.206) (0.101:0.101:0.102))
+    (IOPATH A2 Y (0.194:0.196:0.199) (0.116:0.117:0.118))
+    (IOPATH B1_N Y (0.135:0.135:0.135) (0.167:0.168:0.169))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3615_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.238:0.238:0.238) (0.225:0.225:0.225))
+    (IOPATH B X (0.244:0.244:0.244) (0.260:0.260:0.260))
+    (IOPATH C X (0.190:0.198:0.207) (0.203:0.205:0.206))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3616_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.183:0.183:0.183) (0.112:0.112:0.112))
+    (IOPATH A2 Y (0.217:0.217:0.217) (0.125:0.125:0.125))
+    (IOPATH B1 Y (0.133:0.134:0.135) (0.052:0.058:0.064))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3617_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.189:0.190:0.191) (0.078:0.078:0.079))
+    (IOPATH B Y (0.170:0.178:0.186) (0.081:0.084:0.087))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3618_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.138:0.138:0.138) (0.118:0.118:0.118))
+    (IOPATH B Y (0.141:0.141:0.141) (0.116:0.116:0.116))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _3619_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.268:0.269:0.270) (0.145:0.145:0.145))
+    (IOPATH A X (0.282:0.282:0.282) (0.195:0.196:0.197))
+    (IOPATH B X (0.259:0.262:0.266) (0.112:0.112:0.112))
+    (IOPATH B X (0.266:0.266:0.266) (0.185:0.189:0.192))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3620_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.138:0.138:0.138) (0.116:0.116:0.116))
+    (IOPATH B Y (0.139:0.139:0.139) (0.115:0.115:0.115))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3621_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.241:0.241:0.241) (0.197:0.197:0.197))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3622_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.278:0.278:0.278) (0.250:0.250:0.250))
+    (IOPATH B X (0.275:0.275:0.275) (0.262:0.262:0.262))
+    (IOPATH C X (0.252:0.252:0.252) (0.231:0.231:0.231))
+    (IOPATH D X (0.240:0.240:0.240) (0.240:0.240:0.240))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _3623_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.216:0.216:0.216) (0.273:0.273:0.273))
+    (IOPATH A2 X (0.192:0.192:0.192) (0.259:0.259:0.259))
+    (IOPATH B1 X (0.163:0.163:0.163) (0.208:0.208:0.208))
+    (IOPATH B2 X (0.202:0.202:0.202) (0.281:0.281:0.281))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _3624_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.201:0.202:0.203) (0.194:0.194:0.195))
+    (IOPATH B X (0.136:0.136:0.137) (0.164:0.164:0.165))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3625_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.208:0.209:0.209) (0.197:0.201:0.205))
+    (IOPATH A Y (0.338:0.342:0.345) (0.140:0.140:0.140))
+    (IOPATH B Y (0.201:0.201:0.201) (0.180:0.180:0.181))
+    (IOPATH B Y (0.307:0.307:0.307) (0.122:0.122:0.122))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3626_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.233:0.233:0.233) (0.194:0.194:0.194))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _3627_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.182:0.182:0.182) (0.120:0.120:0.120))
+    (IOPATH A2 Y (0.213:0.213:0.213) (0.142:0.142:0.142))
+    (IOPATH B1 Y (0.206:0.206:0.206) (0.113:0.113:0.113))
+    (IOPATH B2 Y (0.169:0.169:0.169) (0.082:0.082:0.082))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3628_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.255:0.255:0.255) (0.194:0.194:0.194))
+    (IOPATH B X (0.288:0.288:0.288) (0.245:0.245:0.245))
+    (IOPATH C X (0.295:0.295:0.295) (0.260:0.260:0.260))
+    (IOPATH D X (0.328:0.328:0.328) (0.312:0.312:0.312))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _3629_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.167:0.169:0.170) (0.265:0.275:0.284))
+    (IOPATH B X (0.170:0.170:0.170) (0.256:0.257:0.258))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _3630_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.215:0.215:0.215) (0.192:0.192:0.192))
+    (IOPATH B X (0.237:0.237:0.237) (0.272:0.272:0.272))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _3631_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.238:0.238:0.238) (0.268:0.268:0.268))
+    (IOPATH A2 X (0.256:0.256:0.256) (0.326:0.326:0.326))
+    (IOPATH B1 X (0.209:0.209:0.209) (0.238:0.238:0.238))
+    (IOPATH B2 X (0.205:0.205:0.205) (0.258:0.258:0.258))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_1")
+  (INSTANCE _3632_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.162:0.162:0.162) (0.204:0.204:0.204))
+    (IOPATH B Y (0.180:0.180:0.180) (0.189:0.189:0.189))
+    (IOPATH C Y (0.231:0.231:0.231) (0.229:0.229:0.229))
+    (IOPATH D Y (0.199:0.199:0.199) (0.230:0.230:0.230))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _3633_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.151:0.151:0.151) (0.193:0.193:0.193))
+    (IOPATH A2 X (0.154:0.154:0.154) (0.221:0.221:0.221))
+    (IOPATH B1_N X (0.257:0.259:0.261) (0.242:0.244:0.247))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3634_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.166:0.166:0.166) (0.164:0.164:0.164))
+    (IOPATH A Y (0.244:0.244:0.244) (0.111:0.111:0.111))
+    (IOPATH B Y (0.157:0.157:0.157) (0.151:0.151:0.152))
+    (IOPATH B Y (0.216:0.216:0.217) (0.093:0.093:0.094))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3635_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.195:0.208:0.220) (0.191:0.193:0.196))
+    (IOPATH A Y (0.288:0.290:0.292) (0.140:0.160:0.179))
+    (IOPATH B Y (0.182:0.193:0.205) (0.168:0.171:0.173))
+    (IOPATH B Y (0.252:0.254:0.256) (0.113:0.129:0.144))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3636_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.155:0.158:0.160) (0.095:0.096:0.097))
+    (IOPATH B Y (0.116:0.116:0.117) (0.055:0.056:0.056))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3637_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.234:0.235:0.236) (0.218:0.221:0.224))
+    (IOPATH A Y (0.389:0.392:0.395) (0.158:0.159:0.160))
+    (IOPATH B Y (0.241:0.241:0.241) (0.192:0.199:0.206))
+    (IOPATH B Y (0.350:0.356:0.362) (0.155:0.155:0.155))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3638_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.136:0.138:0.140) (0.106:0.110:0.113))
+    (IOPATH B Y (0.103:0.104:0.104) (0.106:0.106:0.106))
+    (IOPATH C Y (0.108:0.108:0.109) (0.108:0.108:0.109))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3639_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.151:0.154:0.157) (0.218:0.220:0.222))
+    (IOPATH A2 X (0.150:0.151:0.151) (0.200:0.201:0.201))
+    (IOPATH B1 X (0.118:0.119:0.119) (0.171:0.172:0.172))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _3640_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.171:0.171:0.171) (0.175:0.175:0.175))
+    (IOPATH B X (0.208:0.208:0.208) (0.255:0.255:0.255))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _3641_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.209:0.209:0.209) (0.262:0.262:0.262))
+    (IOPATH A2 X (0.206:0.206:0.206) (0.277:0.277:0.277))
+    (IOPATH B1 X (0.201:0.201:0.201) (0.232:0.232:0.232))
+    (IOPATH B2 X (0.218:0.218:0.218) (0.255:0.255:0.255))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_1")
+  (INSTANCE _3642_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.139:0.139:0.139) (0.152:0.152:0.152))
+    (IOPATH B Y (0.160:0.160:0.160) (0.185:0.185:0.185))
+    (IOPATH C Y (0.208:0.208:0.208) (0.200:0.200:0.200))
+    (IOPATH D Y (0.179:0.179:0.179) (0.202:0.202:0.202))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _3643_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.140:0.140:0.141) (0.186:0.187:0.187))
+    (IOPATH A2 X (0.152:0.153:0.153) (0.219:0.219:0.219))
+    (IOPATH B1_N X (0.243:0.246:0.249) (0.236:0.239:0.241))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3644_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.154:0.155:0.156) (0.192:0.195:0.197))
+    (IOPATH A2 X (0.152:0.153:0.153) (0.202:0.202:0.202))
+    (IOPATH B1 X (0.127:0.127:0.127) (0.181:0.182:0.182))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3645_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.120:0.123:0.126) (0.115:0.116:0.117))
+    (IOPATH B Y (0.122:0.123:0.123) (0.117:0.117:0.118))
+    (IOPATH C Y (0.123:0.123:0.124) (0.123:0.123:0.123))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _3646_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.170:0.184:0.198) (0.220:0.222:0.225))
+    (IOPATH A2 X (0.145:0.145:0.145) (0.213:0.213:0.214))
+    (IOPATH B1_N X (0.227:0.228:0.228) (0.233:0.234:0.236))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _3647_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.199:0.207:0.214) (0.096:0.111:0.125))
+    (IOPATH A X (0.191:0.201:0.212) (0.182:0.189:0.196))
+    (IOPATH B X (0.168:0.169:0.169) (0.078:0.079:0.079))
+    (IOPATH B X (0.184:0.184:0.185) (0.151:0.152:0.152))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3648_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.153:0.160:0.166) (0.107:0.108:0.109))
+    (IOPATH B Y (0.130:0.136:0.143) (0.077:0.078:0.080))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _3649_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.163:0.165:0.166) (0.139:0.147:0.155))
+    (IOPATH B X (0.139:0.140:0.142) (0.147:0.154:0.162))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _3650_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.155:0.155:0.155) (0.253:0.258:0.263))
+    (IOPATH B X (0.123:0.123:0.124) (0.228:0.228:0.228))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3651_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.115:0.116:0.116) (0.104:0.106:0.108))
+    (IOPATH B Y (0.143:0.146:0.148) (0.134:0.151:0.169))
+    (IOPATH C Y (0.107:0.107:0.107) (0.108:0.108:0.108))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3652_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.141:0.143:0.145) (0.190:0.191:0.191))
+    (IOPATH A2 X (0.139:0.140:0.140) (0.192:0.192:0.193))
+    (IOPATH B1 X (0.132:0.141:0.151) (0.199:0.201:0.203))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3653_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.195:0.200:0.206) (0.112:0.114:0.115))
+    (IOPATH B Y (0.162:0.163:0.163) (0.068:0.069:0.069))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _3654_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.170:0.170:0.171) (0.194:0.200:0.206))
+    (IOPATH A Y (0.272:0.278:0.283) (0.122:0.123:0.124))
+    (IOPATH B Y (0.175:0.175:0.175) (0.164:0.171:0.178))
+    (IOPATH B Y (0.226:0.232:0.238) (0.124:0.124:0.124))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3655_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.127:0.129:0.132) (0.104:0.107:0.111))
+    (IOPATH B Y (0.100:0.101:0.101) (0.099:0.099:0.100))
+    (IOPATH C Y (0.110:0.110:0.110) (0.110:0.110:0.111))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _3656_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.238:0.238:0.238) (0.273:0.273:0.273))
+    (IOPATH A2 X (0.232:0.232:0.232) (0.319:0.319:0.319))
+    (IOPATH B1 X (0.165:0.169:0.173) (0.231:0.234:0.236))
+    (IOPATH B2 X (0.166:0.166:0.166) (0.224:0.224:0.224))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3657_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.169:0.169:0.169) (0.145:0.145:0.145))
+    (IOPATH B Y (0.123:0.123:0.123) (0.121:0.121:0.121))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _3658_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.219:0.219:0.219) (0.131:0.131:0.131))
+    (IOPATH A2 Y (0.235:0.235:0.235) (0.108:0.108:0.108))
+    (IOPATH B1 Y (0.180:0.180:0.180) (0.088:0.088:0.088))
+    (IOPATH B2 Y (0.209:0.209:0.209) (0.092:0.092:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3659_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.307:0.307:0.307) (0.223:0.223:0.223))
+    (IOPATH B X (0.287:0.287:0.287) (0.238:0.238:0.238))
+    (IOPATH C X (0.291:0.291:0.291) (0.255:0.255:0.255))
+    (IOPATH D X (0.300:0.300:0.300) (0.272:0.272:0.272))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _3660_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.199:0.206:0.213) (0.099:0.102:0.104))
+    (IOPATH A2 Y (0.178:0.182:0.186) (0.104:0.106:0.108))
+    (IOPATH B1_N Y (0.159:0.159:0.159) (0.185:0.186:0.187))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3661_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.162:0.163:0.163) (0.198:0.200:0.202))
+    (IOPATH A2 X (0.164:0.165:0.165) (0.211:0.211:0.211))
+    (IOPATH B1 X (0.138:0.146:0.154) (0.190:0.192:0.193))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3662_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.113:0.115:0.118) (0.107:0.107:0.108))
+    (IOPATH B Y (0.118:0.119:0.119) (0.113:0.113:0.114))
+    (IOPATH C Y (0.120:0.121:0.122) (0.118:0.127:0.136))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _3663_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.136:0.153:0.170) (0.193:0.195:0.197))
+    (IOPATH A2 X (0.139:0.139:0.140) (0.207:0.208:0.208))
+    (IOPATH B1_N X (0.214:0.215:0.217) (0.222:0.224:0.225))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3664_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.118:0.127:0.137) (0.110:0.112:0.114))
+    (IOPATH B Y (0.116:0.116:0.117) (0.111:0.112:0.112))
+    (IOPATH C Y (0.114:0.114:0.115) (0.114:0.114:0.115))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3665_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.140:0.140:0.140) (0.119:0.119:0.119))
+    (IOPATH B Y (0.157:0.157:0.157) (0.124:0.124:0.124))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3666_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.205:0.205:0.205) (0.168:0.168:0.169))
+    (IOPATH A Y (0.275:0.276:0.276) (0.151:0.151:0.151))
+    (IOPATH B Y (0.181:0.182:0.183) (0.179:0.182:0.184))
+    (IOPATH B Y (0.269:0.271:0.273) (0.111:0.112:0.113))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3667_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.131:0.133:0.135) (0.178:0.187:0.196))
+    (IOPATH A2 X (0.128:0.129:0.129) (0.184:0.184:0.185))
+    (IOPATH B1 X (0.100:0.100:0.101) (0.162:0.162:0.162))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3668_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.130:0.130:0.131) (0.119:0.121:0.123))
+    (IOPATH B Y (0.141:0.146:0.150) (0.136:0.154:0.173))
+    (IOPATH C Y (0.117:0.118:0.118) (0.117:0.117:0.118))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _3669_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.169:0.171:0.173) (0.180:0.180:0.181))
+    (IOPATH B X (0.173:0.174:0.176) (0.202:0.207:0.212))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _3670_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.217:0.217:0.217) (0.094:0.094:0.094))
+    (IOPATH A X (0.204:0.204:0.204) (0.184:0.185:0.185))
+    (IOPATH B X (0.192:0.193:0.193) (0.094:0.094:0.094))
+    (IOPATH B X (0.211:0.211:0.211) (0.160:0.160:0.160))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3671_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.187:0.191:0.195) (0.154:0.175:0.197))
+    (IOPATH A Y (0.239:0.257:0.276) (0.136:0.142:0.148))
+    (IOPATH B Y (0.184:0.185:0.186) (0.152:0.156:0.159))
+    (IOPATH B Y (0.220:0.224:0.227) (0.123:0.124:0.126))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3672_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.125:0.126:0.127) (0.115:0.117:0.119))
+    (IOPATH B Y (0.135:0.137:0.139) (0.122:0.142:0.162))
+    (IOPATH C Y (0.122:0.123:0.123) (0.125:0.125:0.125))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3673_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.155:0.157:0.158) (0.198:0.200:0.201))
+    (IOPATH A2 X (0.160:0.160:0.160) (0.207:0.207:0.207))
+    (IOPATH B1 X (0.128:0.142:0.155) (0.191:0.193:0.195))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _3674_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.225:0.225:0.225) (0.287:0.287:0.287))
+    (IOPATH A2 X (0.189:0.189:0.189) (0.259:0.259:0.259))
+    (IOPATH B1 X (0.174:0.174:0.174) (0.206:0.206:0.206))
+    (IOPATH B2 X (0.198:0.198:0.198) (0.271:0.271:0.271))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_1")
+  (INSTANCE _3675_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.153:0.153:0.153) (0.158:0.158:0.158))
+    (IOPATH B Y (0.172:0.172:0.172) (0.162:0.162:0.162))
+    (IOPATH C Y (0.130:0.130:0.130) (0.140:0.140:0.140))
+    (IOPATH D Y (0.123:0.123:0.123) (0.140:0.140:0.140))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _3676_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.191:0.191:0.191) (0.196:0.196:0.196))
+    (IOPATH B X (0.157:0.157:0.157) (0.186:0.186:0.186))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3677_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.145:0.145:0.145) (0.070:0.071:0.071))
+    (IOPATH A2 Y (0.181:0.188:0.195) (0.081:0.083:0.085))
+    (IOPATH B1 Y (0.135:0.135:0.135) (0.049:0.050:0.050))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3678_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.197:0.198:0.198) (0.173:0.173:0.173))
+    (IOPATH B X (0.210:0.212:0.214) (0.214:0.222:0.230))
+    (IOPATH C X (0.208:0.208:0.209) (0.205:0.206:0.206))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3679_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.244:0.247:0.251) (0.106:0.109:0.112))
+    (IOPATH B Y (0.238:0.239:0.240) (0.100:0.100:0.100))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _3680_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.153:0.154:0.154) (0.398:0.399:0.400))
+    (IOPATH B X (0.130:0.133:0.136) (0.375:0.381:0.387))
+    (IOPATH C X (0.147:0.149:0.152) (0.331:0.335:0.338))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _3681_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.180:0.181:0.182) (0.110:0.111:0.111))
+    (IOPATH A2 Y (0.160:0.164:0.168) (0.097:0.099:0.101))
+    (IOPATH B1 Y (0.114:0.123:0.131) (0.088:0.091:0.094))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3682_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.151:0.151:0.151) (0.141:0.141:0.141))
+    (IOPATH B Y (0.187:0.187:0.187) (0.155:0.155:0.155))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _3683_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.213:0.213:0.213) (0.111:0.111:0.111))
+    (IOPATH A2 Y (0.272:0.272:0.272) (0.146:0.146:0.146))
+    (IOPATH B1 Y (0.195:0.195:0.195) (0.111:0.111:0.111))
+    (IOPATH B2 Y (0.204:0.204:0.204) (0.101:0.101:0.101))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3684_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.239:0.239:0.239) (0.195:0.195:0.195))
+    (IOPATH B X (0.239:0.239:0.239) (0.211:0.211:0.211))
+    (IOPATH C X (0.248:0.248:0.248) (0.234:0.234:0.234))
+    (IOPATH D X (0.264:0.264:0.264) (0.248:0.248:0.248))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _3685_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.217:0.217:0.217) (0.107:0.108:0.110))
+    (IOPATH A2 Y (0.189:0.196:0.202) (0.106:0.109:0.111))
+    (IOPATH B1_N Y (0.148:0.148:0.148) (0.176:0.177:0.178))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3686_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.149:0.149:0.149) (0.195:0.195:0.195))
+    (IOPATH A2 X (0.167:0.172:0.177) (0.208:0.210:0.212))
+    (IOPATH B1 X (0.134:0.142:0.149) (0.188:0.189:0.190))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3687_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.115:0.115:0.116) (0.101:0.102:0.102))
+    (IOPATH B Y (0.121:0.123:0.126) (0.123:0.128:0.133))
+    (IOPATH C Y (0.123:0.124:0.125) (0.121:0.131:0.140))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _3688_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.194:0.194:0.194) (0.204:0.205:0.206))
+    (IOPATH A2 X (0.155:0.155:0.155) (0.220:0.220:0.221))
+    (IOPATH B1_N X (0.233:0.234:0.236) (0.237:0.238:0.240))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3689_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.189:0.191:0.193) (0.185:0.189:0.193))
+    (IOPATH B X (0.189:0.190:0.190) (0.185:0.186:0.186))
+    (IOPATH C X (0.193:0.194:0.194) (0.202:0.202:0.203))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3690_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.106:0.110:0.114) (0.095:0.097:0.099))
+    (IOPATH B Y (0.100:0.101:0.101) (0.097:0.098:0.098))
+    (IOPATH C Y (0.100:0.101:0.101) (0.100:0.100:0.101))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3691_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.271:0.271:0.271) (0.238:0.238:0.238))
+    (IOPATH B X (0.282:0.282:0.282) (0.276:0.276:0.276))
+    (IOPATH C X (0.285:0.285:0.285) (0.272:0.272:0.272))
+    (IOPATH D X (0.260:0.260:0.260) (0.271:0.271:0.271))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_1")
+  (INSTANCE _3692_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.236:0.236:0.237) (0.276:0.277:0.278))
+    (IOPATH A2 X (0.221:0.221:0.221) (0.263:0.264:0.265))
+    (IOPATH B1 X (0.273:0.273:0.273) (0.232:0.232:0.232))
+    (IOPATH C1 X (0.306:0.306:0.306) (0.238:0.238:0.238))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211oi_1")
+  (INSTANCE _3693_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.345:0.345:0.345) (0.153:0.153:0.153))
+    (IOPATH A2 Y (0.396:0.396:0.396) (0.192:0.192:0.192))
+    (IOPATH B1 Y (0.310:0.311:0.312) (0.074:0.075:0.075))
+    (IOPATH C1 Y (0.275:0.276:0.276) (0.078:0.078:0.078))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3694_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.146:0.147:0.148) (0.077:0.078:0.078))
+    (IOPATH B Y (0.120:0.135:0.150) (0.092:0.093:0.094))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3695_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.132:0.134:0.136) (0.184:0.187:0.191))
+    (IOPATH A2 X (0.131:0.131:0.132) (0.186:0.187:0.187))
+    (IOPATH B1 X (0.103:0.103:0.104) (0.164:0.164:0.165))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3696_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.241:0.242:0.244) (0.204:0.205:0.206))
+    (IOPATH B X (0.259:0.260:0.260) (0.214:0.220:0.226))
+    (IOPATH C X (0.245:0.245:0.246) (0.225:0.225:0.226))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3697_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.142:0.144:0.146) (0.190:0.190:0.190))
+    (IOPATH A2 X (0.133:0.134:0.134) (0.188:0.189:0.189))
+    (IOPATH B1 X (0.124:0.135:0.146) (0.183:0.187:0.190))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211ai_1")
+  (INSTANCE _3698_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.249:0.249:0.250) (0.139:0.139:0.139))
+    (IOPATH A2 Y (0.247:0.247:0.248) (0.140:0.140:0.140))
+    (IOPATH B1 Y (0.158:0.163:0.168) (0.138:0.140:0.142))
+    (IOPATH C1 Y (0.122:0.122:0.122) (0.120:0.120:0.121))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211o_1")
+  (INSTANCE _3699_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.151:0.153:0.155) (0.291:0.295:0.299))
+    (IOPATH A2 X (0.141:0.141:0.141) (0.304:0.305:0.305))
+    (IOPATH B1 X (0.126:0.126:0.126) (0.283:0.283:0.284))
+    (IOPATH C1 X (0.140:0.140:0.140) (0.253:0.254:0.254))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3700_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.120:0.121:0.123) (0.127:0.128:0.129))
+    (IOPATH B Y (0.143:0.146:0.148) (0.132:0.146:0.160))
+    (IOPATH C Y (0.121:0.121:0.122) (0.115:0.115:0.116))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _3701_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.190:0.203:0.215) (0.189:0.191:0.194))
+    (IOPATH B X (0.182:0.183:0.185) (0.207:0.211:0.215))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3702_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.194:0.205:0.217) (0.179:0.184:0.189))
+    (IOPATH A Y (0.294:0.299:0.303) (0.130:0.146:0.163))
+    (IOPATH B Y (0.194:0.194:0.194) (0.172:0.172:0.172))
+    (IOPATH B Y (0.272:0.272:0.272) (0.121:0.121:0.121))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3703_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.148:0.159:0.170) (0.188:0.191:0.193))
+    (IOPATH A2 X (0.131:0.132:0.132) (0.192:0.193:0.194))
+    (IOPATH B1 X (0.121:0.121:0.122) (0.179:0.180:0.181))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3704_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.105:0.106:0.108) (0.095:0.097:0.099))
+    (IOPATH B Y (0.110:0.111:0.112) (0.141:0.142:0.142))
+    (IOPATH C Y (0.101:0.101:0.102) (0.102:0.102:0.102))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3705_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.138:0.140:0.141) (0.186:0.188:0.189))
+    (IOPATH A2 X (0.139:0.140:0.140) (0.192:0.192:0.193))
+    (IOPATH B1 X (0.142:0.142:0.142) (0.175:0.176:0.177))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3706_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.149:0.149:0.149) (0.127:0.127:0.127))
+    (IOPATH B Y (0.151:0.151:0.151) (0.138:0.138:0.138))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3707_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.212:0.212:0.212) (0.188:0.188:0.188))
+    (IOPATH B Y (0.158:0.158:0.158) (0.129:0.129:0.129))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _3708_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.202:0.202:0.202) (0.265:0.265:0.265))
+    (IOPATH A2 X (0.155:0.155:0.155) (0.246:0.246:0.246))
+    (IOPATH B1 X (0.194:0.194:0.194) (0.233:0.233:0.233))
+    (IOPATH B2 X (0.187:0.187:0.187) (0.270:0.270:0.270))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _3709_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.180:0.181:0.181) (0.235:0.235:0.236))
+    (IOPATH A2 X (0.176:0.177:0.178) (0.221:0.231:0.240))
+    (IOPATH B1 X (0.160:0.161:0.162) (0.129:0.129:0.129))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _3710_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.136:0.136:0.136) (0.389:0.390:0.391))
+    (IOPATH B X (0.135:0.137:0.140) (0.383:0.383:0.384))
+    (IOPATH C X (0.146:0.148:0.151) (0.334:0.340:0.345))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _3711_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.189:0.190:0.191) (0.104:0.105:0.105))
+    (IOPATH A2 Y (0.183:0.189:0.196) (0.105:0.107:0.110))
+    (IOPATH B1 Y (0.139:0.139:0.139) (0.101:0.104:0.106))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3712_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.113:0.113:0.113) (0.117:0.117:0.117))
+    (IOPATH B Y (0.179:0.179:0.179) (0.146:0.146:0.146))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _3713_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.242:0.242:0.242) (0.157:0.157:0.157))
+    (IOPATH A2 Y (0.277:0.277:0.277) (0.146:0.146:0.146))
+    (IOPATH B1 Y (0.223:0.223:0.223) (0.117:0.117:0.117))
+    (IOPATH B2 Y (0.253:0.253:0.253) (0.120:0.120:0.120))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3714_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.282:0.282:0.282) (0.238:0.238:0.238))
+    (IOPATH B X (0.291:0.291:0.291) (0.244:0.244:0.244))
+    (IOPATH C X (0.261:0.261:0.261) (0.234:0.234:0.234))
+    (IOPATH D X (0.268:0.268:0.268) (0.250:0.250:0.250))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _3715_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.210:0.213:0.216) (0.102:0.105:0.108))
+    (IOPATH A2 Y (0.194:0.198:0.203) (0.110:0.112:0.114))
+    (IOPATH B1_N Y (0.149:0.149:0.150) (0.177:0.178:0.179))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3716_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.146:0.147:0.147) (0.193:0.193:0.193))
+    (IOPATH A2 X (0.170:0.175:0.180) (0.209:0.211:0.213))
+    (IOPATH B1 X (0.131:0.139:0.147) (0.186:0.187:0.188))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3717_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.116:0.116:0.116) (0.102:0.102:0.102))
+    (IOPATH B Y (0.125:0.127:0.130) (0.129:0.135:0.140))
+    (IOPATH C Y (0.124:0.125:0.126) (0.122:0.131:0.141))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _3718_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.143:0.143:0.143) (0.187:0.188:0.189))
+    (IOPATH A2 X (0.139:0.139:0.140) (0.208:0.208:0.209))
+    (IOPATH B1_N X (0.218:0.220:0.222) (0.225:0.227:0.229))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3719_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.164:0.169:0.175) (0.157:0.158:0.160))
+    (IOPATH B Y (0.168:0.168:0.169) (0.161:0.161:0.161))
+    (IOPATH C Y (0.162:0.163:0.163) (0.165:0.165:0.165))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3720_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.236:0.236:0.237) (0.089:0.089:0.090))
+    (IOPATH B Y (0.223:0.232:0.241) (0.099:0.101:0.102))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3721_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.138:0.139:0.141) (0.182:0.188:0.193))
+    (IOPATH A2 X (0.138:0.138:0.138) (0.192:0.192:0.192))
+    (IOPATH B1 X (0.111:0.111:0.111) (0.170:0.170:0.171))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3722_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.145:0.147:0.149) (0.190:0.190:0.190))
+    (IOPATH B Y (0.207:0.207:0.207) (0.174:0.176:0.179))
+    (IOPATH C Y (0.149:0.149:0.149) (0.149:0.150:0.150))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3723_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.221:0.222:0.223) (0.094:0.096:0.097))
+    (IOPATH A2 Y (0.226:0.226:0.227) (0.091:0.091:0.092))
+    (IOPATH B1 Y (0.205:0.210:0.215) (0.082:0.082:0.082))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211oi_2")
+  (INSTANCE _3724_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.329:0.329:0.329) (0.122:0.124:0.126))
+    (IOPATH A2 Y (0.359:0.361:0.364) (0.118:0.120:0.122))
+    (IOPATH B1 Y (0.309:0.312:0.315) (0.098:0.100:0.102))
+    (IOPATH C1 Y (0.268:0.269:0.269) (0.080:0.080:0.080))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3725_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.159:0.161:0.163) (0.202:0.205:0.209))
+    (IOPATH A2 X (0.151:0.152:0.152) (0.201:0.201:0.202))
+    (IOPATH B1 X (0.160:0.161:0.163) (0.185:0.191:0.197))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_1")
+  (INSTANCE _3726_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.193:0.193:0.193) (0.244:0.244:0.245))
+    (IOPATH A2 X (0.179:0.181:0.182) (0.218:0.221:0.225))
+    (IOPATH B1 X (0.182:0.184:0.187) (0.176:0.179:0.183))
+    (IOPATH C1 X (0.182:0.184:0.186) (0.166:0.166:0.166))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3727_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.120:0.122:0.124) (0.110:0.112:0.114))
+    (IOPATH B Y (0.119:0.120:0.121) (0.120:0.120:0.120))
+    (IOPATH C Y (0.115:0.115:0.115) (0.116:0.116:0.116))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3728_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.153:0.154:0.156) (0.197:0.199:0.201))
+    (IOPATH A2 X (0.153:0.153:0.154) (0.202:0.202:0.203))
+    (IOPATH B1 X (0.129:0.129:0.129) (0.180:0.181:0.182))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _3729_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.136:0.136:0.137) (0.388:0.389:0.390))
+    (IOPATH B X (0.127:0.131:0.136) (0.376:0.379:0.381))
+    (IOPATH C X (0.148:0.151:0.153) (0.337:0.341:0.345))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _3730_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.179:0.180:0.181) (0.101:0.101:0.102))
+    (IOPATH A2 Y (0.177:0.181:0.185) (0.104:0.106:0.109))
+    (IOPATH B1 Y (0.123:0.127:0.130) (0.091:0.095:0.100))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _3731_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.217:0.217:0.217) (0.255:0.255:0.255))
+    (IOPATH A2 X (0.241:0.241:0.241) (0.297:0.297:0.297))
+    (IOPATH B1 X (0.217:0.217:0.217) (0.255:0.255:0.255))
+    (IOPATH B2 X (0.223:0.223:0.223) (0.284:0.284:0.284))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3732_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.293:0.293:0.293) (0.243:0.243:0.243))
+    (IOPATH B X (0.274:0.274:0.274) (0.226:0.226:0.226))
+    (IOPATH C X (0.298:0.298:0.298) (0.265:0.265:0.265))
+    (IOPATH D X (0.286:0.286:0.286) (0.287:0.287:0.287))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _3733_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.257:0.257:0.257) (0.277:0.277:0.277))
+    (IOPATH A2 X (0.242:0.242:0.242) (0.275:0.275:0.275))
+    (IOPATH A3 X (0.192:0.192:0.192) (0.249:0.249:0.249))
+    (IOPATH B1 X (0.154:0.154:0.155) (0.216:0.217:0.218))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3734_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.215:0.215:0.215) (0.087:0.087:0.087))
+    (IOPATH A2 Y (0.228:0.229:0.230) (0.109:0.115:0.120))
+    (IOPATH B1 Y (0.200:0.200:0.201) (0.071:0.072:0.073))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3735_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.172:0.172:0.173) (0.173:0.173:0.173))
+    (IOPATH B X (0.195:0.201:0.206) (0.187:0.188:0.190))
+    (IOPATH C X (0.191:0.192:0.192) (0.200:0.200:0.201))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _3736_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.244:0.253:0.261) (0.127:0.129:0.130))
+    (IOPATH A2 Y (0.211:0.216:0.221) (0.125:0.127:0.129))
+    (IOPATH B1_N Y (0.158:0.158:0.158) (0.184:0.185:0.186))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_2")
+  (INSTANCE _3737_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.120:0.121:0.121) (0.117:0.119:0.121))
+    (IOPATH B Y (0.115:0.116:0.116) (0.125:0.125:0.125))
+    (IOPATH C Y (0.128:0.129:0.130) (0.133:0.143:0.153))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3738_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.145:0.147:0.149) (0.206:0.206:0.206))
+    (IOPATH A2 X (0.124:0.124:0.125) (0.181:0.182:0.182))
+    (IOPATH B1 X (0.126:0.126:0.126) (0.164:0.166:0.167))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+  (INSTANCE _3739_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.180:0.184:0.188) (0.136:0.138:0.141))
+    (IOPATH B Y (0.142:0.142:0.142) (0.111:0.111:0.111))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o22ai_1")
+  (INSTANCE _3740_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.251:0.256:0.262) (0.174:0.177:0.180))
+    (IOPATH A2 Y (0.227:0.229:0.230) (0.105:0.105:0.106))
+    (IOPATH B1 Y (0.235:0.237:0.238) (0.120:0.122:0.123))
+    (IOPATH B2 Y (0.219:0.221:0.224) (0.124:0.124:0.125))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3741_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.145:0.147:0.149) (0.191:0.192:0.192))
+    (IOPATH A2 X (0.145:0.145:0.145) (0.197:0.197:0.198))
+    (IOPATH B1 X (0.126:0.134:0.142) (0.185:0.186:0.187))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _3742_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.140:0.141:0.142) (0.395:0.403:0.410))
+    (IOPATH B X (0.119:0.120:0.120) (0.354:0.354:0.355))
+    (IOPATH C X (0.145:0.147:0.149) (0.317:0.322:0.326))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _3743_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.161:0.162:0.162) (0.088:0.089:0.089))
+    (IOPATH A2 Y (0.149:0.154:0.159) (0.100:0.101:0.103))
+    (IOPATH B1 Y (0.119:0.129:0.139) (0.101:0.102:0.104))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3744_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.151:0.151:0.151) (0.137:0.137:0.137))
+    (IOPATH B Y (0.130:0.130:0.130) (0.123:0.123:0.123))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3b_1")
+  (INSTANCE _3745_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.155:0.155:0.155) (0.409:0.410:0.411))
+    (IOPATH B X (0.139:0.141:0.144) (0.394:0.401:0.408))
+    (IOPATH C_N X (0.195:0.195:0.196) (0.388:0.388:0.388))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _3746_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.048:0.049:0.050) (0.038:0.038:0.038))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _3747_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.114:0.114:0.114) (0.172:0.172:0.172))
+    (IOPATH A2 X (0.139:0.139:0.139) (0.210:0.210:0.210))
+    (IOPATH B1_N X (0.210:0.219:0.228) (0.219:0.221:0.223))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3748_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.570:0.570:0.570) (0.382:0.382:0.382))
+    (IOPATH B X (0.579:0.579:0.579) (0.418:0.418:0.418))
+    (IOPATH C X (0.536:0.536:0.536) (0.410:0.410:0.410))
+    (IOPATH D X (0.534:0.534:0.534) (0.426:0.426:0.426))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3749_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.202:0.202:0.202) (0.193:0.193:0.193))
+    (IOPATH B X (0.203:0.203:0.203) (0.194:0.194:0.195))
+    (IOPATH C X (0.275:0.275:0.276) (0.287:0.288:0.289))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3750_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.220:0.220:0.220) (0.198:0.198:0.198))
+    (IOPATH B X (0.242:0.247:0.251) (0.213:0.215:0.218))
+    (IOPATH C X (0.246:0.246:0.247) (0.230:0.230:0.231))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3751_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.184:0.186:0.188) (0.168:0.169:0.171))
+    (IOPATH B Y (0.176:0.177:0.177) (0.169:0.169:0.169))
+    (IOPATH C Y (0.181:0.181:0.182) (0.191:0.191:0.191))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3752_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.201:0.203:0.206) (0.095:0.096:0.096))
+    (IOPATH B Y (0.212:0.212:0.213) (0.093:0.095:0.097))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or4_1")
+  (INSTANCE _3753_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.198:0.201:0.203) (0.564:0.568:0.573))
+    (IOPATH B X (0.138:0.138:0.138) (0.541:0.541:0.542))
+    (IOPATH C X (0.147:0.149:0.151) (0.526:0.528:0.529))
+    (IOPATH D X (0.160:0.160:0.161) (0.457:0.459:0.461))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _3754_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.189:0.189:0.190) (0.209:0.214:0.220))
+    (IOPATH A2 X (0.179:0.179:0.180) (0.224:0.225:0.226))
+    (IOPATH B1_N X (0.243:0.244:0.244) (0.234:0.234:0.234))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3755_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.130:0.134:0.138) (0.120:0.122:0.124))
+    (IOPATH B Y (0.121:0.122:0.122) (0.116:0.116:0.117))
+    (IOPATH C Y (0.127:0.133:0.140) (0.166:0.169:0.171))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _3756_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.167:0.167:0.167) (0.207:0.207:0.207))
+    (IOPATH A2 X (0.170:0.170:0.170) (0.231:0.231:0.232))
+    (IOPATH B1_N X (0.251:0.255:0.259) (0.250:0.251:0.253))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _3757_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.215:0.215:0.215) (0.203:0.203:0.203))
+    (IOPATH B X (0.156:0.169:0.182) (0.178:0.184:0.189))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3758_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.168:0.183:0.197) (0.197:0.201:0.206))
+    (IOPATH A2 X (0.163:0.163:0.163) (0.209:0.209:0.210))
+    (IOPATH B1 X (0.123:0.123:0.123) (0.177:0.177:0.177))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _3759_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.268:0.268:0.268) (0.286:0.286:0.286))
+    (IOPATH A2 X (0.269:0.269:0.269) (0.307:0.307:0.307))
+    (IOPATH A3 X (0.246:0.246:0.246) (0.265:0.266:0.267))
+    (IOPATH B1 X (0.177:0.178:0.178) (0.232:0.232:0.232))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3760_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.361:0.361:0.361) (0.306:0.306:0.306))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3761_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.391:0.391:0.391) (0.333:0.333:0.333))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _3762_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.204:0.204:0.204) (0.237:0.237:0.237))
+    (IOPATH A2 X (0.202:0.202:0.202) (0.259:0.259:0.259))
+    (IOPATH A3 X (0.161:0.161:0.162) (0.225:0.225:0.225))
+    (IOPATH B1 X (0.123:0.123:0.124) (0.195:0.196:0.196))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3763_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.228:0.228:0.228) (0.213:0.213:0.213))
+    (IOPATH B X (0.222:0.222:0.222) (0.227:0.227:0.227))
+    (IOPATH C X (0.180:0.181:0.181) (0.191:0.191:0.192))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3764_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.359:0.359:0.359) (0.305:0.305:0.305))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3765_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.183:0.183:0.183) (0.110:0.110:0.110))
+    (IOPATH A2 Y (0.199:0.199:0.199) (0.114:0.114:0.114))
+    (IOPATH B1 Y (0.130:0.130:0.131) (0.047:0.048:0.048))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3766_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.176:0.177:0.177) (0.073:0.073:0.074))
+    (IOPATH B Y (0.157:0.165:0.173) (0.079:0.081:0.084))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3767_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.138:0.138:0.138) (0.115:0.115:0.115))
+    (IOPATH B Y (0.153:0.153:0.153) (0.119:0.119:0.119))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _3768_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.270:0.271:0.273) (0.141:0.141:0.141))
+    (IOPATH A X (0.283:0.283:0.284) (0.193:0.194:0.196))
+    (IOPATH B X (0.265:0.267:0.269) (0.112:0.113:0.114))
+    (IOPATH B X (0.270:0.270:0.271) (0.187:0.190:0.192))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _3769_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.131:0.131:0.131) (0.249:0.249:0.249))
+    (IOPATH B_N X (0.173:0.174:0.174) (0.247:0.248:0.248))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3770_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.103:0.106:0.108) (0.092:0.106:0.119))
+    (IOPATH B Y (0.092:0.095:0.097) (0.080:0.092:0.104))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3771_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.257:0.257:0.257) (0.207:0.207:0.207))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _3772_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.270:0.270:0.270) (0.267:0.267:0.267))
+    (IOPATH B X (0.225:0.225:0.225) (0.225:0.225:0.225))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+  (INSTANCE _3773_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.229:0.229:0.229) (0.201:0.201:0.201))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _3774_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.227:0.227:0.227) (0.146:0.146:0.146))
+    (IOPATH A2 Y (0.208:0.208:0.208) (0.112:0.112:0.112))
+    (IOPATH B1 Y (0.155:0.155:0.155) (0.090:0.090:0.090))
+    (IOPATH B2 Y (0.221:0.221:0.221) (0.116:0.116:0.116))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _3775_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.245:0.245:0.245) (0.272:0.272:0.272))
+    (IOPATH A2 X (0.219:0.219:0.219) (0.254:0.254:0.254))
+    (IOPATH A3 X (0.215:0.215:0.215) (0.262:0.262:0.262))
+    (IOPATH B1 X (0.169:0.171:0.173) (0.224:0.231:0.237))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3776_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.157:0.157:0.157) (0.136:0.136:0.136))
+    (IOPATH B Y (0.153:0.153:0.153) (0.143:0.143:0.143))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _3777_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.262:0.262:0.263) (0.117:0.118:0.119))
+    (IOPATH A X (0.257:0.258:0.258) (0.195:0.196:0.196))
+    (IOPATH B X (0.258:0.259:0.260) (0.112:0.112:0.113))
+    (IOPATH B X (0.258:0.259:0.259) (0.192:0.193:0.194))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3778_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.277:0.277:0.277) (0.218:0.218:0.218))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3779_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.078:0.078:0.078) (0.064:0.064:0.064))
+    (IOPATH B Y (0.124:0.124:0.124) (0.086:0.086:0.086))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3780_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.282:0.282:0.282) (0.222:0.222:0.222))
+    (IOPATH B X (0.312:0.312:0.312) (0.269:0.269:0.269))
+    (IOPATH C X (0.246:0.249:0.252) (0.235:0.239:0.242))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3781_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.185:0.186:0.187) (0.153:0.159:0.164))
+    (IOPATH A Y (0.224:0.229:0.234) (0.139:0.140:0.142))
+    (IOPATH B Y (0.173:0.173:0.173) (0.162:0.162:0.163))
+    (IOPATH B Y (0.216:0.216:0.217) (0.113:0.114:0.114))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3782_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.144:0.144:0.144) (0.183:0.183:0.184))
+    (IOPATH A2 X (0.148:0.148:0.148) (0.202:0.211:0.220))
+    (IOPATH B1 X (0.126:0.136:0.146) (0.182:0.187:0.192))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3783_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.075:0.080:0.086) (0.078:0.090:0.101))
+    (IOPATH B Y (0.087:0.087:0.087) (0.077:0.077:0.077))
+    (IOPATH C Y (0.083:0.094:0.104) (0.080:0.080:0.080))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3784_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.089:0.089:0.089) (0.079:0.079:0.080))
+    (IOPATH B Y (0.095:0.101:0.107) (0.073:0.075:0.077))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _3785_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.242:0.249:0.256) (0.147:0.149:0.150))
+    (IOPATH A X (0.260:0.261:0.262) (0.191:0.197:0.204))
+    (IOPATH B X (0.219:0.219:0.220) (0.096:0.097:0.098))
+    (IOPATH B X (0.232:0.233:0.233) (0.167:0.168:0.169))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _3786_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.202:0.210:0.218) (0.193:0.205:0.218))
+    (IOPATH B X (0.124:0.124:0.125) (0.155:0.156:0.156))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3787_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.151:0.151:0.151) (0.055:0.055:0.055))
+    (IOPATH B Y (0.143:0.148:0.153) (0.080:0.080:0.080))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3788_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.185:0.186:0.187) (0.154:0.164:0.173))
+    (IOPATH A Y (0.232:0.240:0.248) (0.137:0.138:0.140))
+    (IOPATH B Y (0.174:0.174:0.174) (0.144:0.147:0.149))
+    (IOPATH B Y (0.206:0.209:0.211) (0.113:0.113:0.113))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3789_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.173:0.173:0.173) (0.165:0.165:0.166))
+    (IOPATH A Y (0.242:0.242:0.243) (0.120:0.120:0.121))
+    (IOPATH B Y (0.164:0.176:0.187) (0.156:0.161:0.166))
+    (IOPATH B Y (0.219:0.223:0.227) (0.101:0.115:0.129))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _3790_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.120:0.120:0.120) (0.244:0.244:0.244))
+    (IOPATH B X (0.121:0.121:0.121) (0.219:0.219:0.219))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _3791_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.146:0.151:0.156) (0.234:0.251:0.269))
+    (IOPATH B_N X (0.167:0.170:0.174) (0.260:0.261:0.262))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3792_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.197:0.213:0.229) (0.176:0.179:0.182))
+    (IOPATH B X (0.188:0.188:0.188) (0.191:0.191:0.191))
+    (IOPATH C X (0.193:0.194:0.194) (0.206:0.206:0.206))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3793_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.200:0.200:0.200) (0.085:0.085:0.085))
+    (IOPATH A2 Y (0.218:0.218:0.218) (0.089:0.089:0.089))
+    (IOPATH B1 Y (0.197:0.199:0.202) (0.073:0.085:0.098))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3794_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.183:0.184:0.184) (0.080:0.081:0.081))
+    (IOPATH B Y (0.167:0.172:0.176) (0.097:0.099:0.101))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _3795_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.152:0.152:0.153) (0.165:0.166:0.166))
+    (IOPATH A Y (0.236:0.236:0.237) (0.104:0.105:0.105))
+    (IOPATH B Y (0.168:0.168:0.168) (0.159:0.163:0.167))
+    (IOPATH B Y (0.209:0.213:0.216) (0.116:0.116:0.116))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3796_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.378:0.378:0.378) (0.312:0.312:0.312))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3797_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.416:0.416:0.416) (0.342:0.342:0.342))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3798_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.335:0.335:0.335) (0.279:0.279:0.279))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3799_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.386:0.386:0.386) (0.328:0.328:0.328))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3800_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.150:0.150:0.150) (0.141:0.141:0.141))
+    (IOPATH B Y (0.170:0.170:0.170) (0.142:0.142:0.142))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3801_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.349:0.349:0.349) (0.289:0.289:0.289))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3802_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.374:0.374:0.374) (0.262:0.262:0.262))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _3803_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.296:0.296:0.296) (0.176:0.176:0.176))
+    (IOPATH A2 Y (0.305:0.305:0.305) (0.166:0.166:0.166))
+    (IOPATH B1 Y (0.273:0.273:0.273) (0.157:0.157:0.157))
+    (IOPATH B2 Y (0.290:0.290:0.290) (0.171:0.171:0.171))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3804_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.263:0.263:0.263) (0.222:0.222:0.222))
+    (IOPATH B X (0.264:0.264:0.264) (0.245:0.245:0.245))
+    (IOPATH C X (0.268:0.268:0.268) (0.271:0.271:0.271))
+    (IOPATH D X (0.279:0.279:0.279) (0.281:0.281:0.281))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _3805_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.229:0.231:0.234) (0.113:0.114:0.115))
+    (IOPATH A2 Y (0.218:0.222:0.226) (0.132:0.133:0.134))
+    (IOPATH B1_N Y (0.153:0.153:0.154) (0.181:0.182:0.183))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3806_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.248:0.248:0.248) (0.218:0.218:0.218))
+    (IOPATH B X (0.256:0.256:0.256) (0.251:0.251:0.251))
+    (IOPATH C X (0.217:0.227:0.236) (0.223:0.225:0.226))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3807_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.400:0.400:0.400) (0.331:0.331:0.331))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3808_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.162:0.162:0.162) (0.110:0.110:0.110))
+    (IOPATH A2 Y (0.200:0.200:0.200) (0.116:0.116:0.116))
+    (IOPATH B1 Y (0.129:0.130:0.132) (0.053:0.058:0.064))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3809_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.205:0.206:0.206) (0.090:0.091:0.091))
+    (IOPATH B Y (0.183:0.190:0.198) (0.079:0.082:0.086))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31oi_2")
+  (INSTANCE _3810_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.236:0.236:0.236) (0.185:0.185:0.185))
+    (IOPATH A2 Y (0.299:0.299:0.299) (0.179:0.179:0.179))
+    (IOPATH A3 Y (0.252:0.253:0.254) (0.153:0.153:0.153))
+    (IOPATH B1 Y (0.217:0.217:0.218) (0.070:0.070:0.070))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3811_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.314:0.314:0.314) (0.264:0.264:0.264))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3812_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.151:0.151:0.151) (0.142:0.142:0.142))
+    (IOPATH B Y (0.159:0.159:0.159) (0.136:0.136:0.136))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3813_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.328:0.328:0.328) (0.276:0.276:0.276))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3814_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.288:0.288:0.288) (0.239:0.239:0.239))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3815_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.269:0.269:0.269) (0.235:0.235:0.235))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _3816_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.236:0.236:0.236) (0.138:0.138:0.138))
+    (IOPATH A2 Y (0.301:0.301:0.301) (0.161:0.161:0.161))
+    (IOPATH B1 Y (0.215:0.215:0.215) (0.126:0.126:0.126))
+    (IOPATH B2 Y (0.227:0.227:0.227) (0.100:0.100:0.100))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3817_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.263:0.263:0.263) (0.216:0.216:0.216))
+    (IOPATH B X (0.281:0.281:0.281) (0.256:0.256:0.256))
+    (IOPATH C X (0.274:0.274:0.274) (0.269:0.269:0.269))
+    (IOPATH D X (0.278:0.278:0.278) (0.289:0.289:0.289))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _3818_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.187:0.190:0.194) (0.096:0.096:0.097))
+    (IOPATH A2 Y (0.165:0.173:0.180) (0.100:0.103:0.105))
+    (IOPATH B1_N Y (0.136:0.136:0.136) (0.166:0.167:0.169))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3819_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.224:0.224:0.224) (0.204:0.204:0.204))
+    (IOPATH B X (0.233:0.233:0.233) (0.239:0.239:0.239))
+    (IOPATH C X (0.187:0.194:0.202) (0.199:0.200:0.201))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3820_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.390:0.390:0.390) (0.324:0.324:0.324))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3821_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.162:0.162:0.162) (0.110:0.110:0.110))
+    (IOPATH A2 Y (0.196:0.196:0.196) (0.114:0.114:0.114))
+    (IOPATH B1 Y (0.121:0.121:0.122) (0.046:0.052:0.058))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3822_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.176:0.177:0.177) (0.074:0.074:0.075))
+    (IOPATH B Y (0.156:0.165:0.173) (0.072:0.076:0.079))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3823_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.124:0.124:0.124) (0.109:0.109:0.109))
+    (IOPATH B Y (0.140:0.140:0.140) (0.111:0.111:0.111))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _3824_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.177:0.177:0.178) (0.104:0.104:0.104))
+    (IOPATH A X (0.189:0.190:0.190) (0.166:0.167:0.168))
+    (IOPATH B X (0.168:0.170:0.173) (0.076:0.077:0.078))
+    (IOPATH B X (0.176:0.176:0.177) (0.158:0.160:0.163))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3825_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.097:0.097:0.097) (0.086:0.086:0.086))
+    (IOPATH B Y (0.134:0.134:0.134) (0.114:0.114:0.114))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3826_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.240:0.240:0.240) (0.200:0.200:0.200))
+    (IOPATH B X (0.253:0.253:0.253) (0.232:0.232:0.232))
+    (IOPATH C X (0.258:0.258:0.258) (0.258:0.258:0.258))
+    (IOPATH D X (0.262:0.262:0.262) (0.279:0.279:0.279))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3827_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.266:0.266:0.266) (0.226:0.226:0.226))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _3828_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.199:0.199:0.199) (0.124:0.124:0.124))
+    (IOPATH A2 Y (0.239:0.239:0.239) (0.138:0.138:0.138))
+    (IOPATH B1 Y (0.188:0.188:0.188) (0.121:0.121:0.121))
+    (IOPATH B2 Y (0.207:0.207:0.207) (0.108:0.108:0.108))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3829_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.168:0.169:0.170) (0.074:0.074:0.074))
+    (IOPATH B Y (0.164:0.169:0.175) (0.087:0.089:0.090))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3830_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.210:0.212:0.214) (0.195:0.198:0.202))
+    (IOPATH A Y (0.346:0.349:0.352) (0.138:0.141:0.143))
+    (IOPATH B Y (0.234:0.234:0.234) (0.188:0.191:0.194))
+    (IOPATH B Y (0.323:0.326:0.329) (0.159:0.159:0.159))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3831_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.269:0.269:0.269) (0.214:0.214:0.214))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3832_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.333:0.333:0.333) (0.278:0.278:0.278))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _3833_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.181:0.181:0.181) (0.125:0.125:0.125))
+    (IOPATH A2 Y (0.212:0.212:0.212) (0.126:0.126:0.126))
+    (IOPATH B1 Y (0.176:0.176:0.176) (0.100:0.100:0.100))
+    (IOPATH B2 Y (0.191:0.191:0.191) (0.102:0.102:0.102))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3834_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.276:0.276:0.276) (0.208:0.208:0.208))
+    (IOPATH B X (0.300:0.300:0.300) (0.246:0.246:0.246))
+    (IOPATH C X (0.310:0.310:0.310) (0.277:0.277:0.277))
+    (IOPATH D X (0.290:0.290:0.290) (0.256:0.256:0.256))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _3835_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.199:0.201:0.202) (0.294:0.297:0.300))
+    (IOPATH B X (0.205:0.205:0.205) (0.280:0.281:0.282))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _3836_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.216:0.216:0.216) (0.207:0.207:0.207))
+    (IOPATH B X (0.229:0.229:0.229) (0.246:0.246:0.246))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _3837_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.215:0.215:0.215) (0.261:0.261:0.261))
+    (IOPATH A2 X (0.256:0.256:0.256) (0.321:0.321:0.321))
+    (IOPATH B1 X (0.242:0.242:0.242) (0.268:0.268:0.268))
+    (IOPATH B2 X (0.219:0.219:0.219) (0.261:0.261:0.261))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_2")
+  (INSTANCE _3838_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.142:0.142:0.142) (0.188:0.188:0.188))
+    (IOPATH B Y (0.188:0.188:0.188) (0.210:0.210:0.210))
+    (IOPATH C Y (0.196:0.196:0.196) (0.203:0.203:0.203))
+    (IOPATH D Y (0.172:0.172:0.172) (0.208:0.208:0.208))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _3839_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.166:0.166:0.166) (0.204:0.204:0.205))
+    (IOPATH A2 X (0.169:0.170:0.170) (0.233:0.233:0.234))
+    (IOPATH B1_N X (0.264:0.265:0.267) (0.244:0.248:0.251))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3840_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.175:0.175:0.175) (0.174:0.174:0.174))
+    (IOPATH A Y (0.251:0.251:0.251) (0.122:0.122:0.122))
+    (IOPATH B Y (0.161:0.161:0.162) (0.153:0.154:0.154))
+    (IOPATH B Y (0.217:0.217:0.218) (0.097:0.098:0.098))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3841_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.176:0.189:0.202) (0.179:0.182:0.184))
+    (IOPATH A Y (0.250:0.253:0.255) (0.126:0.145:0.163))
+    (IOPATH B Y (0.161:0.173:0.184) (0.154:0.156:0.159))
+    (IOPATH B Y (0.212:0.214:0.217) (0.098:0.112:0.126))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3842_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.140:0.147:0.154) (0.087:0.089:0.091))
+    (IOPATH B Y (0.118:0.119:0.120) (0.063:0.063:0.063))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3843_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.172:0.172:0.173) (0.174:0.179:0.183))
+    (IOPATH A Y (0.263:0.267:0.271) (0.113:0.114:0.114))
+    (IOPATH B Y (0.177:0.177:0.177) (0.149:0.153:0.158))
+    (IOPATH B Y (0.225:0.228:0.232) (0.109:0.109:0.109))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3844_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.142:0.143:0.145) (0.108:0.112:0.116))
+    (IOPATH B Y (0.115:0.116:0.116) (0.118:0.118:0.118))
+    (IOPATH C Y (0.121:0.121:0.121) (0.122:0.122:0.122))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3845_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.145:0.148:0.152) (0.214:0.215:0.217))
+    (IOPATH A2 X (0.155:0.155:0.155) (0.204:0.204:0.204))
+    (IOPATH B1 X (0.122:0.122:0.122) (0.174:0.174:0.174))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _3846_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.189:0.189:0.189) (0.194:0.194:0.194))
+    (IOPATH B X (0.197:0.197:0.197) (0.228:0.228:0.228))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _3847_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.219:0.219:0.219) (0.263:0.263:0.263))
+    (IOPATH A2 X (0.225:0.225:0.225) (0.297:0.297:0.297))
+    (IOPATH B1 X (0.230:0.230:0.230) (0.260:0.260:0.260))
+    (IOPATH B2 X (0.218:0.218:0.218) (0.267:0.267:0.267))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_1")
+  (INSTANCE _3848_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.152:0.152:0.152) (0.177:0.177:0.177))
+    (IOPATH B Y (0.188:0.188:0.188) (0.200:0.200:0.200))
+    (IOPATH C Y (0.212:0.212:0.212) (0.211:0.211:0.211))
+    (IOPATH D Y (0.199:0.199:0.199) (0.209:0.209:0.209))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _3849_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.141:0.141:0.141) (0.187:0.187:0.187))
+    (IOPATH A2 X (0.154:0.154:0.155) (0.220:0.220:0.220))
+    (IOPATH B1_N X (0.255:0.256:0.257) (0.240:0.242:0.245))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3850_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.162:0.162:0.163) (0.200:0.201:0.202))
+    (IOPATH A2 X (0.157:0.157:0.158) (0.205:0.205:0.206))
+    (IOPATH B1 X (0.131:0.131:0.131) (0.184:0.184:0.185))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3851_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.123:0.124:0.125) (0.115:0.115:0.116))
+    (IOPATH B Y (0.119:0.119:0.120) (0.114:0.114:0.114))
+    (IOPATH C Y (0.119:0.120:0.120) (0.119:0.119:0.119))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _3852_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.156:0.169:0.182) (0.201:0.203:0.205))
+    (IOPATH A2 X (0.152:0.152:0.152) (0.218:0.218:0.219))
+    (IOPATH B1_N X (0.230:0.231:0.231) (0.235:0.237:0.239))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _3853_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.181:0.189:0.197) (0.084:0.096:0.109))
+    (IOPATH A X (0.173:0.183:0.193) (0.171:0.179:0.186))
+    (IOPATH B X (0.159:0.159:0.160) (0.076:0.077:0.077))
+    (IOPATH B X (0.175:0.175:0.175) (0.149:0.149:0.150))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3854_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.137:0.141:0.145) (0.079:0.081:0.082))
+    (IOPATH B Y (0.122:0.127:0.132) (0.072:0.073:0.074))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _3855_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.135:0.136:0.138) (0.127:0.132:0.136))
+    (IOPATH B X (0.135:0.136:0.138) (0.144:0.150:0.156))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _3856_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.169:0.169:0.169) (0.264:0.265:0.266))
+    (IOPATH B X (0.138:0.138:0.138) (0.240:0.240:0.240))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3857_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.107:0.107:0.108) (0.096:0.098:0.100))
+    (IOPATH B Y (0.110:0.112:0.114) (0.107:0.120:0.134))
+    (IOPATH C Y (0.102:0.102:0.102) (0.103:0.103:0.103))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3858_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.143:0.145:0.147) (0.191:0.191:0.191))
+    (IOPATH A2 X (0.144:0.145:0.145) (0.195:0.196:0.196))
+    (IOPATH B1 X (0.120:0.130:0.140) (0.178:0.180:0.181))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3859_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.154:0.158:0.162) (0.099:0.100:0.101))
+    (IOPATH B Y (0.119:0.119:0.120) (0.060:0.060:0.060))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3860_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.177:0.178:0.179) (0.181:0.184:0.186))
+    (IOPATH A Y (0.275:0.278:0.280) (0.117:0.118:0.119))
+    (IOPATH B Y (0.182:0.183:0.183) (0.152:0.158:0.165))
+    (IOPATH B Y (0.234:0.240:0.246) (0.114:0.114:0.114))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3861_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.133:0.134:0.135) (0.105:0.108:0.111))
+    (IOPATH B Y (0.097:0.097:0.097) (0.096:0.096:0.096))
+    (IOPATH C Y (0.107:0.107:0.107) (0.107:0.108:0.108))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _3862_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.221:0.221:0.221) (0.258:0.258:0.258))
+    (IOPATH A2 X (0.241:0.241:0.241) (0.297:0.297:0.297))
+    (IOPATH B1 X (0.187:0.190:0.193) (0.254:0.255:0.256))
+    (IOPATH B2 X (0.183:0.184:0.184) (0.237:0.237:0.237))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3863_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.146:0.146:0.146) (0.135:0.135:0.135))
+    (IOPATH B Y (0.154:0.154:0.154) (0.133:0.133:0.133))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _3864_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.230:0.230:0.230) (0.127:0.127:0.127))
+    (IOPATH A2 Y (0.253:0.253:0.253) (0.123:0.123:0.123))
+    (IOPATH B1 Y (0.208:0.208:0.208) (0.108:0.108:0.108))
+    (IOPATH B2 Y (0.226:0.226:0.226) (0.105:0.105:0.105))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3865_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.287:0.287:0.287) (0.226:0.226:0.226))
+    (IOPATH B X (0.294:0.294:0.294) (0.251:0.251:0.251))
+    (IOPATH C X (0.289:0.289:0.289) (0.266:0.266:0.266))
+    (IOPATH D X (0.291:0.291:0.291) (0.277:0.277:0.277))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _3866_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.195:0.197:0.200) (0.098:0.098:0.099))
+    (IOPATH A2 Y (0.173:0.178:0.182) (0.100:0.102:0.104))
+    (IOPATH B1_N Y (0.151:0.151:0.151) (0.177:0.178:0.180))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3867_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.160:0.160:0.161) (0.195:0.198:0.200))
+    (IOPATH A2 X (0.168:0.169:0.169) (0.214:0.214:0.214))
+    (IOPATH B1 X (0.135:0.143:0.151) (0.188:0.189:0.190))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3868_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.126:0.129:0.132) (0.122:0.123:0.123))
+    (IOPATH B Y (0.139:0.139:0.139) (0.134:0.135:0.135))
+    (IOPATH C Y (0.133:0.134:0.135) (0.133:0.142:0.150))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _3869_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.146:0.159:0.172) (0.193:0.194:0.196))
+    (IOPATH A2 X (0.140:0.140:0.140) (0.208:0.208:0.209))
+    (IOPATH B1_N X (0.225:0.225:0.226) (0.229:0.230:0.232))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3870_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.123:0.127:0.131) (0.116:0.118:0.119))
+    (IOPATH B Y (0.126:0.126:0.127) (0.121:0.122:0.122))
+    (IOPATH C Y (0.122:0.123:0.123) (0.123:0.124:0.124))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3871_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.126:0.126:0.126) (0.113:0.113:0.113))
+    (IOPATH B Y (0.146:0.146:0.146) (0.116:0.116:0.116))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3872_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.175:0.175:0.175) (0.146:0.147:0.148))
+    (IOPATH A Y (0.213:0.214:0.215) (0.128:0.128:0.128))
+    (IOPATH B Y (0.147:0.148:0.149) (0.153:0.156:0.159))
+    (IOPATH B Y (0.204:0.206:0.208) (0.086:0.087:0.088))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3873_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.137:0.138:0.140) (0.181:0.185:0.189))
+    (IOPATH A2 X (0.138:0.138:0.138) (0.192:0.192:0.192))
+    (IOPATH B1 X (0.109:0.109:0.109) (0.169:0.169:0.169))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3874_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.148:0.149:0.149) (0.136:0.138:0.140))
+    (IOPATH B Y (0.142:0.147:0.152) (0.137:0.151:0.165))
+    (IOPATH C Y (0.132:0.133:0.133) (0.133:0.133:0.134))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _3875_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.194:0.197:0.199) (0.198:0.198:0.198))
+    (IOPATH B X (0.199:0.201:0.202) (0.223:0.225:0.227))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _3876_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.218:0.218:0.218) (0.099:0.099:0.099))
+    (IOPATH A X (0.206:0.206:0.206) (0.187:0.187:0.188))
+    (IOPATH B X (0.194:0.194:0.195) (0.103:0.103:0.103))
+    (IOPATH B X (0.214:0.214:0.214) (0.164:0.164:0.165))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3877_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.172:0.177:0.181) (0.147:0.167:0.186))
+    (IOPATH A Y (0.220:0.237:0.254) (0.122:0.128:0.134))
+    (IOPATH B Y (0.174:0.176:0.177) (0.145:0.149:0.154))
+    (IOPATH B Y (0.202:0.206:0.210) (0.115:0.116:0.118))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3878_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.147:0.148:0.149) (0.136:0.138:0.140))
+    (IOPATH B Y (0.147:0.150:0.152) (0.145:0.162:0.179))
+    (IOPATH C Y (0.135:0.135:0.136) (0.139:0.139:0.139))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3879_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.160:0.161:0.163) (0.206:0.207:0.208))
+    (IOPATH A2 X (0.158:0.158:0.158) (0.205:0.205:0.206))
+    (IOPATH B1 X (0.134:0.144:0.154) (0.189:0.191:0.192))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _3880_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.215:0.215:0.215) (0.270:0.270:0.270))
+    (IOPATH A2 X (0.216:0.216:0.216) (0.302:0.302:0.302))
+    (IOPATH B1 X (0.211:0.211:0.211) (0.244:0.244:0.244))
+    (IOPATH B2 X (0.190:0.190:0.190) (0.256:0.256:0.256))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_1")
+  (INSTANCE _3881_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.133:0.133:0.133) (0.141:0.141:0.141))
+    (IOPATH B Y (0.160:0.160:0.160) (0.154:0.154:0.154))
+    (IOPATH C Y (0.176:0.176:0.176) (0.155:0.155:0.155))
+    (IOPATH D Y (0.162:0.162:0.162) (0.164:0.164:0.164))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _3882_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.192:0.192:0.192) (0.200:0.200:0.200))
+    (IOPATH B X (0.181:0.181:0.181) (0.210:0.210:0.210))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3883_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.143:0.143:0.143) (0.070:0.071:0.072))
+    (IOPATH A2 Y (0.184:0.185:0.186) (0.078:0.081:0.084))
+    (IOPATH B1 Y (0.132:0.132:0.132) (0.048:0.048:0.048))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3884_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.202:0.203:0.204) (0.176:0.176:0.176))
+    (IOPATH B X (0.212:0.215:0.218) (0.222:0.223:0.225))
+    (IOPATH C X (0.211:0.212:0.212) (0.207:0.207:0.207))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3885_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.180:0.183:0.187) (0.087:0.090:0.092))
+    (IOPATH B Y (0.176:0.177:0.177) (0.083:0.083:0.083))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _3886_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.150:0.150:0.150) (0.396:0.397:0.398))
+    (IOPATH B X (0.134:0.134:0.135) (0.379:0.381:0.384))
+    (IOPATH C X (0.149:0.151:0.153) (0.335:0.339:0.343))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _3887_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.190:0.191:0.192) (0.113:0.113:0.113))
+    (IOPATH A2 Y (0.178:0.182:0.186) (0.104:0.107:0.109))
+    (IOPATH B1 Y (0.128:0.131:0.134) (0.097:0.098:0.098))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3888_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.148:0.148:0.148) (0.135:0.135:0.135))
+    (IOPATH B Y (0.154:0.154:0.154) (0.133:0.133:0.133))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _3889_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.234:0.234:0.234) (0.133:0.133:0.133))
+    (IOPATH A2 Y (0.263:0.263:0.263) (0.154:0.154:0.154))
+    (IOPATH B1 Y (0.193:0.193:0.193) (0.112:0.112:0.112))
+    (IOPATH B2 Y (0.237:0.237:0.237) (0.113:0.113:0.113))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3890_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.278:0.278:0.278) (0.224:0.224:0.224))
+    (IOPATH B X (0.273:0.273:0.273) (0.236:0.236:0.236))
+    (IOPATH C X (0.291:0.291:0.291) (0.278:0.278:0.278))
+    (IOPATH D X (0.277:0.277:0.277) (0.269:0.269:0.269))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _3891_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.259:0.261:0.264) (0.125:0.125:0.126))
+    (IOPATH A2 Y (0.242:0.245:0.249) (0.128:0.131:0.133))
+    (IOPATH B1_N Y (0.180:0.180:0.180) (0.200:0.202:0.203))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3892_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.154:0.154:0.154) (0.198:0.198:0.198))
+    (IOPATH A2 X (0.175:0.180:0.185) (0.213:0.215:0.217))
+    (IOPATH B1 X (0.146:0.155:0.163) (0.200:0.201:0.203))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3893_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.126:0.127:0.127) (0.113:0.113:0.113))
+    (IOPATH B Y (0.135:0.137:0.139) (0.138:0.144:0.150))
+    (IOPATH C Y (0.143:0.145:0.147) (0.141:0.153:0.166))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _3894_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.178:0.178:0.179) (0.198:0.199:0.200))
+    (IOPATH A2 X (0.158:0.159:0.159) (0.222:0.223:0.223))
+    (IOPATH B1_N X (0.241:0.244:0.246) (0.242:0.244:0.246))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3895_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.207:0.209:0.211) (0.200:0.204:0.207))
+    (IOPATH B X (0.201:0.201:0.201) (0.192:0.192:0.193))
+    (IOPATH C X (0.206:0.206:0.206) (0.209:0.209:0.210))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3896_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.123:0.126:0.129) (0.110:0.112:0.113))
+    (IOPATH B Y (0.108:0.109:0.109) (0.106:0.106:0.106))
+    (IOPATH C Y (0.109:0.109:0.109) (0.109:0.109:0.110))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3897_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.274:0.274:0.274) (0.210:0.210:0.210))
+    (IOPATH B X (0.284:0.284:0.284) (0.241:0.241:0.241))
+    (IOPATH C X (0.267:0.267:0.267) (0.266:0.266:0.266))
+    (IOPATH D X (0.266:0.266:0.266) (0.278:0.278:0.278))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_1")
+  (INSTANCE _3898_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.239:0.239:0.240) (0.278:0.279:0.280))
+    (IOPATH A2 X (0.225:0.225:0.225) (0.265:0.266:0.267))
+    (IOPATH B1 X (0.281:0.281:0.281) (0.219:0.219:0.219))
+    (IOPATH C1 X (0.274:0.274:0.274) (0.215:0.215:0.215))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211oi_1")
+  (INSTANCE _3899_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.249:0.249:0.249) (0.127:0.127:0.127))
+    (IOPATH A2 Y (0.290:0.290:0.290) (0.126:0.126:0.126))
+    (IOPATH B1 Y (0.224:0.225:0.226) (0.061:0.061:0.061))
+    (IOPATH C1 Y (0.189:0.190:0.190) (0.062:0.062:0.062))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3900_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.168:0.169:0.170) (0.086:0.086:0.086))
+    (IOPATH B Y (0.137:0.147:0.156) (0.086:0.088:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3901_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.149:0.151:0.152) (0.199:0.202:0.205))
+    (IOPATH A2 X (0.141:0.142:0.142) (0.195:0.195:0.195))
+    (IOPATH B1 X (0.114:0.114:0.115) (0.172:0.173:0.173))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3902_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.259:0.261:0.262) (0.215:0.217:0.218))
+    (IOPATH B X (0.281:0.281:0.281) (0.224:0.226:0.229))
+    (IOPATH C X (0.263:0.263:0.264) (0.235:0.236:0.236))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3903_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.154:0.156:0.158) (0.201:0.201:0.201))
+    (IOPATH A2 X (0.145:0.146:0.146) (0.197:0.197:0.198))
+    (IOPATH B1 X (0.122:0.132:0.141) (0.178:0.182:0.186))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211ai_2")
+  (INSTANCE _3904_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.225:0.226:0.226) (0.132:0.132:0.132))
+    (IOPATH A2 Y (0.216:0.216:0.217) (0.132:0.132:0.132))
+    (IOPATH B1 Y (0.132:0.134:0.136) (0.138:0.140:0.142))
+    (IOPATH C1 Y (0.091:0.091:0.092) (0.113:0.113:0.114))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211o_1")
+  (INSTANCE _3905_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.186:0.187:0.189) (0.323:0.325:0.327))
+    (IOPATH A2 X (0.173:0.173:0.173) (0.333:0.333:0.333))
+    (IOPATH B1 X (0.159:0.159:0.159) (0.311:0.312:0.312))
+    (IOPATH C1 X (0.173:0.173:0.173) (0.282:0.283:0.283))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3906_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.119:0.121:0.122) (0.127:0.127:0.128))
+    (IOPATH B Y (0.139:0.141:0.143) (0.120:0.134:0.149))
+    (IOPATH C Y (0.129:0.130:0.131) (0.124:0.124:0.124))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _3907_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.170:0.184:0.198) (0.181:0.183:0.185))
+    (IOPATH B X (0.173:0.175:0.177) (0.202:0.205:0.208))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3908_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.186:0.197:0.208) (0.172:0.176:0.181))
+    (IOPATH A Y (0.281:0.285:0.290) (0.123:0.138:0.153))
+    (IOPATH B Y (0.186:0.186:0.186) (0.166:0.166:0.167))
+    (IOPATH B Y (0.260:0.261:0.261) (0.114:0.114:0.114))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3909_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.135:0.148:0.160) (0.184:0.186:0.188))
+    (IOPATH A2 X (0.141:0.142:0.142) (0.199:0.200:0.201))
+    (IOPATH B1 X (0.120:0.120:0.120) (0.178:0.179:0.181))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3910_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.121:0.124:0.126) (0.110:0.111:0.113))
+    (IOPATH B Y (0.112:0.113:0.114) (0.135:0.135:0.135))
+    (IOPATH C Y (0.111:0.112:0.112) (0.113:0.114:0.114))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3911_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.143:0.145:0.147) (0.193:0.195:0.198))
+    (IOPATH A2 X (0.142:0.143:0.143) (0.194:0.194:0.195))
+    (IOPATH B1 X (0.130:0.130:0.130) (0.169:0.170:0.170))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3912_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.127:0.127:0.127) (0.129:0.129:0.129))
+    (IOPATH B Y (0.143:0.143:0.143) (0.121:0.121:0.121))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3913_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.179:0.179:0.179) (0.187:0.187:0.187))
+    (IOPATH B Y (0.190:0.190:0.190) (0.169:0.169:0.169))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _3914_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.198:0.198:0.198) (0.238:0.238:0.238))
+    (IOPATH A2 X (0.185:0.185:0.185) (0.267:0.267:0.267))
+    (IOPATH B1 X (0.174:0.174:0.174) (0.225:0.225:0.225))
+    (IOPATH B2 X (0.180:0.180:0.180) (0.233:0.233:0.233))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _3915_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.179:0.180:0.181) (0.229:0.234:0.238))
+    (IOPATH A2 X (0.180:0.180:0.181) (0.231:0.236:0.240))
+    (IOPATH B1 X (0.162:0.162:0.163) (0.129:0.130:0.130))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _3916_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.154:0.154:0.154) (0.403:0.404:0.405))
+    (IOPATH B X (0.142:0.143:0.143) (0.389:0.392:0.394))
+    (IOPATH C X (0.157:0.159:0.162) (0.349:0.352:0.355))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _3917_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.181:0.182:0.183) (0.106:0.106:0.106))
+    (IOPATH A2 Y (0.176:0.179:0.182) (0.101:0.103:0.106))
+    (IOPATH B1 Y (0.124:0.127:0.130) (0.095:0.095:0.095))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3918_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.154:0.154:0.154) (0.135:0.135:0.135))
+    (IOPATH B Y (0.138:0.138:0.138) (0.135:0.135:0.135))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _3919_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.221:0.221:0.221) (0.115:0.115:0.115))
+    (IOPATH A2 Y (0.265:0.265:0.265) (0.156:0.156:0.156))
+    (IOPATH B1 Y (0.230:0.230:0.230) (0.117:0.117:0.117))
+    (IOPATH B2 Y (0.242:0.242:0.242) (0.115:0.115:0.115))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3920_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.269:0.269:0.269) (0.225:0.225:0.225))
+    (IOPATH B X (0.287:0.287:0.287) (0.242:0.242:0.242))
+    (IOPATH C X (0.275:0.275:0.275) (0.247:0.247:0.247))
+    (IOPATH D X (0.286:0.286:0.286) (0.284:0.284:0.284))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _3921_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.216:0.219:0.221) (0.105:0.106:0.107))
+    (IOPATH A2 Y (0.195:0.201:0.208) (0.110:0.113:0.115))
+    (IOPATH B1_N Y (0.150:0.150:0.150) (0.177:0.178:0.179))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3922_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.159:0.159:0.159) (0.202:0.202:0.203))
+    (IOPATH A2 X (0.176:0.180:0.185) (0.213:0.215:0.216))
+    (IOPATH B1 X (0.141:0.149:0.156) (0.193:0.194:0.195))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3923_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.137:0.138:0.138) (0.125:0.125:0.125))
+    (IOPATH B Y (0.142:0.143:0.145) (0.145:0.150:0.156))
+    (IOPATH C Y (0.141:0.143:0.144) (0.141:0.151:0.161))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _3924_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.164:0.164:0.164) (0.203:0.204:0.205))
+    (IOPATH A2 X (0.163:0.163:0.163) (0.226:0.226:0.227))
+    (IOPATH B1_N X (0.249:0.251:0.252) (0.248:0.250:0.251))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3925_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.162:0.165:0.167) (0.153:0.154:0.156))
+    (IOPATH B Y (0.161:0.161:0.161) (0.153:0.153:0.153))
+    (IOPATH C Y (0.161:0.162:0.162) (0.164:0.164:0.164))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3926_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.231:0.235:0.240) (0.086:0.087:0.088))
+    (IOPATH B Y (0.233:0.237:0.241) (0.102:0.103:0.103))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3927_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.142:0.144:0.146) (0.188:0.191:0.193))
+    (IOPATH A2 X (0.139:0.139:0.139) (0.192:0.193:0.193))
+    (IOPATH B1 X (0.119:0.119:0.119) (0.176:0.176:0.176))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3928_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.143:0.145:0.147) (0.188:0.188:0.188))
+    (IOPATH B Y (0.200:0.200:0.200) (0.169:0.171:0.173))
+    (IOPATH C Y (0.147:0.147:0.147) (0.147:0.147:0.148))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3929_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.219:0.220:0.221) (0.096:0.097:0.098))
+    (IOPATH A2 Y (0.222:0.223:0.223) (0.093:0.093:0.094))
+    (IOPATH B1 Y (0.200:0.202:0.204) (0.085:0.085:0.085))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211oi_2")
+  (INSTANCE _3930_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.373:0.373:0.373) (0.132:0.135:0.137))
+    (IOPATH A2 Y (0.405:0.408:0.410) (0.130:0.132:0.134))
+    (IOPATH B1 Y (0.358:0.360:0.362) (0.108:0.110:0.112))
+    (IOPATH C1 Y (0.318:0.319:0.319) (0.095:0.095:0.095))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3931_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.163:0.165:0.167) (0.204:0.207:0.209))
+    (IOPATH A2 X (0.155:0.155:0.155) (0.203:0.204:0.204))
+    (IOPATH B1 X (0.169:0.170:0.171) (0.192:0.198:0.204))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_1")
+  (INSTANCE _3932_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.233:0.233:0.233) (0.275:0.276:0.276))
+    (IOPATH A2 X (0.212:0.214:0.216) (0.248:0.250:0.252))
+    (IOPATH B1 X (0.217:0.219:0.221) (0.200:0.204:0.208))
+    (IOPATH C1 X (0.215:0.217:0.219) (0.187:0.187:0.188))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3933_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.145:0.146:0.148) (0.132:0.134:0.136))
+    (IOPATH B Y (0.134:0.135:0.136) (0.135:0.135:0.135))
+    (IOPATH C Y (0.130:0.131:0.131) (0.134:0.134:0.134))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3934_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.173:0.174:0.175) (0.216:0.217:0.218))
+    (IOPATH A2 X (0.169:0.170:0.170) (0.213:0.213:0.214))
+    (IOPATH B1 X (0.142:0.142:0.142) (0.190:0.190:0.191))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _3935_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.142:0.142:0.142) (0.396:0.397:0.397))
+    (IOPATH B X (0.136:0.138:0.139) (0.386:0.389:0.391))
+    (IOPATH C X (0.154:0.157:0.159) (0.343:0.348:0.354))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _3936_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.168:0.169:0.170) (0.095:0.096:0.096))
+    (IOPATH A2 Y (0.164:0.170:0.177) (0.098:0.100:0.102))
+    (IOPATH B1 Y (0.119:0.122:0.125) (0.089:0.090:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _3937_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.240:0.240:0.240) (0.290:0.290:0.290))
+    (IOPATH A2 X (0.238:0.238:0.238) (0.319:0.319:0.319))
+    (IOPATH B1 X (0.216:0.216:0.216) (0.255:0.255:0.255))
+    (IOPATH B2 X (0.224:0.224:0.224) (0.264:0.264:0.264))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3938_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.300:0.300:0.300) (0.225:0.225:0.225))
+    (IOPATH B X (0.301:0.301:0.301) (0.276:0.276:0.276))
+    (IOPATH C X (0.299:0.299:0.299) (0.296:0.296:0.296))
+    (IOPATH D X (0.288:0.288:0.288) (0.290:0.290:0.290))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _3939_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.209:0.209:0.209) (0.240:0.240:0.240))
+    (IOPATH A2 X (0.219:0.219:0.219) (0.257:0.257:0.257))
+    (IOPATH A3 X (0.171:0.172:0.172) (0.234:0.234:0.234))
+    (IOPATH B1 X (0.135:0.135:0.135) (0.201:0.202:0.203))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3940_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.218:0.218:0.218) (0.090:0.091:0.091))
+    (IOPATH A2 Y (0.226:0.227:0.228) (0.107:0.112:0.117))
+    (IOPATH B1 Y (0.196:0.196:0.197) (0.063:0.064:0.065))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3941_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.190:0.190:0.190) (0.185:0.185:0.185))
+    (IOPATH B X (0.208:0.212:0.217) (0.194:0.196:0.197))
+    (IOPATH C X (0.200:0.200:0.201) (0.204:0.205:0.205))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _3942_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.240:0.244:0.248) (0.124:0.125:0.125))
+    (IOPATH A2 Y (0.196:0.202:0.208) (0.120:0.122:0.123))
+    (IOPATH B1_N Y (0.157:0.157:0.157) (0.183:0.183:0.184))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_2")
+  (INSTANCE _3943_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.152:0.153:0.154) (0.150:0.152:0.154))
+    (IOPATH B Y (0.142:0.142:0.143) (0.157:0.157:0.158))
+    (IOPATH C Y (0.147:0.148:0.149) (0.159:0.168:0.178))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3944_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.144:0.146:0.147) (0.202:0.202:0.202))
+    (IOPATH A2 X (0.125:0.125:0.126) (0.182:0.182:0.183))
+    (IOPATH B1 X (0.127:0.127:0.127) (0.164:0.166:0.168))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+  (INSTANCE _3945_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.194:0.198:0.202) (0.148:0.150:0.153))
+    (IOPATH B Y (0.157:0.157:0.157) (0.123:0.123:0.123))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o22ai_1")
+  (INSTANCE _3946_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.248:0.253:0.259) (0.183:0.185:0.187))
+    (IOPATH A2 Y (0.229:0.231:0.232) (0.115:0.115:0.115))
+    (IOPATH B1 Y (0.241:0.242:0.243) (0.128:0.129:0.131))
+    (IOPATH B2 Y (0.218:0.220:0.222) (0.126:0.127:0.127))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3947_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.168:0.170:0.171) (0.211:0.212:0.213))
+    (IOPATH A2 X (0.167:0.167:0.167) (0.212:0.213:0.213))
+    (IOPATH B1 X (0.140:0.148:0.156) (0.195:0.196:0.197))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _3948_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.147:0.147:0.148) (0.408:0.412:0.415))
+    (IOPATH B X (0.130:0.130:0.130) (0.362:0.362:0.363))
+    (IOPATH C X (0.150:0.152:0.154) (0.322:0.326:0.331))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _3949_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.173:0.174:0.175) (0.098:0.098:0.098))
+    (IOPATH A2 Y (0.157:0.163:0.168) (0.105:0.107:0.108))
+    (IOPATH B1 Y (0.136:0.140:0.145) (0.108:0.109:0.109))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3950_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.129:0.129:0.129) (0.114:0.114:0.114))
+    (IOPATH B Y (0.126:0.126:0.126) (0.120:0.120:0.120))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3b_1")
+  (INSTANCE _3951_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.143:0.143:0.143) (0.394:0.395:0.396))
+    (IOPATH B X (0.126:0.126:0.127) (0.378:0.379:0.381))
+    (IOPATH C_N X (0.182:0.182:0.183) (0.372:0.372:0.372))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _3952_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.048:0.049:0.050) (0.038:0.038:0.038))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _3953_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.113:0.113:0.113) (0.172:0.172:0.172))
+    (IOPATH A2 X (0.137:0.137:0.138) (0.209:0.209:0.209))
+    (IOPATH B1_N X (0.208:0.210:0.211) (0.218:0.218:0.219))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _3954_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.550:0.550:0.550) (0.372:0.372:0.372))
+    (IOPATH B X (0.538:0.538:0.538) (0.364:0.364:0.364))
+    (IOPATH C X (0.557:0.557:0.557) (0.427:0.427:0.427))
+    (IOPATH D X (0.556:0.556:0.556) (0.445:0.445:0.445))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3955_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.193:0.193:0.193) (0.186:0.186:0.186))
+    (IOPATH B X (0.200:0.200:0.200) (0.192:0.193:0.193))
+    (IOPATH C X (0.275:0.275:0.275) (0.290:0.291:0.292))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3956_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.254:0.254:0.254) (0.218:0.218:0.218))
+    (IOPATH B X (0.277:0.282:0.287) (0.234:0.236:0.239))
+    (IOPATH C X (0.277:0.278:0.278) (0.247:0.248:0.249))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3957_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.192:0.193:0.194) (0.168:0.170:0.171))
+    (IOPATH B Y (0.172:0.172:0.173) (0.166:0.166:0.166))
+    (IOPATH C Y (0.182:0.183:0.184) (0.191:0.191:0.191))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3958_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.215:0.217:0.219) (0.103:0.103:0.104))
+    (IOPATH B Y (0.215:0.216:0.217) (0.094:0.096:0.097))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or4_1")
+  (INSTANCE _3959_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.204:0.206:0.207) (0.561:0.565:0.570))
+    (IOPATH B X (0.148:0.149:0.149) (0.540:0.541:0.542))
+    (IOPATH C X (0.153:0.155:0.156) (0.532:0.533:0.534))
+    (IOPATH D X (0.160:0.161:0.161) (0.456:0.458:0.460))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _3960_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.185:0.185:0.186) (0.206:0.213:0.220))
+    (IOPATH A2 X (0.179:0.179:0.180) (0.223:0.224:0.225))
+    (IOPATH B1_N X (0.239:0.239:0.239) (0.230:0.230:0.231))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _3961_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.135:0.137:0.140) (0.124:0.126:0.128))
+    (IOPATH B Y (0.126:0.126:0.126) (0.120:0.121:0.121))
+    (IOPATH C Y (0.136:0.143:0.149) (0.181:0.183:0.185))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _3962_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.172:0.172:0.172) (0.209:0.209:0.210))
+    (IOPATH A2 X (0.172:0.172:0.172) (0.232:0.232:0.233))
+    (IOPATH B1_N X (0.257:0.262:0.267) (0.253:0.254:0.256))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _3963_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.208:0.208:0.208) (0.196:0.196:0.196))
+    (IOPATH B X (0.148:0.160:0.172) (0.171:0.176:0.181))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _3964_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.171:0.185:0.200) (0.198:0.202:0.206))
+    (IOPATH A2 X (0.168:0.168:0.168) (0.212:0.213:0.213))
+    (IOPATH B1 X (0.125:0.125:0.125) (0.178:0.178:0.179))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _3965_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.264:0.264:0.264) (0.259:0.259:0.259))
+    (IOPATH A2 X (0.267:0.267:0.267) (0.310:0.310:0.310))
+    (IOPATH A3 X (0.237:0.237:0.237) (0.259:0.260:0.261))
+    (IOPATH B1 X (0.172:0.172:0.172) (0.228:0.229:0.229))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _3966_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.333:0.333:0.333) (0.282:0.282:0.282))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _3967_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.249:0.249:0.249) (0.264:0.264:0.264))
+    (IOPATH A2 X (0.261:0.261:0.261) (0.283:0.283:0.283))
+    (IOPATH A3 X (0.231:0.231:0.231) (0.257:0.260:0.263))
+    (IOPATH B1 X (0.169:0.169:0.169) (0.225:0.226:0.227))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3968_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.182:0.182:0.182) (0.111:0.111:0.111))
+    (IOPATH A2 Y (0.186:0.186:0.186) (0.120:0.120:0.120))
+    (IOPATH B1 Y (0.136:0.137:0.137) (0.060:0.061:0.061))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3969_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.222:0.222:0.222) (0.208:0.208:0.208))
+    (IOPATH B X (0.232:0.232:0.232) (0.217:0.217:0.217))
+    (IOPATH C X (0.191:0.191:0.192) (0.201:0.202:0.202))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3970_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.174:0.180:0.186) (0.082:0.085:0.087))
+    (IOPATH B Y (0.158:0.158:0.159) (0.066:0.066:0.067))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3971_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.122:0.122:0.122) (0.107:0.107:0.107))
+    (IOPATH B Y (0.134:0.134:0.134) (0.107:0.107:0.107))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _3972_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.223:0.225:0.228) (0.122:0.122:0.122))
+    (IOPATH A X (0.238:0.238:0.238) (0.178:0.180:0.183))
+    (IOPATH B X (0.215:0.218:0.221) (0.092:0.093:0.094))
+    (IOPATH B X (0.223:0.223:0.224) (0.170:0.173:0.176))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3973_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.278:0.278:0.278) (0.240:0.240:0.240))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _3974_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.185:0.185:0.185) (0.117:0.117:0.117))
+    (IOPATH A2 Y (0.219:0.219:0.219) (0.144:0.144:0.144))
+    (IOPATH B1 Y (0.181:0.181:0.181) (0.116:0.116:0.116))
+    (IOPATH B2 Y (0.173:0.173:0.173) (0.096:0.096:0.096))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _3975_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.235:0.235:0.235) (0.226:0.226:0.226))
+    (IOPATH B X (0.238:0.238:0.238) (0.256:0.256:0.256))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3976_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.204:0.204:0.204) (0.174:0.174:0.174))
+    (IOPATH B X (0.234:0.234:0.234) (0.249:0.249:0.249))
+    (IOPATH C X (0.191:0.192:0.192) (0.199:0.199:0.199))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3977_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.179:0.186:0.193) (0.091:0.092:0.094))
+    (IOPATH B Y (0.155:0.155:0.156) (0.065:0.066:0.066))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3978_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.292:0.292:0.292) (0.225:0.225:0.225))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3979_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.099:0.099:0.099) (0.100:0.100:0.100))
+    (IOPATH B Y (0.119:0.119:0.119) (0.114:0.114:0.114))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3980_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.179:0.179:0.179) (0.149:0.153:0.156))
+    (IOPATH A Y (0.229:0.232:0.236) (0.127:0.127:0.127))
+    (IOPATH B Y (0.153:0.154:0.156) (0.160:0.160:0.160))
+    (IOPATH B Y (0.223:0.223:0.223) (0.090:0.091:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _3981_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.285:0.285:0.285) (0.224:0.224:0.224))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _3982_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.068:0.068:0.068) (0.065:0.065:0.065))
+    (IOPATH B Y (0.119:0.119:0.119) (0.084:0.084:0.084))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3983_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.253:0.253:0.253) (0.206:0.206:0.206))
+    (IOPATH B X (0.280:0.280:0.280) (0.249:0.249:0.249))
+    (IOPATH C X (0.216:0.219:0.223) (0.220:0.222:0.224))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3984_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.202:0.213:0.224) (0.185:0.188:0.192))
+    (IOPATH A Y (0.311:0.314:0.317) (0.137:0.153:0.169))
+    (IOPATH B Y (0.209:0.209:0.210) (0.185:0.185:0.186))
+    (IOPATH B Y (0.295:0.295:0.296) (0.136:0.137:0.137))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _3985_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.115:0.115:0.115) (0.230:0.230:0.231))
+    (IOPATH B_N X (0.149:0.150:0.150) (0.225:0.225:0.225))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _3986_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.177:0.191:0.205) (0.224:0.226:0.228))
+    (IOPATH A2 X (0.160:0.173:0.185) (0.227:0.229:0.232))
+    (IOPATH B1_N X (0.211:0.211:0.211) (0.218:0.219:0.219))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _3987_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.255:0.259:0.263) (0.120:0.138:0.156))
+    (IOPATH A X (0.244:0.255:0.265) (0.203:0.207:0.211))
+    (IOPATH B X (0.220:0.220:0.221) (0.100:0.100:0.101))
+    (IOPATH B X (0.235:0.235:0.235) (0.168:0.168:0.168))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _3988_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.206:0.211:0.217) (0.120:0.122:0.123))
+    (IOPATH A X (0.220:0.221:0.222) (0.177:0.182:0.187))
+    (IOPATH B X (0.188:0.197:0.206) (0.123:0.125:0.126))
+    (IOPATH B X (0.223:0.224:0.224) (0.159:0.168:0.178))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _3989_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.200:0.209:0.218) (0.191:0.202:0.213))
+    (IOPATH B X (0.132:0.133:0.133) (0.162:0.163:0.163))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3990_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.181:0.181:0.181) (0.065:0.065:0.065))
+    (IOPATH B Y (0.170:0.171:0.172) (0.087:0.087:0.087))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3991_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.180:0.181:0.182) (0.152:0.161:0.171))
+    (IOPATH A Y (0.233:0.241:0.249) (0.128:0.130:0.131))
+    (IOPATH B Y (0.184:0.184:0.184) (0.149:0.151:0.153))
+    (IOPATH B Y (0.215:0.216:0.218) (0.123:0.124:0.124))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _3992_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.186:0.186:0.187) (0.173:0.173:0.174))
+    (IOPATH A Y (0.269:0.270:0.270) (0.129:0.129:0.130))
+    (IOPATH B Y (0.180:0.191:0.203) (0.167:0.171:0.175))
+    (IOPATH B Y (0.248:0.251:0.255) (0.112:0.127:0.142))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _3993_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.160:0.160:0.160) (0.275:0.275:0.275))
+    (IOPATH B X (0.163:0.163:0.163) (0.252:0.252:0.253))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _3994_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.160:0.165:0.170) (0.250:0.266:0.281))
+    (IOPATH B_N X (0.186:0.190:0.195) (0.276:0.277:0.278))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _3995_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.166:0.184:0.201) (0.158:0.161:0.165))
+    (IOPATH B X (0.164:0.164:0.164) (0.177:0.177:0.177))
+    (IOPATH C X (0.162:0.162:0.162) (0.188:0.188:0.188))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _3996_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.203:0.203:0.203) (0.098:0.098:0.098))
+    (IOPATH A2 Y (0.217:0.217:0.218) (0.095:0.095:0.095))
+    (IOPATH B1 Y (0.196:0.198:0.201) (0.078:0.090:0.103))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3997_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.182:0.183:0.183) (0.067:0.067:0.068))
+    (IOPATH B Y (0.175:0.181:0.186) (0.098:0.100:0.102))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _3998_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.147:0.147:0.148) (0.160:0.160:0.161))
+    (IOPATH A Y (0.221:0.221:0.221) (0.100:0.100:0.101))
+    (IOPATH B Y (0.163:0.163:0.163) (0.152:0.157:0.161))
+    (IOPATH B Y (0.192:0.197:0.201) (0.111:0.111:0.111))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _3999_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.677:0.679:0.681) (0.203:0.230:0.257))
+    (IOPATH B Y (0.660:0.662:0.664) (0.196:0.220:0.244))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+  (INSTANCE _4000_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.476:0.478:0.480) (0.189:0.210:0.231))
+    (IOPATH A X (0.445:0.458:0.471) (0.265:0.267:0.269))
+    (IOPATH B X (0.445:0.447:0.449) (0.180:0.202:0.223))
+    (IOPATH B X (0.450:0.460:0.470) (0.233:0.235:0.237))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4001_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.206:0.219:0.232) (0.191:0.196:0.201))
+    (IOPATH A Y (0.313:0.317:0.321) (0.143:0.162:0.182))
+    (IOPATH B Y (0.199:0.199:0.199) (0.178:0.178:0.179))
+    (IOPATH B Y (0.285:0.286:0.286) (0.124:0.124:0.124))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _4002_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.287:0.291:0.295) (0.130:0.149:0.168))
+    (IOPATH A X (0.279:0.290:0.301) (0.209:0.212:0.216))
+    (IOPATH B X (0.262:0.262:0.262) (0.121:0.122:0.122))
+    (IOPATH B X (0.277:0.277:0.278) (0.183:0.183:0.184))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _4003_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.261:0.275:0.288) (0.271:0.277:0.283))
+    (IOPATH A Y (0.486:0.491:0.496) (0.209:0.229:0.249))
+    (IOPATH B Y (0.277:0.278:0.279) (0.253:0.263:0.272))
+    (IOPATH B Y (0.450:0.458:0.467) (0.229:0.230:0.232))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4004_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.148:0.152:0.155) (0.136:0.138:0.140))
+    (IOPATH B Y (0.143:0.143:0.144) (0.138:0.138:0.139))
+    (IOPATH C Y (0.140:0.140:0.140) (0.141:0.141:0.141))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4005_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.179:0.181:0.183) (0.214:0.217:0.221))
+    (IOPATH A2 X (0.178:0.178:0.178) (0.217:0.217:0.217))
+    (IOPATH B1 X (0.148:0.149:0.149) (0.194:0.194:0.195))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4006_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.187:0.189:0.191) (0.219:0.224:0.228))
+    (IOPATH A2 X (0.185:0.186:0.186) (0.220:0.221:0.221))
+    (IOPATH B1 X (0.153:0.154:0.154) (0.196:0.197:0.197))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4007_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.155:0.160:0.165) (0.142:0.144:0.146))
+    (IOPATH B Y (0.148:0.149:0.149) (0.144:0.144:0.144))
+    (IOPATH C Y (0.143:0.143:0.144) (0.144:0.144:0.144))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+  (INSTANCE _4008_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.317:0.319:0.321) (0.369:0.369:0.369))
+    (IOPATH A2 X (0.313:0.313:0.313) (0.370:0.370:0.371))
+    (IOPATH B1 X (0.306:0.306:0.306) (0.322:0.322:0.322))
+    (IOPATH B2 X (0.309:0.311:0.312) (0.362:0.362:0.363))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4009_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.169:0.170:0.170) (0.181:0.182:0.182))
+    (IOPATH B X (0.214:0.215:0.216) (0.189:0.197:0.205))
+    (IOPATH C X (0.204:0.204:0.205) (0.199:0.199:0.200))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4010_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.169:0.170:0.170) (0.182:0.183:0.183))
+    (IOPATH B X (0.215:0.215:0.216) (0.189:0.196:0.202))
+    (IOPATH C X (0.200:0.200:0.201) (0.196:0.197:0.199))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4011_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.183:0.183:0.183) (0.078:0.078:0.079))
+    (IOPATH A2 Y (0.189:0.194:0.200) (0.120:0.120:0.121))
+    (IOPATH B1 Y (0.155:0.156:0.157) (0.076:0.076:0.076))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4012_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.172:0.172:0.172) (0.073:0.074:0.074))
+    (IOPATH A2 Y (0.178:0.185:0.192) (0.114:0.115:0.115))
+    (IOPATH B1 Y (0.147:0.147:0.148) (0.074:0.074:0.074))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or4_1")
+  (INSTANCE _4013_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.167:0.167:0.167) (0.586:0.586:0.587))
+    (IOPATH B X (0.166:0.167:0.167) (0.569:0.569:0.569))
+    (IOPATH C X (0.183:0.185:0.187) (0.538:0.540:0.543))
+    (IOPATH D X (0.177:0.179:0.181) (0.468:0.470:0.473))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4014_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.168:0.170:0.172) (0.126:0.128:0.129))
+    (IOPATH B Y (0.203:0.204:0.204) (0.151:0.153:0.156))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_4")
+  (INSTANCE _4015_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.252:0.255:0.257) (0.116:0.116:0.116))
+    (IOPATH A X (0.226:0.227:0.227) (0.220:0.223:0.225))
+    (IOPATH B X (0.229:0.230:0.232) (0.113:0.114:0.115))
+    (IOPATH B X (0.230:0.230:0.231) (0.195:0.196:0.198))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4016_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.188:0.189:0.190) (0.140:0.141:0.143))
+    (IOPATH B Y (0.201:0.202:0.203) (0.151:0.153:0.155))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_4")
+  (INSTANCE _4017_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.247:0.249:0.251) (0.116:0.116:0.116))
+    (IOPATH A X (0.217:0.217:0.217) (0.223:0.225:0.227))
+    (IOPATH B X (0.219:0.219:0.220) (0.110:0.111:0.111))
+    (IOPATH B X (0.219:0.219:0.219) (0.194:0.194:0.195))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_4")
+  (INSTANCE _4018_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.406:0.413:0.420) (0.191:0.193:0.195))
+    (IOPATH A X (0.414:0.415:0.416) (0.244:0.250:0.257))
+    (IOPATH B X (0.386:0.393:0.399) (0.184:0.187:0.190))
+    (IOPATH B X (0.406:0.407:0.408) (0.213:0.220:0.226))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4019_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.146:0.147:0.149) (0.197:0.199:0.201))
+    (IOPATH A2 X (0.142:0.143:0.143) (0.195:0.195:0.196))
+    (IOPATH B1 X (0.131:0.131:0.131) (0.182:0.183:0.183))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4020_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.151:0.152:0.153) (0.209:0.210:0.211))
+    (IOPATH A2 X (0.145:0.146:0.146) (0.196:0.197:0.197))
+    (IOPATH B1 X (0.134:0.134:0.134) (0.188:0.188:0.189))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_1")
+  (INSTANCE _4021_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.163:0.164:0.165) (0.161:0.163:0.165))
+    (IOPATH B Y (0.188:0.188:0.189) (0.171:0.174:0.176))
+    (IOPATH C Y (0.139:0.139:0.140) (0.148:0.149:0.149))
+    (IOPATH D Y (0.130:0.130:0.131) (0.151:0.151:0.151))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4022_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.164:0.166:0.169) (0.247:0.248:0.248))
+    (IOPATH A2 X (0.137:0.137:0.138) (0.224:0.224:0.225))
+    (IOPATH B1 X (0.121:0.121:0.121) (0.174:0.174:0.174))
+    (IOPATH B2 X (0.148:0.150:0.151) (0.235:0.236:0.237))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4023_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.155:0.157:0.159) (0.116:0.119:0.121))
+    (IOPATH B Y (0.118:0.118:0.119) (0.094:0.094:0.095))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4024_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.192:0.192:0.192) (0.077:0.077:0.077))
+    (IOPATH A2 Y (0.204:0.207:0.209) (0.099:0.103:0.108))
+    (IOPATH B1 Y (0.180:0.180:0.181) (0.073:0.073:0.074))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4025_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.261:0.261:0.261) (0.104:0.105:0.105))
+    (IOPATH A2 Y (0.275:0.277:0.280) (0.127:0.133:0.138))
+    (IOPATH B1 Y (0.248:0.249:0.249) (0.090:0.091:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor4_1")
+  (INSTANCE _4026_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.379:0.379:0.380) (0.105:0.105:0.105))
+    (IOPATH B Y (0.358:0.359:0.359) (0.090:0.090:0.090))
+    (IOPATH C Y (0.318:0.321:0.324) (0.090:0.092:0.093))
+    (IOPATH D Y (0.267:0.272:0.278) (0.101:0.103:0.105))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o22a_1")
+  (INSTANCE _4027_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.161:0.161:0.161) (0.215:0.215:0.216))
+    (IOPATH A2 X (0.151:0.153:0.154) (0.191:0.194:0.197))
+    (IOPATH B1 X (0.184:0.187:0.189) (0.178:0.185:0.191))
+    (IOPATH B2 X (0.149:0.149:0.149) (0.171:0.172:0.173))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_2")
+  (INSTANCE _4028_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.365:0.365:0.365) (0.440:0.444:0.447))
+    (IOPATH B X (0.282:0.282:0.283) (0.411:0.412:0.413))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _4029_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.243:0.243:0.243) (0.158:0.158:0.158))
+    (IOPATH A2 Y (0.237:0.237:0.237) (0.142:0.142:0.142))
+    (IOPATH B1 Y (0.201:0.201:0.201) (0.115:0.115:0.115))
+    (IOPATH B2 Y (0.237:0.237:0.237) (0.138:0.138:0.138))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4030_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.236:0.236:0.236) (0.338:0.339:0.339))
+    (IOPATH B X (0.187:0.189:0.190) (0.271:0.277:0.284))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _4031_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.172:0.172:0.172) (0.116:0.116:0.116))
+    (IOPATH A2 Y (0.222:0.222:0.222) (0.134:0.134:0.134))
+    (IOPATH B1 Y (0.188:0.188:0.188) (0.102:0.102:0.102))
+    (IOPATH B2 Y (0.193:0.193:0.193) (0.102:0.102:0.102))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4032_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.217:0.217:0.217) (0.327:0.328:0.328))
+    (IOPATH B X (0.160:0.162:0.163) (0.252:0.257:0.261))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4033_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.189:0.189:0.189) (0.088:0.088:0.088))
+    (IOPATH B Y (0.168:0.168:0.168) (0.073:0.073:0.073))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4034_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.141:0.141:0.141) (0.153:0.153:0.153))
+    (IOPATH B X (0.133:0.134:0.134) (0.165:0.165:0.165))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4035_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.230:0.231:0.233) (0.117:0.117:0.117))
+    (IOPATH B Y (0.209:0.209:0.209) (0.070:0.070:0.070))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4036_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.365:0.365:0.365) (0.296:0.296:0.296))
+    (IOPATH B X (0.364:0.364:0.364) (0.317:0.317:0.317))
+    (IOPATH C X (0.355:0.355:0.355) (0.325:0.325:0.325))
+    (IOPATH D X (0.333:0.333:0.333) (0.284:0.284:0.284))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+  (INSTANCE _4037_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.508:0.512:0.517) (0.227:0.227:0.228))
+    (IOPATH A2 Y (0.506:0.507:0.508) (0.208:0.209:0.209))
+    (IOPATH B1 Y (0.460:0.461:0.463) (0.150:0.150:0.150))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4038_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.157:0.157:0.157) (0.072:0.072:0.072))
+    (IOPATH A2 Y (0.157:0.157:0.158) (0.071:0.071:0.071))
+    (IOPATH B1 Y (0.197:0.197:0.198) (0.091:0.091:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4039_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.201:0.202:0.202) (0.292:0.292:0.293))
+    (IOPATH B X (0.198:0.201:0.205) (0.268:0.279:0.289))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4040_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.136:0.137:0.137) (0.061:0.061:0.061))
+    (IOPATH A2 Y (0.141:0.141:0.142) (0.065:0.065:0.065))
+    (IOPATH B1 Y (0.185:0.186:0.186) (0.079:0.079:0.079))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4041_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.214:0.215:0.215) (0.302:0.303:0.304))
+    (IOPATH B X (0.206:0.211:0.216) (0.277:0.288:0.300))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _4042_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.286:0.286:0.286) (0.295:0.295:0.295))
+    (IOPATH A Y (0.546:0.546:0.546) (0.233:0.233:0.233))
+    (IOPATH B Y (0.286:0.286:0.286) (0.293:0.293:0.293))
+    (IOPATH B Y (0.524:0.524:0.524) (0.229:0.229:0.229))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4043_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.129:0.129:0.129) (0.246:0.246:0.246))
+    (IOPATH B X (0.130:0.130:0.130) (0.233:0.233:0.233))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _4044_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.596:0.598:0.600) (0.433:0.444:0.456))
+    (IOPATH A2 X (0.532:0.549:0.567) (0.457:0.459:0.461))
+    (IOPATH B1 X (0.494:0.494:0.494) (0.316:0.316:0.316))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _4045_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.232:0.232:0.232) (0.259:0.259:0.259))
+    (IOPATH A2 X (0.238:0.238:0.238) (0.300:0.301:0.301))
+    (IOPATH B1_N X (0.266:0.271:0.275) (0.230:0.230:0.230))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_2")
+  (INSTANCE _4046_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.519:0.521:0.522) (0.209:0.209:0.209))
+    (IOPATH A2 Y (0.487:0.488:0.489) (0.202:0.202:0.202))
+    (IOPATH B1 Y (0.278:0.280:0.282) (0.203:0.206:0.209))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4047_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.146:0.152:0.158) (0.091:0.092:0.094))
+    (IOPATH B Y (0.130:0.136:0.142) (0.079:0.081:0.082))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+  (INSTANCE _4048_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.233:0.246:0.260) (0.152:0.152:0.152))
+    (IOPATH A2 Y (0.283:0.284:0.285) (0.139:0.157:0.176))
+    (IOPATH B1 Y (0.195:0.197:0.198) (0.075:0.075:0.075))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o22ai_1")
+  (INSTANCE _4049_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.244:0.244:0.245) (0.121:0.122:0.122))
+    (IOPATH A2 Y (0.233:0.236:0.240) (0.127:0.129:0.131))
+    (IOPATH B1 Y (0.216:0.219:0.222) (0.130:0.133:0.135))
+    (IOPATH B2 Y (0.196:0.196:0.197) (0.101:0.101:0.101))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21boi_2")
+  (INSTANCE _4050_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.493:0.493:0.493) (0.168:0.168:0.168))
+    (IOPATH A2 Y (0.454:0.468:0.483) (0.199:0.199:0.200))
+    (IOPATH B1_N Y (0.519:0.519:0.520) (0.252:0.255:0.258))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_4")
+  (INSTANCE _4051_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.180:0.180:0.180) (0.207:0.209:0.211))
+    (IOPATH B Y (0.205:0.205:0.206) (0.221:0.223:0.225))
+    (IOPATH C Y (0.191:0.191:0.192) (0.222:0.222:0.223))
+    (IOPATH D Y (0.186:0.186:0.186) (0.227:0.227:0.227))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4052_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.178:0.178:0.179) (0.221:0.221:0.221))
+    (IOPATH A2 X (0.212:0.213:0.215) (0.236:0.246:0.255))
+    (IOPATH B1_N X (0.278:0.279:0.279) (0.243:0.247:0.250))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _4053_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.373:0.379:0.386) (0.299:0.312:0.326))
+    (IOPATH B X (0.332:0.334:0.335) (0.265:0.275:0.286))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4054_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.169:0.188:0.208) (0.225:0.229:0.233))
+    (IOPATH A2 X (0.139:0.139:0.140) (0.193:0.193:0.194))
+    (IOPATH B1 X (0.145:0.145:0.145) (0.206:0.206:0.206))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4055_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.251:0.252:0.253) (0.195:0.212:0.230))
+    (IOPATH B X (0.169:0.170:0.170) (0.180:0.180:0.180))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _4056_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.123:0.123:0.123) (0.212:0.214:0.215))
+    (IOPATH B_N X (0.144:0.153:0.162) (0.238:0.239:0.240))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4057_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.170:0.171:0.171) (0.209:0.210:0.210))
+    (IOPATH A2 X (0.164:0.176:0.189) (0.229:0.233:0.236))
+    (IOPATH B1_N X (0.214:0.214:0.214) (0.220:0.221:0.221))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _4058_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.359:0.359:0.359) (0.273:0.273:0.273))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _4059_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.233:0.233:0.233) (0.236:0.236:0.236))
+    (IOPATH A2 X (0.240:0.240:0.240) (0.291:0.291:0.291))
+    (IOPATH A3 X (0.212:0.212:0.212) (0.243:0.246:0.248))
+    (IOPATH B1 X (0.145:0.145:0.146) (0.212:0.213:0.213))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _4060_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.120:0.134:0.148) (0.236:0.240:0.243))
+    (IOPATH B_N X (0.152:0.152:0.153) (0.229:0.229:0.230))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _4061_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.220:0.225:0.230) (0.143:0.145:0.146))
+    (IOPATH A2 Y (0.208:0.217:0.226) (0.130:0.131:0.133))
+    (IOPATH B1 Y (0.132:0.132:0.132) (0.090:0.091:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4062_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.118:0.118:0.118) (0.102:0.102:0.102))
+    (IOPATH B Y (0.121:0.121:0.121) (0.113:0.113:0.113))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _4063_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.217:0.217:0.217) (0.226:0.226:0.226))
+    (IOPATH A2 X (0.238:0.238:0.238) (0.269:0.269:0.269))
+    (IOPATH A3 X (0.208:0.208:0.208) (0.241:0.245:0.248))
+    (IOPATH B1 X (0.142:0.142:0.143) (0.210:0.211:0.212))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4064_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.153:0.153:0.153) (0.101:0.101:0.101))
+    (IOPATH A2 Y (0.179:0.179:0.179) (0.116:0.116:0.116))
+    (IOPATH B1 Y (0.124:0.124:0.125) (0.051:0.052:0.052))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4065_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.234:0.234:0.234) (0.193:0.193:0.193))
+    (IOPATH B X (0.249:0.249:0.249) (0.225:0.225:0.225))
+    (IOPATH C X (0.205:0.205:0.206) (0.207:0.207:0.208))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4066_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.189:0.195:0.201) (0.083:0.086:0.089))
+    (IOPATH B Y (0.180:0.181:0.181) (0.079:0.079:0.080))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4067_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.160:0.160:0.160) (0.166:0.167:0.167))
+    (IOPATH A Y (0.249:0.249:0.250) (0.104:0.104:0.104))
+    (IOPATH B Y (0.188:0.188:0.188) (0.154:0.155:0.155))
+    (IOPATH B Y (0.222:0.223:0.224) (0.128:0.128:0.128))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4068_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.161:0.175:0.190) (0.160:0.164:0.168))
+    (IOPATH B X (0.170:0.170:0.170) (0.181:0.182:0.183))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4069_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.163:0.163:0.163) (0.105:0.105:0.105))
+    (IOPATH A2 Y (0.199:0.199:0.199) (0.129:0.129:0.129))
+    (IOPATH B1 Y (0.142:0.142:0.143) (0.064:0.064:0.064))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4070_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.224:0.224:0.224) (0.189:0.189:0.189))
+    (IOPATH B X (0.242:0.242:0.242) (0.254:0.254:0.254))
+    (IOPATH C X (0.199:0.199:0.199) (0.204:0.204:0.204))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4071_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.172:0.178:0.184) (0.084:0.087:0.089))
+    (IOPATH B Y (0.157:0.158:0.159) (0.068:0.069:0.069))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _4072_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.275:0.275:0.275) (0.215:0.215:0.215))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4073_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.096:0.096:0.096) (0.097:0.097:0.097))
+    (IOPATH B Y (0.121:0.121:0.121) (0.115:0.115:0.115))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4074_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.168:0.168:0.168) (0.141:0.144:0.146))
+    (IOPATH A Y (0.208:0.210:0.212) (0.119:0.119:0.119))
+    (IOPATH B Y (0.142:0.143:0.145) (0.151:0.152:0.153))
+    (IOPATH B Y (0.200:0.201:0.202) (0.081:0.083:0.084))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _4075_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.180:0.181:0.181) (0.218:0.218:0.219))
+    (IOPATH A2 X (0.185:0.185:0.185) (0.216:0.217:0.218))
+    (IOPATH B1 X (0.177:0.191:0.204) (0.139:0.143:0.147))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_1")
+  (INSTANCE _4076_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.223:0.223:0.224) (0.066:0.067:0.067))
+    (IOPATH B Y (0.222:0.223:0.224) (0.084:0.084:0.084))
+    (IOPATH C Y (0.177:0.180:0.183) (0.060:0.071:0.082))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4077_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.171:0.171:0.172) (0.082:0.082:0.082))
+    (IOPATH B Y (0.147:0.151:0.154) (0.095:0.095:0.095))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4078_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.171:0.182:0.194) (0.165:0.168:0.170))
+    (IOPATH A Y (0.249:0.251:0.253) (0.116:0.131:0.146))
+    (IOPATH B Y (0.182:0.182:0.182) (0.153:0.157:0.160))
+    (IOPATH B Y (0.222:0.225:0.229) (0.120:0.120:0.120))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4079_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.177:0.182:0.187) (0.169:0.171:0.174))
+    (IOPATH A Y (0.247:0.250:0.252) (0.125:0.132:0.139))
+    (IOPATH B Y (0.168:0.180:0.191) (0.160:0.165:0.169))
+    (IOPATH B Y (0.224:0.228:0.232) (0.104:0.119:0.134))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4080_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.157:0.158:0.158) (0.152:0.152:0.153))
+    (IOPATH A Y (0.222:0.222:0.223) (0.105:0.105:0.106))
+    (IOPATH B Y (0.159:0.170:0.182) (0.153:0.158:0.162))
+    (IOPATH B Y (0.207:0.211:0.215) (0.098:0.112:0.126))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4081_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.139:0.139:0.139) (0.140:0.140:0.140))
+    (IOPATH A Y (0.189:0.190:0.190) (0.090:0.090:0.090))
+    (IOPATH B Y (0.139:0.150:0.161) (0.138:0.141:0.144))
+    (IOPATH B Y (0.172:0.174:0.177) (0.082:0.094:0.106))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4082_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.100:0.103:0.106) (0.105:0.121:0.137))
+    (IOPATH B Y (0.113:0.113:0.113) (0.105:0.105:0.105))
+    (IOPATH C Y (0.108:0.108:0.108) (0.102:0.102:0.102))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211o_1")
+  (INSTANCE _4083_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.159:0.176:0.193) (0.284:0.288:0.292))
+    (IOPATH A2 X (0.153:0.153:0.153) (0.313:0.313:0.313))
+    (IOPATH B1 X (0.147:0.149:0.151) (0.284:0.289:0.295))
+    (IOPATH C1 X (0.113:0.113:0.113) (0.239:0.239:0.240))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4084_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.188:0.202:0.215) (0.167:0.170:0.173))
+    (IOPATH B X (0.195:0.197:0.198) (0.200:0.207:0.214))
+    (IOPATH C X (0.196:0.196:0.197) (0.207:0.207:0.208))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4085_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.124:0.130:0.136) (0.061:0.062:0.064))
+    (IOPATH A2 Y (0.134:0.135:0.135) (0.060:0.061:0.062))
+    (IOPATH B1 Y (0.105:0.107:0.110) (0.041:0.047:0.054))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4086_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.176:0.176:0.176) (0.276:0.277:0.277))
+    (IOPATH B X (0.167:0.170:0.172) (0.249:0.252:0.255))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _4087_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.184:0.187:0.190) (0.198:0.198:0.198))
+    (IOPATH B X (0.157:0.158:0.159) (0.159:0.168:0.177))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4088_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.186:0.187:0.187) (0.203:0.204:0.204))
+    (IOPATH A2 X (0.180:0.193:0.207) (0.220:0.225:0.229))
+    (IOPATH B1 X (0.133:0.133:0.134) (0.184:0.184:0.184))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _4089_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.405:0.405:0.405) (0.345:0.345:0.345))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _4090_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.283:0.283:0.283) (0.287:0.287:0.287))
+    (IOPATH A2 X (0.296:0.296:0.296) (0.332:0.332:0.332))
+    (IOPATH A3 X (0.262:0.262:0.262) (0.273:0.274:0.276))
+    (IOPATH B1 X (0.195:0.195:0.196) (0.241:0.242:0.242))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4091_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.158:0.159:0.160) (0.239:0.245:0.252))
+    (IOPATH B X (0.111:0.112:0.113) (0.216:0.217:0.217))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4092_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.138:0.138:0.138) (0.115:0.115:0.115))
+    (IOPATH B Y (0.128:0.128:0.128) (0.106:0.106:0.106))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _4093_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.372:0.372:0.372) (0.297:0.297:0.297))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4094_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.230:0.230:0.230) (0.234:0.234:0.234))
+    (IOPATH B X (0.191:0.191:0.191) (0.187:0.187:0.187))
+    (IOPATH C X (0.186:0.187:0.187) (0.200:0.200:0.200))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _4095_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.186:0.187:0.187) (0.102:0.103:0.104))
+    (IOPATH A2 Y (0.186:0.187:0.188) (0.087:0.088:0.088))
+    (IOPATH B1_N Y (0.128:0.128:0.129) (0.164:0.165:0.165))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4096_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.184:0.184:0.184) (0.111:0.111:0.111))
+    (IOPATH A2 Y (0.196:0.196:0.196) (0.112:0.112:0.112))
+    (IOPATH B1 Y (0.134:0.136:0.138) (0.053:0.060:0.067))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4097_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.242:0.242:0.242) (0.220:0.220:0.220))
+    (IOPATH B X (0.233:0.233:0.233) (0.230:0.230:0.230))
+    (IOPATH C X (0.199:0.207:0.215) (0.203:0.205:0.208))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4098_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.194:0.201:0.209) (0.090:0.093:0.095))
+    (IOPATH B Y (0.185:0.185:0.186) (0.079:0.079:0.080))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4099_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.165:0.166:0.167) (0.165:0.170:0.176))
+    (IOPATH A Y (0.253:0.257:0.262) (0.108:0.109:0.110))
+    (IOPATH B Y (0.193:0.193:0.193) (0.156:0.158:0.160))
+    (IOPATH B Y (0.229:0.231:0.232) (0.133:0.133:0.133))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4100_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.187:0.188:0.190) (0.154:0.160:0.165))
+    (IOPATH B X (0.170:0.170:0.170) (0.185:0.185:0.186))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4101_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.260:0.260:0.260) (0.155:0.155:0.155))
+    (IOPATH A2 Y (0.224:0.224:0.224) (0.127:0.127:0.127))
+    (IOPATH B1 Y (0.190:0.190:0.190) (0.083:0.083:0.084))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4102_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.229:0.229:0.229) (0.224:0.224:0.224))
+    (IOPATH B X (0.206:0.206:0.206) (0.197:0.197:0.197))
+    (IOPATH C X (0.192:0.192:0.193) (0.202:0.202:0.203))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4103_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.140:0.150:0.160) (0.085:0.087:0.088))
+    (IOPATH B Y (0.118:0.119:0.119) (0.054:0.054:0.055))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4104_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.160:0.160:0.160) (0.140:0.140:0.140))
+    (IOPATH B Y (0.115:0.115:0.115) (0.110:0.110:0.110))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4105_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.196:0.196:0.196) (0.162:0.167:0.173))
+    (IOPATH A Y (0.276:0.281:0.286) (0.134:0.134:0.135))
+    (IOPATH B Y (0.187:0.189:0.191) (0.178:0.186:0.195))
+    (IOPATH B Y (0.275:0.282:0.290) (0.115:0.117:0.120))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _4106_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.166:0.166:0.167) (0.209:0.209:0.209))
+    (IOPATH A2 X (0.172:0.172:0.172) (0.207:0.208:0.209))
+    (IOPATH B1 X (0.181:0.197:0.213) (0.148:0.151:0.153))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_1")
+  (INSTANCE _4107_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.177:0.177:0.177) (0.054:0.054:0.055))
+    (IOPATH B Y (0.176:0.177:0.178) (0.071:0.071:0.071))
+    (IOPATH C Y (0.144:0.146:0.148) (0.060:0.068:0.077))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4108_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.162:0.163:0.164) (0.074:0.075:0.075))
+    (IOPATH B Y (0.140:0.145:0.151) (0.082:0.082:0.082))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4109_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.156:0.167:0.179) (0.154:0.157:0.160))
+    (IOPATH A Y (0.217:0.220:0.222) (0.104:0.119:0.134))
+    (IOPATH B Y (0.164:0.164:0.164) (0.139:0.141:0.142))
+    (IOPATH B Y (0.188:0.190:0.191) (0.105:0.105:0.105))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4110_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.197:0.197:0.197) (0.092:0.092:0.093))
+    (IOPATH A2 Y (0.215:0.215:0.215) (0.086:0.087:0.087))
+    (IOPATH B1 Y (0.191:0.195:0.199) (0.068:0.079:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4111_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.155:0.155:0.155) (0.148:0.148:0.149))
+    (IOPATH B X (0.152:0.152:0.152) (0.167:0.167:0.167))
+    (IOPATH C X (0.165:0.176:0.186) (0.184:0.190:0.195))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4112_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.199:0.203:0.207) (0.110:0.112:0.114))
+    (IOPATH B Y (0.179:0.180:0.181) (0.066:0.066:0.066))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _4113_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.165:0.165:0.166) (0.178:0.179:0.179))
+    (IOPATH A Y (0.238:0.238:0.239) (0.120:0.121:0.121))
+    (IOPATH B Y (0.167:0.167:0.167) (0.155:0.161:0.167))
+    (IOPATH B Y (0.196:0.201:0.207) (0.117:0.117:0.117))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _4114_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.162:0.162:0.163) (0.173:0.174:0.174))
+    (IOPATH A Y (0.248:0.248:0.249) (0.115:0.115:0.115))
+    (IOPATH B Y (0.148:0.162:0.175) (0.168:0.171:0.174))
+    (IOPATH B Y (0.223:0.225:0.228) (0.097:0.111:0.124))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211o_1")
+  (INSTANCE _4115_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.154:0.171:0.188) (0.281:0.285:0.289))
+    (IOPATH A2 X (0.145:0.145:0.145) (0.307:0.307:0.308))
+    (IOPATH B1 X (0.142:0.144:0.146) (0.278:0.282:0.287))
+    (IOPATH C1 X (0.108:0.109:0.109) (0.235:0.235:0.235))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _4116_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.145:0.145:0.145) (0.255:0.255:0.256))
+    (IOPATH B_N X (0.189:0.190:0.190) (0.257:0.258:0.258))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _4117_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.149:0.164:0.179) (0.172:0.175:0.177))
+    (IOPATH A Y (0.235:0.238:0.240) (0.103:0.120:0.136))
+    (IOPATH B Y (0.145:0.145:0.145) (0.165:0.165:0.165))
+    (IOPATH B Y (0.208:0.208:0.208) (0.094:0.094:0.094))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _4118_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.305:0.305:0.305) (0.312:0.312:0.312))
+    (IOPATH A Y (0.600:0.601:0.601) (0.249:0.249:0.249))
+    (IOPATH B Y (0.287:0.302:0.316) (0.296:0.301:0.306))
+    (IOPATH B Y (0.567:0.571:0.575) (0.225:0.243:0.261))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _4119_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.350:0.350:0.350) (0.319:0.320:0.322))
+    (IOPATH A2 X (0.236:0.236:0.237) (0.243:0.244:0.244))
+    (IOPATH B1 X (0.289:0.310:0.332) (0.257:0.259:0.261))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _4120_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.254:0.279:0.305) (0.522:0.524:0.525))
+    (IOPATH B X (0.301:0.301:0.301) (0.493:0.494:0.495))
+    (IOPATH C X (0.204:0.204:0.205) (0.398:0.398:0.398))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3b_2")
+  (INSTANCE _4121_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.264:0.264:0.265) (0.615:0.616:0.617))
+    (IOPATH B X (0.261:0.261:0.262) (0.575:0.576:0.576))
+    (IOPATH C_N X (0.334:0.334:0.334) (0.576:0.576:0.576))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _4122_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.421:0.421:0.421) (0.338:0.338:0.338))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _4123_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.383:0.383:0.383) (0.307:0.307:0.307))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _4124_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.318:0.318:0.318) (0.247:0.247:0.247))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _4125_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.345:0.345:0.345) (0.290:0.290:0.290))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _4126_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.355:0.355:0.355) (0.295:0.295:0.295))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _4127_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.410:0.410:0.410) (0.347:0.347:0.347))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _4128_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.411:0.411:0.411) (0.329:0.329:0.329))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _4129_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.434:0.434:0.434) (0.363:0.363:0.363))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4130_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.256:0.256:0.256) (0.223:0.223:0.223))
+    (IOPATH B X (0.230:0.230:0.230) (0.200:0.200:0.200))
+    (IOPATH C X (0.270:0.270:0.270) (0.258:0.258:0.258))
+    (IOPATH D X (0.259:0.259:0.259) (0.286:0.286:0.286))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _4131_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.421:0.421:0.421) (0.350:0.350:0.350))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _4132_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.414:0.414:0.414) (0.349:0.349:0.349))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _4133_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.234:0.234:0.234) (0.150:0.150:0.150))
+    (IOPATH A2 Y (0.237:0.237:0.237) (0.156:0.156:0.156))
+    (IOPATH B1 Y (0.204:0.204:0.204) (0.116:0.116:0.116))
+    (IOPATH B2 Y (0.178:0.178:0.178) (0.095:0.095:0.095))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4134_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.166:0.167:0.167) (0.070:0.071:0.071))
+    (IOPATH B Y (0.160:0.166:0.173) (0.087:0.088:0.088))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _4135_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.237:0.237:0.237) (0.250:0.250:0.250))
+    (IOPATH A2 X (0.248:0.248:0.248) (0.289:0.289:0.289))
+    (IOPATH A3 X (0.229:0.229:0.229) (0.254:0.257:0.260))
+    (IOPATH B1 X (0.165:0.165:0.165) (0.222:0.223:0.223))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _4136_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.333:0.333:0.333) (0.282:0.282:0.282))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4137_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.135:0.135:0.135) (0.111:0.111:0.111))
+    (IOPATH B Y (0.123:0.123:0.123) (0.102:0.102:0.102))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4138_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.152:0.152:0.153) (0.147:0.148:0.149))
+    (IOPATH A Y (0.203:0.204:0.204) (0.102:0.103:0.103))
+    (IOPATH B Y (0.137:0.139:0.140) (0.142:0.148:0.154))
+    (IOPATH B Y (0.182:0.188:0.193) (0.079:0.080:0.082))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4139_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.233:0.233:0.233) (0.211:0.211:0.211))
+    (IOPATH B X (0.256:0.256:0.256) (0.266:0.266:0.266))
+    (IOPATH C X (0.198:0.208:0.218) (0.202:0.205:0.207))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31oi_2")
+  (INSTANCE _4140_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.190:0.190:0.190) (0.144:0.144:0.144))
+    (IOPATH A2 Y (0.246:0.246:0.246) (0.146:0.146:0.146))
+    (IOPATH A3 Y (0.227:0.227:0.228) (0.122:0.122:0.122))
+    (IOPATH B1 Y (0.183:0.183:0.184) (0.057:0.057:0.058))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4141_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.167:0.167:0.167) (0.100:0.100:0.100))
+    (IOPATH A2 Y (0.209:0.209:0.209) (0.120:0.120:0.120))
+    (IOPATH B1 Y (0.118:0.121:0.123) (0.046:0.054:0.061))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4142_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.180:0.180:0.181) (0.079:0.080:0.080))
+    (IOPATH B Y (0.157:0.165:0.172) (0.074:0.077:0.081))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _4143_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.263:0.263:0.263) (0.209:0.209:0.209))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _4144_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.224:0.224:0.224) (0.145:0.145:0.145))
+    (IOPATH A2 Y (0.198:0.198:0.198) (0.118:0.118:0.118))
+    (IOPATH B1 Y (0.194:0.194:0.194) (0.110:0.110:0.110))
+    (IOPATH B2 Y (0.178:0.178:0.178) (0.091:0.091:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4145_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.230:0.230:0.230) (0.232:0.232:0.232))
+    (IOPATH B X (0.202:0.202:0.202) (0.215:0.215:0.215))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4146_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.206:0.206:0.206) (0.176:0.176:0.176))
+    (IOPATH B X (0.238:0.238:0.238) (0.248:0.248:0.248))
+    (IOPATH C X (0.195:0.195:0.196) (0.201:0.201:0.201))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4147_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.183:0.189:0.196) (0.093:0.094:0.094))
+    (IOPATH B Y (0.162:0.163:0.163) (0.070:0.070:0.071))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4148_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.114:0.114:0.114) (0.102:0.102:0.102))
+    (IOPATH B Y (0.126:0.126:0.126) (0.106:0.106:0.106))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4149_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.193:0.193:0.193) (0.160:0.163:0.166))
+    (IOPATH A Y (0.256:0.259:0.262) (0.140:0.140:0.140))
+    (IOPATH B Y (0.169:0.170:0.170) (0.167:0.168:0.170))
+    (IOPATH B Y (0.247:0.248:0.250) (0.101:0.102:0.103))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _4150_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.436:0.436:0.436) (0.346:0.346:0.346))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _4151_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.435:0.435:0.435) (0.344:0.344:0.344))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _4152_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.274:0.274:0.274) (0.225:0.225:0.225))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _4153_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.390:0.390:0.390) (0.300:0.300:0.300))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _4154_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.465:0.465:0.465) (0.354:0.354:0.354))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4155_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.075:0.075:0.075) (0.071:0.071:0.071))
+    (IOPATH B Y (0.131:0.131:0.131) (0.090:0.090:0.090))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4156_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.225:0.225:0.225) (0.190:0.190:0.190))
+    (IOPATH B X (0.261:0.261:0.261) (0.245:0.245:0.245))
+    (IOPATH C X (0.189:0.193:0.196) (0.206:0.208:0.211))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4157_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.170:0.182:0.194) (0.165:0.169:0.173))
+    (IOPATH A Y (0.244:0.247:0.251) (0.116:0.132:0.148))
+    (IOPATH B Y (0.163:0.164:0.164) (0.151:0.152:0.153))
+    (IOPATH B Y (0.216:0.217:0.217) (0.099:0.100:0.100))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4158_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.119:0.119:0.119) (0.106:0.106:0.106))
+    (IOPATH B Y (0.145:0.145:0.145) (0.121:0.121:0.121))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4159_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.157:0.159:0.160) (0.164:0.164:0.164))
+    (IOPATH A Y (0.241:0.242:0.242) (0.101:0.103:0.105))
+    (IOPATH B Y (0.178:0.178:0.178) (0.146:0.149:0.152))
+    (IOPATH B Y (0.210:0.213:0.216) (0.116:0.116:0.116))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _4160_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.175:0.175:0.175) (0.117:0.117:0.117))
+    (IOPATH A2 Y (0.207:0.207:0.207) (0.139:0.139:0.139))
+    (IOPATH B1 Y (0.174:0.174:0.174) (0.108:0.108:0.108))
+    (IOPATH B2 Y (0.168:0.168:0.168) (0.086:0.086:0.086))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4161_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.312:0.312:0.312) (0.225:0.225:0.225))
+    (IOPATH B X (0.298:0.298:0.298) (0.251:0.251:0.251))
+    (IOPATH C X (0.341:0.341:0.341) (0.290:0.290:0.290))
+    (IOPATH D X (0.342:0.342:0.342) (0.341:0.341:0.341))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4162_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.150:0.151:0.152) (0.253:0.262:0.270))
+    (IOPATH B X (0.156:0.156:0.156) (0.245:0.246:0.247))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4163_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.204:0.204:0.204) (0.184:0.184:0.184))
+    (IOPATH B X (0.240:0.240:0.240) (0.243:0.243:0.243))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _4164_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.349:0.349:0.349) (0.275:0.275:0.275))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4165_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.224:0.224:0.224) (0.259:0.259:0.259))
+    (IOPATH A2 X (0.241:0.241:0.241) (0.295:0.295:0.295))
+    (IOPATH B1 X (0.232:0.232:0.232) (0.250:0.250:0.250))
+    (IOPATH B2 X (0.200:0.200:0.200) (0.254:0.254:0.254))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_1")
+  (INSTANCE _4166_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.135:0.135:0.135) (0.170:0.170:0.170))
+    (IOPATH B Y (0.158:0.158:0.158) (0.166:0.166:0.166))
+    (IOPATH C Y (0.175:0.175:0.175) (0.192:0.192:0.192))
+    (IOPATH D Y (0.174:0.174:0.174) (0.196:0.196:0.196))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4167_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.149:0.149:0.150) (0.191:0.192:0.192))
+    (IOPATH A2 X (0.147:0.147:0.147) (0.216:0.216:0.216))
+    (IOPATH B1_N X (0.238:0.240:0.242) (0.232:0.235:0.238))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4168_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.182:0.182:0.182) (0.175:0.175:0.175))
+    (IOPATH A Y (0.283:0.283:0.283) (0.120:0.120:0.120))
+    (IOPATH B Y (0.178:0.178:0.178) (0.166:0.166:0.167))
+    (IOPATH B Y (0.259:0.259:0.260) (0.108:0.108:0.108))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _4169_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.113:0.113:0.113) (0.236:0.236:0.236))
+    (IOPATH B_N X (0.161:0.162:0.162) (0.238:0.238:0.238))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4170_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.151:0.163:0.176) (0.198:0.200:0.202))
+    (IOPATH A2 X (0.160:0.175:0.190) (0.229:0.231:0.233))
+    (IOPATH B1_N X (0.208:0.208:0.208) (0.220:0.220:0.220))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4171_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.175:0.186:0.198) (0.166:0.172:0.178))
+    (IOPATH A Y (0.258:0.263:0.268) (0.118:0.133:0.148))
+    (IOPATH B Y (0.167:0.168:0.168) (0.158:0.159:0.159))
+    (IOPATH B Y (0.235:0.235:0.236) (0.101:0.101:0.101))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _4172_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.108:0.121:0.133) (0.223:0.228:0.232))
+    (IOPATH B_N X (0.150:0.151:0.151) (0.226:0.226:0.227))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4173_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.167:0.167:0.167) (0.189:0.190:0.191))
+    (IOPATH A2 X (0.155:0.168:0.182) (0.223:0.227:0.231))
+    (IOPATH B1_N X (0.203:0.203:0.203) (0.212:0.213:0.213))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4174_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.140:0.140:0.140) (0.127:0.127:0.127))
+    (IOPATH B Y (0.150:0.150:0.150) (0.129:0.129:0.129))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _4175_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.192:0.192:0.192) (0.224:0.224:0.224))
+    (IOPATH A2 X (0.201:0.201:0.201) (0.258:0.258:0.258))
+    (IOPATH A3 X (0.187:0.187:0.187) (0.227:0.230:0.233))
+    (IOPATH B1 X (0.122:0.123:0.123) (0.196:0.197:0.197))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4176_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.197:0.197:0.197) (0.191:0.191:0.191))
+    (IOPATH B X (0.178:0.178:0.178) (0.209:0.209:0.209))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4177_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.136:0.137:0.137) (0.057:0.058:0.059))
+    (IOPATH B Y (0.120:0.120:0.120) (0.053:0.053:0.053))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4178_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.135:0.136:0.137) (0.143:0.143:0.144))
+    (IOPATH B X (0.139:0.139:0.139) (0.159:0.159:0.160))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4179_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.132:0.133:0.134) (0.078:0.078:0.078))
+    (IOPATH B Y (0.120:0.121:0.121) (0.053:0.053:0.053))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4180_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.163:0.163:0.164) (0.169:0.171:0.173))
+    (IOPATH A Y (0.246:0.248:0.250) (0.108:0.109:0.109))
+    (IOPATH B Y (0.171:0.171:0.171) (0.142:0.145:0.148))
+    (IOPATH B Y (0.207:0.210:0.212) (0.108:0.108:0.108))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4181_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.161:0.176:0.192) (0.162:0.166:0.170))
+    (IOPATH B X (0.154:0.154:0.155) (0.170:0.170:0.171))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4182_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.234:0.234:0.234) (0.223:0.223:0.223))
+    (IOPATH B X (0.214:0.214:0.214) (0.203:0.203:0.203))
+    (IOPATH C X (0.203:0.203:0.203) (0.223:0.223:0.223))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4183_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.157:0.157:0.157) (0.184:0.184:0.184))
+    (IOPATH A2 X (0.181:0.181:0.181) (0.240:0.240:0.240))
+    (IOPATH B1 X (0.111:0.111:0.111) (0.166:0.166:0.166))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4184_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.168:0.168:0.168) (0.230:0.230:0.230))
+    (IOPATH A2 X (0.124:0.124:0.124) (0.195:0.195:0.196))
+    (IOPATH B1_N X (0.180:0.180:0.181) (0.202:0.203:0.203))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _4185_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.369:0.369:0.369) (0.279:0.279:0.279))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4186_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.126:0.126:0.126) (0.126:0.126:0.126))
+    (IOPATH B Y (0.109:0.109:0.109) (0.105:0.105:0.105))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _4187_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.248:0.248:0.248) (0.097:0.098:0.098))
+    (IOPATH A X (0.237:0.238:0.239) (0.184:0.184:0.184))
+    (IOPATH B X (0.242:0.248:0.254) (0.103:0.103:0.104))
+    (IOPATH B X (0.250:0.251:0.251) (0.177:0.184:0.190))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _4188_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.167:0.167:0.167) (0.209:0.209:0.210))
+    (IOPATH A2 X (0.174:0.174:0.174) (0.209:0.210:0.211))
+    (IOPATH B1 X (0.202:0.204:0.205) (0.135:0.141:0.146))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_1")
+  (INSTANCE _4189_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.185:0.186:0.186) (0.056:0.057:0.057))
+    (IOPATH B Y (0.186:0.187:0.188) (0.075:0.075:0.075))
+    (IOPATH C Y (0.142:0.146:0.150) (0.075:0.075:0.076))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4190_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.157:0.158:0.159) (0.073:0.073:0.074))
+    (IOPATH B Y (0.136:0.140:0.144) (0.083:0.083:0.083))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4191_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.169:0.181:0.192) (0.163:0.165:0.168))
+    (IOPATH A Y (0.247:0.249:0.251) (0.114:0.129:0.144))
+    (IOPATH B Y (0.180:0.180:0.180) (0.151:0.153:0.155))
+    (IOPATH B Y (0.220:0.222:0.224) (0.117:0.117:0.117))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4192_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.155:0.155:0.156) (0.151:0.152:0.152))
+    (IOPATH A Y (0.227:0.228:0.228) (0.101:0.101:0.102))
+    (IOPATH B Y (0.164:0.176:0.188) (0.158:0.162:0.167))
+    (IOPATH B Y (0.217:0.221:0.225) (0.102:0.117:0.131))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4193_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.186:0.191:0.195) (0.158:0.172:0.186))
+    (IOPATH A Y (0.257:0.270:0.282) (0.128:0.134:0.140))
+    (IOPATH B Y (0.179:0.191:0.202) (0.167:0.170:0.174))
+    (IOPATH B Y (0.250:0.253:0.256) (0.111:0.126:0.141))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _4194_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.281:0.281:0.281) (0.191:0.191:0.191))
+    (IOPATH A2 Y (0.306:0.306:0.306) (0.177:0.177:0.177))
+    (IOPATH B1 Y (0.256:0.256:0.256) (0.150:0.150:0.150))
+    (IOPATH B2 Y (0.284:0.284:0.284) (0.153:0.153:0.153))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4195_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.131:0.131:0.131) (0.119:0.119:0.119))
+    (IOPATH B Y (0.174:0.174:0.174) (0.142:0.142:0.142))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4196_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.257:0.257:0.257) (0.229:0.229:0.229))
+    (IOPATH B X (0.271:0.271:0.271) (0.241:0.241:0.241))
+    (IOPATH C X (0.259:0.259:0.259) (0.274:0.274:0.274))
+    (IOPATH D X (0.250:0.250:0.250) (0.270:0.270:0.270))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _4197_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.269:0.274:0.278) (0.161:0.163:0.164))
+    (IOPATH A2 Y (0.248:0.250:0.252) (0.112:0.114:0.117))
+    (IOPATH B1_N Y (0.166:0.167:0.167) (0.194:0.195:0.195))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4198_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.206:0.206:0.206) (0.226:0.226:0.226))
+    (IOPATH B X (0.203:0.203:0.203) (0.248:0.248:0.248))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4199_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.160:0.165:0.169) (0.082:0.094:0.106))
+    (IOPATH B Y (0.130:0.130:0.130) (0.059:0.059:0.059))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4200_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.131:0.131:0.131) (0.120:0.120:0.120))
+    (IOPATH B Y (0.158:0.158:0.158) (0.133:0.133:0.133))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4201_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.156:0.170:0.183) (0.161:0.167:0.172))
+    (IOPATH B X (0.141:0.141:0.141) (0.161:0.161:0.161))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _4202_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.191:0.191:0.191) (0.204:0.211:0.218))
+    (IOPATH A2 X (0.165:0.166:0.168) (0.211:0.211:0.211))
+    (IOPATH B1_N X (0.248:0.248:0.249) (0.170:0.170:0.171))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4203_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.195:0.195:0.195) (0.161:0.162:0.163))
+    (IOPATH A Y (0.260:0.261:0.261) (0.140:0.140:0.140))
+    (IOPATH B Y (0.184:0.196:0.208) (0.170:0.175:0.180))
+    (IOPATH B Y (0.252:0.257:0.261) (0.116:0.132:0.148))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4204_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.189:0.200:0.212) (0.175:0.178:0.180))
+    (IOPATH A Y (0.286:0.288:0.290) (0.127:0.143:0.158))
+    (IOPATH B Y (0.197:0.210:0.224) (0.182:0.185:0.187))
+    (IOPATH B Y (0.277:0.279:0.281) (0.127:0.147:0.166))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4205_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.155:0.159:0.163) (0.093:0.094:0.096))
+    (IOPATH B Y (0.114:0.115:0.116) (0.054:0.054:0.055))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4206_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.177:0.177:0.177) (0.148:0.156:0.163))
+    (IOPATH A Y (0.240:0.247:0.253) (0.119:0.119:0.119))
+    (IOPATH B Y (0.169:0.172:0.174) (0.171:0.173:0.175))
+    (IOPATH B Y (0.245:0.247:0.249) (0.102:0.104:0.107))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4207_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.128:0.130:0.132) (0.105:0.108:0.111))
+    (IOPATH B Y (0.111:0.112:0.112) (0.114:0.114:0.114))
+    (IOPATH C Y (0.111:0.112:0.112) (0.112:0.112:0.112))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4208_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.147:0.150:0.153) (0.206:0.208:0.210))
+    (IOPATH A2 X (0.149:0.149:0.150) (0.200:0.200:0.200))
+    (IOPATH B1 X (0.123:0.123:0.123) (0.175:0.175:0.175))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4209_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.210:0.210:0.210) (0.206:0.206:0.206))
+    (IOPATH B X (0.205:0.205:0.205) (0.254:0.254:0.254))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4210_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.199:0.199:0.199) (0.255:0.255:0.255))
+    (IOPATH A2 X (0.237:0.237:0.237) (0.292:0.292:0.292))
+    (IOPATH B1 X (0.229:0.229:0.229) (0.247:0.247:0.247))
+    (IOPATH B2 X (0.208:0.208:0.208) (0.248:0.248:0.248))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_1")
+  (INSTANCE _4211_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.144:0.144:0.144) (0.162:0.162:0.162))
+    (IOPATH B Y (0.150:0.150:0.150) (0.170:0.170:0.170))
+    (IOPATH C Y (0.179:0.179:0.179) (0.197:0.197:0.197))
+    (IOPATH D Y (0.178:0.178:0.178) (0.201:0.201:0.201))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4212_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.135:0.135:0.135) (0.182:0.182:0.183))
+    (IOPATH A2 X (0.144:0.144:0.145) (0.212:0.213:0.213))
+    (IOPATH B1_N X (0.239:0.241:0.243) (0.231:0.234:0.236))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4213_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.161:0.161:0.162) (0.199:0.200:0.201))
+    (IOPATH A2 X (0.159:0.159:0.159) (0.206:0.207:0.207))
+    (IOPATH B1 X (0.130:0.130:0.130) (0.184:0.184:0.184))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4214_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.140:0.141:0.143) (0.134:0.134:0.135))
+    (IOPATH B Y (0.141:0.141:0.141) (0.136:0.136:0.136))
+    (IOPATH C Y (0.137:0.137:0.137) (0.138:0.138:0.139))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21boi_1")
+  (INSTANCE _4215_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.235:0.237:0.239) (0.115:0.133:0.151))
+    (IOPATH A2 Y (0.250:0.250:0.250) (0.111:0.111:0.111))
+    (IOPATH B1_N Y (0.278:0.279:0.281) (0.190:0.190:0.190))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4216_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.129:0.136:0.142) (0.077:0.077:0.077))
+    (IOPATH B Y (0.115:0.115:0.116) (0.051:0.051:0.052))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _4217_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.191:0.195:0.198) (0.101:0.101:0.101))
+    (IOPATH A X (0.203:0.203:0.203) (0.167:0.170:0.173))
+    (IOPATH B X (0.197:0.197:0.197) (0.090:0.091:0.093))
+    (IOPATH B X (0.201:0.202:0.203) (0.172:0.172:0.172))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4218_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.153:0.166:0.179) (0.155:0.161:0.166))
+    (IOPATH A Y (0.208:0.213:0.217) (0.105:0.121:0.137))
+    (IOPATH B Y (0.169:0.171:0.173) (0.140:0.151:0.161))
+    (IOPATH B Y (0.179:0.188:0.198) (0.114:0.116:0.118))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4219_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.148:0.149:0.151) (0.241:0.244:0.247))
+    (IOPATH B X (0.121:0.133:0.145) (0.223:0.229:0.235))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _4220_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.181:0.197:0.213) (0.225:0.229:0.233))
+    (IOPATH A2 X (0.180:0.182:0.184) (0.198:0.208:0.218))
+    (IOPATH B1 X (0.155:0.155:0.156) (0.132:0.132:0.132))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4221_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.170:0.182:0.194) (0.165:0.169:0.173))
+    (IOPATH A Y (0.244:0.247:0.251) (0.116:0.132:0.148))
+    (IOPATH B Y (0.161:0.162:0.162) (0.150:0.151:0.152))
+    (IOPATH B Y (0.215:0.216:0.217) (0.097:0.097:0.098))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4222_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.110:0.113:0.116) (0.062:0.072:0.082))
+    (IOPATH B Y (0.085:0.086:0.086) (0.047:0.047:0.047))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _4223_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.176:0.177:0.177) (0.209:0.210:0.210))
+    (IOPATH A2 X (0.164:0.174:0.185) (0.200:0.205:0.210))
+    (IOPATH B1_N X (0.238:0.245:0.251) (0.171:0.171:0.171))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4224_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.188:0.200:0.212) (0.178:0.181:0.185))
+    (IOPATH A Y (0.279:0.282:0.285) (0.129:0.146:0.164))
+    (IOPATH B Y (0.179:0.179:0.179) (0.163:0.163:0.164))
+    (IOPATH B Y (0.249:0.250:0.251) (0.110:0.110:0.110))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4225_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.065:0.068:0.072) (0.080:0.081:0.082))
+    (IOPATH B Y (0.073:0.080:0.086) (0.063:0.073:0.083))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4226_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.110:0.110:0.110) (0.090:0.090:0.090))
+    (IOPATH B Y (0.107:0.112:0.117) (0.087:0.088:0.090))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4227_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.127:0.127:0.128) (0.113:0.115:0.117))
+    (IOPATH B Y (0.119:0.121:0.123) (0.116:0.130:0.145))
+    (IOPATH C Y (0.110:0.110:0.111) (0.112:0.112:0.112))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4228_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.147:0.148:0.150) (0.199:0.199:0.199))
+    (IOPATH A2 X (0.141:0.141:0.141) (0.193:0.193:0.194))
+    (IOPATH B1 X (0.117:0.127:0.137) (0.175:0.177:0.179))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4229_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.146:0.146:0.146) (0.137:0.137:0.137))
+    (IOPATH B Y (0.163:0.163:0.163) (0.152:0.152:0.152))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _4230_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.276:0.276:0.276) (0.171:0.171:0.171))
+    (IOPATH A2 Y (0.281:0.281:0.281) (0.159:0.159:0.159))
+    (IOPATH B1 Y (0.258:0.258:0.258) (0.149:0.149:0.149))
+    (IOPATH B2 Y (0.263:0.263:0.263) (0.142:0.142:0.142))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4231_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.263:0.263:0.263) (0.228:0.228:0.228))
+    (IOPATH B X (0.261:0.261:0.261) (0.243:0.243:0.243))
+    (IOPATH C X (0.269:0.269:0.269) (0.280:0.280:0.280))
+    (IOPATH D X (0.260:0.260:0.260) (0.276:0.276:0.276))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4232_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.150:0.155:0.159) (0.092:0.093:0.094))
+    (IOPATH B Y (0.116:0.117:0.117) (0.058:0.058:0.058))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4233_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.189:0.190:0.191) (0.194:0.195:0.195))
+    (IOPATH A Y (0.305:0.306:0.306) (0.125:0.126:0.127))
+    (IOPATH B Y (0.195:0.195:0.195) (0.160:0.166:0.172))
+    (IOPATH B Y (0.259:0.264:0.269) (0.122:0.122:0.123))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4234_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.124:0.126:0.127) (0.100:0.103:0.106))
+    (IOPATH B Y (0.097:0.097:0.097) (0.096:0.096:0.096))
+    (IOPATH C Y (0.104:0.104:0.104) (0.104:0.104:0.104))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4235_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.237:0.237:0.237) (0.272:0.272:0.272))
+    (IOPATH A2 X (0.233:0.233:0.233) (0.295:0.295:0.295))
+    (IOPATH B1 X (0.164:0.167:0.170) (0.231:0.233:0.235))
+    (IOPATH B2 X (0.161:0.161:0.162) (0.221:0.221:0.221))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4236_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.184:0.184:0.184) (0.163:0.163:0.163))
+    (IOPATH B Y (0.136:0.136:0.136) (0.134:0.134:0.134))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _4237_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.411:0.411:0.411) (0.334:0.334:0.334))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _4238_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.434:0.434:0.434) (0.348:0.348:0.348))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _4239_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.219:0.219:0.219) (0.131:0.131:0.131))
+    (IOPATH A2 Y (0.286:0.286:0.286) (0.153:0.153:0.153))
+    (IOPATH B1 Y (0.230:0.230:0.230) (0.116:0.116:0.116))
+    (IOPATH B2 Y (0.209:0.209:0.209) (0.092:0.092:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4240_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.223:0.223:0.223) (0.178:0.178:0.178))
+    (IOPATH B X (0.252:0.252:0.252) (0.218:0.218:0.218))
+    (IOPATH C X (0.280:0.280:0.280) (0.265:0.265:0.265))
+    (IOPATH D X (0.290:0.290:0.290) (0.289:0.289:0.289))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _4241_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.205:0.212:0.219) (0.105:0.107:0.109))
+    (IOPATH A2 Y (0.181:0.189:0.198) (0.105:0.107:0.110))
+    (IOPATH B1_N Y (0.150:0.150:0.150) (0.176:0.177:0.179))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4242_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.153:0.153:0.154) (0.191:0.193:0.194))
+    (IOPATH A2 X (0.156:0.156:0.157) (0.205:0.205:0.206))
+    (IOPATH B1 X (0.131:0.138:0.146) (0.185:0.186:0.188))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4243_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.119:0.121:0.123) (0.114:0.114:0.115))
+    (IOPATH B Y (0.126:0.127:0.127) (0.121:0.121:0.122))
+    (IOPATH C Y (0.128:0.129:0.130) (0.127:0.136:0.146))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4244_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.164:0.178:0.193) (0.209:0.211:0.213))
+    (IOPATH A2 X (0.151:0.152:0.152) (0.218:0.218:0.219))
+    (IOPATH B1_N X (0.234:0.235:0.237) (0.238:0.240:0.241))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4245_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.118:0.122:0.127) (0.110:0.112:0.113))
+    (IOPATH B Y (0.115:0.115:0.116) (0.110:0.110:0.111))
+    (IOPATH C Y (0.118:0.119:0.119) (0.118:0.119:0.119))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _4246_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.221:0.222:0.222) (0.107:0.108:0.109))
+    (IOPATH A2 Y (0.203:0.208:0.213) (0.120:0.121:0.122))
+    (IOPATH B1_N Y (0.145:0.145:0.145) (0.175:0.176:0.177))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4247_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.258:0.258:0.258) (0.244:0.244:0.244))
+    (IOPATH B X (0.249:0.249:0.249) (0.250:0.250:0.250))
+    (IOPATH C X (0.206:0.215:0.223) (0.214:0.215:0.216))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4248_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.190:0.190:0.190) (0.111:0.111:0.111))
+    (IOPATH A2 Y (0.192:0.192:0.192) (0.113:0.113:0.113))
+    (IOPATH B1 Y (0.124:0.125:0.126) (0.050:0.056:0.061))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4249_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.195:0.195:0.196) (0.083:0.084:0.084))
+    (IOPATH B Y (0.173:0.182:0.191) (0.078:0.080:0.082))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4250_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.128:0.128:0.128) (0.119:0.119:0.119))
+    (IOPATH B Y (0.172:0.172:0.172) (0.141:0.141:0.141))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4251_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.170:0.170:0.170) (0.143:0.143:0.144))
+    (IOPATH A Y (0.206:0.207:0.207) (0.123:0.123:0.123))
+    (IOPATH B Y (0.146:0.149:0.152) (0.157:0.159:0.160))
+    (IOPATH B Y (0.203:0.204:0.206) (0.086:0.089:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4252_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.135:0.136:0.138) (0.182:0.186:0.190))
+    (IOPATH A2 X (0.131:0.131:0.132) (0.186:0.187:0.187))
+    (IOPATH B1 X (0.109:0.109:0.109) (0.168:0.169:0.169))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4253_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.127:0.128:0.128) (0.116:0.118:0.120))
+    (IOPATH B Y (0.124:0.129:0.133) (0.120:0.133:0.147))
+    (IOPATH C Y (0.116:0.116:0.117) (0.116:0.116:0.116))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4254_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.169:0.171:0.173) (0.180:0.180:0.180))
+    (IOPATH B X (0.172:0.174:0.175) (0.201:0.203:0.205))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4255_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.133:0.133:0.134) (0.252:0.252:0.252))
+    (IOPATH B X (0.128:0.128:0.128) (0.225:0.225:0.226))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31oi_2")
+  (INSTANCE _4256_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.211:0.211:0.211) (0.147:0.147:0.147))
+    (IOPATH A2 Y (0.279:0.279:0.279) (0.167:0.167:0.167))
+    (IOPATH A3 Y (0.223:0.224:0.224) (0.137:0.137:0.137))
+    (IOPATH B1 Y (0.187:0.188:0.188) (0.059:0.059:0.060))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _4257_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.221:0.221:0.221) (0.099:0.100:0.101))
+    (IOPATH A X (0.209:0.210:0.210) (0.188:0.188:0.188))
+    (IOPATH B X (0.193:0.193:0.194) (0.095:0.095:0.095))
+    (IOPATH B X (0.212:0.212:0.212) (0.160:0.160:0.161))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _4258_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.139:0.144:0.149) (0.236:0.248:0.261))
+    (IOPATH B_N X (0.172:0.176:0.180) (0.266:0.266:0.267))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4259_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.147:0.147:0.147) (0.141:0.142:0.143))
+    (IOPATH A Y (0.201:0.202:0.202) (0.096:0.096:0.096))
+    (IOPATH B Y (0.149:0.161:0.172) (0.144:0.150:0.156))
+    (IOPATH B Y (0.188:0.193:0.198) (0.089:0.102:0.115))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4260_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.140:0.140:0.140) (0.136:0.136:0.136))
+    (IOPATH B Y (0.156:0.156:0.156) (0.144:0.144:0.144))
+    (IOPATH C Y (0.148:0.151:0.155) (0.150:0.162:0.174))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4261_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.295:0.295:0.295) (0.252:0.252:0.252))
+    (IOPATH B X (0.240:0.240:0.240) (0.209:0.209:0.209))
+    (IOPATH C X (0.242:0.242:0.242) (0.223:0.223:0.223))
+    (IOPATH D X (0.283:0.283:0.283) (0.275:0.275:0.275))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4262_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.142:0.142:0.142) (0.132:0.132:0.132))
+    (IOPATH B Y (0.118:0.118:0.118) (0.096:0.096:0.096))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _4263_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.223:0.223:0.223) (0.145:0.145:0.145))
+    (IOPATH A2 Y (0.196:0.196:0.196) (0.118:0.118:0.118))
+    (IOPATH B1 Y (0.151:0.151:0.151) (0.092:0.092:0.092))
+    (IOPATH B2 Y (0.215:0.215:0.215) (0.113:0.113:0.113))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4264_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.156:0.156:0.157) (0.261:0.262:0.263))
+    (IOPATH B X (0.158:0.160:0.163) (0.246:0.252:0.258))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4265_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.162:0.169:0.177) (0.069:0.070:0.072))
+    (IOPATH B Y (0.150:0.150:0.150) (0.067:0.067:0.067))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_1")
+  (INSTANCE _4266_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.207:0.208:0.208) (0.256:0.256:0.257))
+    (IOPATH A2 X (0.194:0.194:0.194) (0.232:0.233:0.233))
+    (IOPATH B1 X (0.250:0.250:0.250) (0.230:0.230:0.230))
+    (IOPATH C1 X (0.271:0.271:0.271) (0.215:0.215:0.215))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4267_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.124:0.125:0.126) (0.113:0.115:0.117))
+    (IOPATH B Y (0.133:0.135:0.137) (0.129:0.147:0.165))
+    (IOPATH C Y (0.115:0.115:0.116) (0.117:0.117:0.117))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4268_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.145:0.148:0.150) (0.194:0.195:0.196))
+    (IOPATH A2 X (0.144:0.144:0.144) (0.195:0.196:0.196))
+    (IOPATH B1 X (0.126:0.137:0.148) (0.185:0.187:0.189))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _4269_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.262:0.270:0.278) (0.109:0.110:0.111))
+    (IOPATH A X (0.251:0.252:0.253) (0.194:0.202:0.210))
+    (IOPATH B X (0.246:0.246:0.246) (0.109:0.109:0.109))
+    (IOPATH B X (0.257:0.257:0.257) (0.179:0.179:0.179))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _4270_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.144:0.145:0.145) (0.394:0.395:0.396))
+    (IOPATH B X (0.138:0.141:0.144) (0.382:0.388:0.394))
+    (IOPATH C X (0.150:0.152:0.155) (0.335:0.343:0.350))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _4271_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.209:0.209:0.210) (0.116:0.117:0.117))
+    (IOPATH A2 Y (0.198:0.206:0.215) (0.114:0.116:0.119))
+    (IOPATH B1 Y (0.145:0.153:0.161) (0.110:0.112:0.115))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4272_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.188:0.188:0.188) (0.166:0.166:0.166))
+    (IOPATH B Y (0.133:0.133:0.133) (0.130:0.130:0.130))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _4273_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.216:0.216:0.216) (0.113:0.113:0.113))
+    (IOPATH A2 Y (0.284:0.284:0.284) (0.152:0.152:0.152))
+    (IOPATH B1 Y (0.228:0.228:0.228) (0.115:0.115:0.115))
+    (IOPATH B2 Y (0.208:0.208:0.208) (0.103:0.103:0.103))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4274_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.239:0.239:0.239) (0.190:0.190:0.190))
+    (IOPATH B X (0.298:0.298:0.298) (0.279:0.279:0.279))
+    (IOPATH C X (0.289:0.289:0.289) (0.276:0.276:0.276))
+    (IOPATH D X (0.245:0.245:0.245) (0.231:0.231:0.231))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _4275_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.203:0.210:0.217) (0.105:0.107:0.109))
+    (IOPATH A2 Y (0.181:0.188:0.195) (0.104:0.106:0.108))
+    (IOPATH B1_N Y (0.147:0.147:0.148) (0.174:0.175:0.177))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4276_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.164:0.164:0.164) (0.204:0.205:0.205))
+    (IOPATH A2 X (0.190:0.196:0.201) (0.224:0.225:0.227))
+    (IOPATH B1 X (0.146:0.154:0.162) (0.195:0.196:0.197))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4277_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.125:0.125:0.125) (0.112:0.112:0.112))
+    (IOPATH B Y (0.138:0.140:0.142) (0.142:0.149:0.155))
+    (IOPATH C Y (0.129:0.131:0.132) (0.129:0.138:0.147))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4278_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.168:0.170:0.171) (0.186:0.190:0.195))
+    (IOPATH A2 X (0.142:0.142:0.142) (0.209:0.209:0.210))
+    (IOPATH B1_N X (0.219:0.222:0.224) (0.225:0.227:0.229))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4279_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.152:0.157:0.162) (0.142:0.143:0.145))
+    (IOPATH B Y (0.148:0.148:0.148) (0.141:0.142:0.142))
+    (IOPATH C Y (0.141:0.142:0.142) (0.142:0.143:0.143))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _4280_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.088:0.088:0.089) (0.051:0.052:0.052))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211oi_1")
+  (INSTANCE _4281_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.283:0.283:0.283) (0.133:0.133:0.133))
+    (IOPATH A2 Y (0.312:0.312:0.312) (0.137:0.137:0.137))
+    (IOPATH B1 Y (0.233:0.234:0.235) (0.067:0.068:0.068))
+    (IOPATH C1 Y (0.189:0.190:0.190) (0.068:0.068:0.068))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4282_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.134:0.135:0.136) (0.063:0.063:0.064))
+    (IOPATH B Y (0.114:0.124:0.134) (0.075:0.077:0.079))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4283_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.152:0.154:0.156) (0.197:0.202:0.207))
+    (IOPATH A2 X (0.149:0.149:0.149) (0.200:0.200:0.201))
+    (IOPATH B1 X (0.118:0.118:0.118) (0.176:0.176:0.177))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4284_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.266:0.268:0.270) (0.234:0.234:0.235))
+    (IOPATH B X (0.263:0.263:0.264) (0.212:0.217:0.221))
+    (IOPATH C X (0.257:0.257:0.257) (0.235:0.235:0.236))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4285_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.142:0.144:0.146) (0.190:0.190:0.190))
+    (IOPATH A2 X (0.135:0.135:0.136) (0.189:0.190:0.190))
+    (IOPATH B1 X (0.113:0.123:0.132) (0.171:0.175:0.179))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211ai_1")
+  (INSTANCE _4286_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.255:0.255:0.256) (0.136:0.136:0.136))
+    (IOPATH A2 Y (0.260:0.261:0.262) (0.148:0.148:0.148))
+    (IOPATH B1 Y (0.164:0.166:0.169) (0.144:0.145:0.147))
+    (IOPATH C1 Y (0.129:0.129:0.130) (0.127:0.127:0.127))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211o_1")
+  (INSTANCE _4287_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.152:0.154:0.156) (0.291:0.293:0.295))
+    (IOPATH A2 X (0.142:0.142:0.143) (0.306:0.306:0.307))
+    (IOPATH B1 X (0.115:0.115:0.115) (0.279:0.279:0.280))
+    (IOPATH C1 X (0.143:0.143:0.143) (0.256:0.256:0.257))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4288_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.119:0.121:0.122) (0.123:0.124:0.125))
+    (IOPATH B Y (0.157:0.160:0.162) (0.146:0.160:0.175))
+    (IOPATH C Y (0.131:0.132:0.132) (0.126:0.126:0.127))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4289_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.154:0.166:0.177) (0.195:0.197:0.199))
+    (IOPATH A2 X (0.136:0.136:0.137) (0.196:0.197:0.197))
+    (IOPATH B1 X (0.114:0.115:0.115) (0.173:0.174:0.175))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4290_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.132:0.134:0.136) (0.121:0.123:0.125))
+    (IOPATH B Y (0.128:0.133:0.138) (0.162:0.164:0.166))
+    (IOPATH C Y (0.126:0.126:0.126) (0.129:0.129:0.129))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4291_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.166:0.168:0.170) (0.209:0.211:0.213))
+    (IOPATH A2 X (0.170:0.170:0.170) (0.214:0.214:0.214))
+    (IOPATH B1 X (0.161:0.162:0.163) (0.189:0.194:0.198))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _4292_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.233:0.233:0.233) (0.151:0.151:0.151))
+    (IOPATH A2 Y (0.207:0.207:0.207) (0.105:0.105:0.105))
+    (IOPATH B1 Y (0.202:0.202:0.202) (0.126:0.126:0.126))
+    (IOPATH B2 Y (0.221:0.221:0.221) (0.118:0.118:0.118))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4293_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.213:0.213:0.213) (0.199:0.199:0.199))
+    (IOPATH B X (0.252:0.252:0.252) (0.271:0.271:0.271))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4294_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.309:0.309:0.309) (0.269:0.269:0.269))
+    (IOPATH B X (0.283:0.283:0.283) (0.239:0.239:0.239))
+    (IOPATH C X (0.268:0.268:0.268) (0.244:0.245:0.245))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4295_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.218:0.229:0.239) (0.107:0.108:0.110))
+    (IOPATH B Y (0.212:0.213:0.213) (0.104:0.105:0.105))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _4296_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.141:0.141:0.142) (0.391:0.392:0.393))
+    (IOPATH B X (0.138:0.141:0.143) (0.380:0.386:0.393))
+    (IOPATH C X (0.148:0.150:0.153) (0.336:0.342:0.348))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _4297_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.180:0.181:0.182) (0.103:0.103:0.103))
+    (IOPATH A2 Y (0.173:0.179:0.186) (0.101:0.103:0.106))
+    (IOPATH B1 Y (0.125:0.134:0.142) (0.099:0.102:0.104))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4298_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.109:0.109:0.109) (0.112:0.112:0.112))
+    (IOPATH B Y (0.175:0.175:0.175) (0.140:0.140:0.140))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _4299_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.224:0.224:0.224) (0.147:0.147:0.147))
+    (IOPATH A2 Y (0.227:0.227:0.227) (0.120:0.120:0.120))
+    (IOPATH B1 Y (0.203:0.203:0.203) (0.103:0.103:0.103))
+    (IOPATH B2 Y (0.209:0.209:0.209) (0.108:0.108:0.108))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4300_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.284:0.284:0.284) (0.246:0.246:0.246))
+    (IOPATH B X (0.290:0.290:0.290) (0.275:0.275:0.275))
+    (IOPATH C X (0.257:0.257:0.257) (0.232:0.232:0.232))
+    (IOPATH D X (0.264:0.264:0.264) (0.248:0.248:0.248))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _4301_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.200:0.203:0.205) (0.097:0.100:0.104))
+    (IOPATH A2 Y (0.179:0.185:0.191) (0.101:0.103:0.105))
+    (IOPATH B1_N Y (0.144:0.144:0.144) (0.173:0.174:0.175))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4302_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.159:0.159:0.159) (0.201:0.201:0.201))
+    (IOPATH A2 X (0.179:0.184:0.189) (0.216:0.217:0.219))
+    (IOPATH B1 X (0.142:0.149:0.157) (0.192:0.193:0.195))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4303_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.122:0.122:0.123) (0.109:0.109:0.109))
+    (IOPATH B Y (0.129:0.132:0.134) (0.133:0.138:0.143))
+    (IOPATH C Y (0.127:0.128:0.130) (0.126:0.136:0.145))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4304_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.194:0.194:0.194) (0.210:0.211:0.211))
+    (IOPATH A2 X (0.168:0.168:0.169) (0.229:0.230:0.230))
+    (IOPATH B1_N X (0.246:0.248:0.249) (0.246:0.248:0.250))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4305_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.136:0.140:0.144) (0.125:0.127:0.129))
+    (IOPATH B Y (0.133:0.133:0.133) (0.130:0.130:0.130))
+    (IOPATH C Y (0.131:0.132:0.132) (0.133:0.133:0.133))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4306_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.180:0.182:0.184) (0.180:0.184:0.188))
+    (IOPATH B X (0.183:0.183:0.183) (0.181:0.182:0.182))
+    (IOPATH C X (0.187:0.187:0.187) (0.199:0.199:0.200))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4307_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.195:0.199:0.202) (0.092:0.094:0.096))
+    (IOPATH A2 Y (0.196:0.196:0.197) (0.093:0.093:0.093))
+    (IOPATH B1 Y (0.172:0.172:0.173) (0.067:0.067:0.067))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3b_1")
+  (INSTANCE _4308_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.143:0.143:0.143) (0.406:0.407:0.407))
+    (IOPATH B X (0.164:0.166:0.168) (0.383:0.386:0.389))
+    (IOPATH C_N X (0.209:0.210:0.210) (0.401:0.401:0.402))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4309_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.256:0.256:0.256) (0.119:0.121:0.123))
+    (IOPATH A2 Y (0.243:0.243:0.244) (0.104:0.104:0.105))
+    (IOPATH B1 Y (0.212:0.215:0.219) (0.083:0.083:0.083))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211oi_2")
+  (INSTANCE _4310_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.338:0.339:0.339) (0.112:0.114:0.116))
+    (IOPATH A2 Y (0.367:0.368:0.368) (0.106:0.106:0.106))
+    (IOPATH B1 Y (0.336:0.341:0.347) (0.107:0.109:0.111))
+    (IOPATH C1 Y (0.296:0.297:0.297) (0.087:0.087:0.087))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4311_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.159:0.161:0.163) (0.205:0.209:0.212))
+    (IOPATH A2 X (0.149:0.149:0.150) (0.200:0.200:0.200))
+    (IOPATH B1 X (0.160:0.161:0.161) (0.185:0.188:0.192))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_1")
+  (INSTANCE _4312_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.220:0.220:0.220) (0.264:0.265:0.265))
+    (IOPATH A2 X (0.207:0.208:0.210) (0.237:0.243:0.249))
+    (IOPATH B1 X (0.190:0.191:0.191) (0.170:0.171:0.171))
+    (IOPATH C1 X (0.193:0.194:0.196) (0.162:0.162:0.162))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4313_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.130:0.132:0.133) (0.120:0.122:0.123))
+    (IOPATH B Y (0.132:0.132:0.133) (0.158:0.158:0.158))
+    (IOPATH C Y (0.124:0.125:0.125) (0.127:0.127:0.128))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4314_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.156:0.158:0.160) (0.201:0.202:0.203))
+    (IOPATH A2 X (0.159:0.159:0.159) (0.206:0.206:0.206))
+    (IOPATH B1 X (0.149:0.149:0.149) (0.186:0.186:0.187))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _4315_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.137:0.137:0.138) (0.390:0.391:0.391))
+    (IOPATH B X (0.128:0.132:0.137) (0.377:0.379:0.382))
+    (IOPATH C X (0.145:0.147:0.150) (0.334:0.339:0.344))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _4316_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.186:0.187:0.188) (0.103:0.103:0.104))
+    (IOPATH A2 Y (0.178:0.184:0.190) (0.102:0.104:0.106))
+    (IOPATH B1 Y (0.127:0.130:0.133) (0.092:0.097:0.101))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4317_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.165:0.165:0.165) (0.155:0.155:0.155))
+    (IOPATH B Y (0.148:0.148:0.148) (0.141:0.141:0.141))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _4318_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.436:0.436:0.436) (0.333:0.333:0.333))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _4319_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.265:0.265:0.265) (0.156:0.156:0.156))
+    (IOPATH A2 Y (0.272:0.272:0.272) (0.162:0.162:0.162))
+    (IOPATH B1 Y (0.225:0.225:0.225) (0.112:0.112:0.112))
+    (IOPATH B2 Y (0.252:0.252:0.252) (0.116:0.116:0.116))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4320_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.279:0.279:0.279) (0.244:0.244:0.244))
+    (IOPATH B X (0.288:0.288:0.288) (0.282:0.282:0.282))
+    (IOPATH C X (0.290:0.290:0.290) (0.275:0.275:0.275))
+    (IOPATH D X (0.264:0.264:0.264) (0.274:0.274:0.274))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _4321_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.256:0.263:0.270) (0.121:0.123:0.124))
+    (IOPATH A2 Y (0.239:0.242:0.245) (0.125:0.127:0.130))
+    (IOPATH B1_N Y (0.168:0.168:0.168) (0.192:0.193:0.194))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4322_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.147:0.148:0.148) (0.194:0.194:0.194))
+    (IOPATH A2 X (0.170:0.175:0.179) (0.209:0.211:0.212))
+    (IOPATH B1 X (0.139:0.148:0.156) (0.194:0.196:0.197))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4323_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.127:0.127:0.127) (0.113:0.113:0.113))
+    (IOPATH B Y (0.135:0.137:0.139) (0.139:0.144:0.150))
+    (IOPATH C Y (0.142:0.144:0.145) (0.139:0.152:0.164))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4324_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.167:0.167:0.167) (0.203:0.203:0.204))
+    (IOPATH A2 X (0.153:0.154:0.154) (0.219:0.219:0.219))
+    (IOPATH B1_N X (0.238:0.241:0.243) (0.240:0.242:0.243))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_2")
+  (INSTANCE _4325_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.156:0.159:0.162) (0.156:0.157:0.159))
+    (IOPATH B Y (0.147:0.148:0.148) (0.161:0.161:0.161))
+    (IOPATH C Y (0.145:0.146:0.146) (0.162:0.162:0.162))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4326_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.181:0.181:0.182) (0.074:0.074:0.074))
+    (IOPATH B Y (0.167:0.170:0.173) (0.091:0.093:0.095))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _4327_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.186:0.186:0.186) (0.193:0.193:0.194))
+    (IOPATH A Y (0.285:0.285:0.286) (0.138:0.138:0.139))
+    (IOPATH B Y (0.183:0.183:0.183) (0.171:0.174:0.177))
+    (IOPATH B Y (0.245:0.248:0.251) (0.131:0.131:0.131))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o22ai_1")
+  (INSTANCE _4328_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.254:0.257:0.261) (0.182:0.184:0.187))
+    (IOPATH A2 Y (0.234:0.235:0.237) (0.114:0.114:0.114))
+    (IOPATH B1 Y (0.253:0.253:0.254) (0.135:0.137:0.138))
+    (IOPATH B2 Y (0.212:0.215:0.218) (0.110:0.134:0.157))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4329_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.186:0.188:0.190) (0.220:0.223:0.226))
+    (IOPATH A2 X (0.184:0.184:0.184) (0.223:0.223:0.223))
+    (IOPATH B1 X (0.155:0.155:0.156) (0.200:0.200:0.201))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4330_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.093:0.093:0.094) (0.105:0.105:0.105))
+    (IOPATH B Y (0.123:0.126:0.128) (0.103:0.105:0.106))
+    (IOPATH C Y (0.098:0.098:0.098) (0.098:0.099:0.099))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4331_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.138:0.140:0.142) (0.189:0.192:0.194))
+    (IOPATH A2 X (0.134:0.135:0.135) (0.189:0.189:0.189))
+    (IOPATH B1 X (0.118:0.118:0.118) (0.172:0.173:0.173))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _4332_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.126:0.126:0.127) (0.379:0.379:0.380))
+    (IOPATH B X (0.124:0.126:0.127) (0.372:0.379:0.385))
+    (IOPATH C X (0.139:0.141:0.144) (0.332:0.334:0.337))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _4333_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.163:0.164:0.165) (0.092:0.092:0.093))
+    (IOPATH A2 Y (0.166:0.169:0.172) (0.094:0.096:0.098))
+    (IOPATH B1 Y (0.119:0.127:0.136) (0.088:0.090:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4334_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.367:0.367:0.367) (0.256:0.256:0.256))
+    (IOPATH B X (0.329:0.329:0.329) (0.267:0.267:0.267))
+    (IOPATH C X (0.381:0.381:0.381) (0.352:0.352:0.352))
+    (IOPATH D X (0.381:0.381:0.381) (0.340:0.340:0.340))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4335_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.208:0.209:0.209) (0.193:0.193:0.193))
+    (IOPATH B X (0.231:0.236:0.240) (0.207:0.208:0.210))
+    (IOPATH C X (0.255:0.255:0.256) (0.245:0.246:0.247))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4336_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.231:0.233:0.235) (0.199:0.202:0.204))
+    (IOPATH B X (0.232:0.232:0.233) (0.207:0.207:0.208))
+    (IOPATH C X (0.255:0.255:0.255) (0.236:0.237:0.238))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_2")
+  (INSTANCE _4337_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.157:0.157:0.158) (0.142:0.143:0.144))
+    (IOPATH B Y (0.134:0.134:0.135) (0.149:0.149:0.149))
+    (IOPATH C Y (0.134:0.135:0.136) (0.151:0.151:0.151))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4338_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.189:0.192:0.194) (0.081:0.101:0.122))
+    (IOPATH B Y (0.188:0.189:0.190) (0.079:0.079:0.080))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor4_1")
+  (INSTANCE _4339_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.278:0.281:0.285) (0.106:0.107:0.109))
+    (IOPATH B Y (0.261:0.262:0.263) (0.059:0.059:0.060))
+    (IOPATH C Y (0.264:0.264:0.264) (0.069:0.069:0.070))
+    (IOPATH D Y (0.183:0.185:0.187) (0.055:0.067:0.078))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4340_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.186:0.187:0.187) (0.193:0.199:0.206))
+    (IOPATH A2 X (0.178:0.178:0.178) (0.202:0.208:0.215))
+    (IOPATH B1 X (0.150:0.151:0.151) (0.172:0.181:0.190))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4341_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.124:0.128:0.131) (0.111:0.113:0.115))
+    (IOPATH B Y (0.109:0.110:0.110) (0.104:0.105:0.105))
+    (IOPATH C Y (0.117:0.121:0.126) (0.156:0.158:0.160))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4342_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.182:0.182:0.182) (0.216:0.216:0.217))
+    (IOPATH A2 X (0.184:0.184:0.184) (0.239:0.240:0.240))
+    (IOPATH B1_N X (0.260:0.265:0.270) (0.255:0.256:0.258))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4343_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.168:0.173:0.177) (0.145:0.161:0.177))
+    (IOPATH A Y (0.221:0.235:0.249) (0.116:0.121:0.127))
+    (IOPATH B Y (0.177:0.179:0.180) (0.147:0.151:0.155))
+    (IOPATH B Y (0.207:0.210:0.213) (0.117:0.119:0.120))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4344_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.183:0.196:0.210) (0.186:0.189:0.191))
+    (IOPATH B X (0.176:0.178:0.179) (0.208:0.212:0.216))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4345_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.188:0.199:0.211) (0.173:0.177:0.181))
+    (IOPATH A Y (0.286:0.289:0.292) (0.125:0.140:0.155))
+    (IOPATH B Y (0.187:0.187:0.188) (0.166:0.167:0.167))
+    (IOPATH B Y (0.264:0.264:0.264) (0.114:0.114:0.115))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4346_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.184:0.184:0.184) (0.082:0.082:0.082))
+    (IOPATH A2 Y (0.201:0.201:0.201) (0.086:0.086:0.086))
+    (IOPATH B1 Y (0.173:0.176:0.179) (0.062:0.073:0.085))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _4347_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.213:0.213:0.213) (0.199:0.199:0.200))
+    (IOPATH B X (0.154:0.166:0.178) (0.176:0.180:0.183))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211o_1")
+  (INSTANCE _4348_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.202:0.202:0.202) (0.317:0.317:0.318))
+    (IOPATH A2 X (0.204:0.221:0.237) (0.356:0.360:0.363))
+    (IOPATH B1 X (0.188:0.189:0.191) (0.317:0.321:0.324))
+    (IOPATH C1 X (0.158:0.159:0.159) (0.277:0.277:0.277))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4349_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.161:0.179:0.197) (0.155:0.160:0.166))
+    (IOPATH B X (0.167:0.169:0.171) (0.200:0.201:0.202))
+    (IOPATH C X (0.164:0.164:0.164) (0.192:0.192:0.193))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4350_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.159:0.160:0.160) (0.082:0.084:0.085))
+    (IOPATH A2 Y (0.156:0.157:0.157) (0.082:0.082:0.082))
+    (IOPATH B1 Y (0.128:0.133:0.137) (0.056:0.063:0.070))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4351_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.184:0.185:0.185) (0.287:0.287:0.288))
+    (IOPATH B X (0.198:0.200:0.203) (0.273:0.278:0.283))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _4352_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.421:0.421:0.421) (0.341:0.341:0.341))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _4353_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.425:0.425:0.425) (0.357:0.357:0.357))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _4354_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.411:0.411:0.411) (0.336:0.336:0.336))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _4355_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.414:0.414:0.414) (0.286:0.286:0.286))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _4356_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.330:0.330:0.330) (0.282:0.282:0.282))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4357_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.238:0.238:0.238) (0.276:0.276:0.276))
+    (IOPATH A2 X (0.188:0.188:0.188) (0.257:0.257:0.257))
+    (IOPATH B1 X (0.181:0.181:0.181) (0.211:0.211:0.211))
+    (IOPATH B2 X (0.192:0.192:0.192) (0.258:0.258:0.258))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _4358_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.408:0.408:0.408) (0.291:0.291:0.291))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4359_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.291:0.291:0.291) (0.235:0.235:0.235))
+    (IOPATH B X (0.292:0.292:0.292) (0.258:0.258:0.258))
+    (IOPATH C X (0.245:0.245:0.245) (0.225:0.225:0.225))
+    (IOPATH D X (0.251:0.251:0.251) (0.240:0.240:0.240))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _4360_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.242:0.242:0.242) (0.266:0.266:0.266))
+    (IOPATH A2 X (0.215:0.215:0.215) (0.261:0.261:0.261))
+    (IOPATH A3 X (0.195:0.195:0.196) (0.248:0.249:0.249))
+    (IOPATH B1 X (0.155:0.156:0.156) (0.217:0.218:0.219))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4361_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.122:0.122:0.122) (0.112:0.112:0.112))
+    (IOPATH B Y (0.131:0.131:0.131) (0.110:0.110:0.110))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4362_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.147:0.147:0.148) (0.143:0.144:0.144))
+    (IOPATH A Y (0.198:0.199:0.199) (0.097:0.097:0.098))
+    (IOPATH B Y (0.137:0.138:0.138) (0.144:0.147:0.151))
+    (IOPATH B Y (0.183:0.186:0.189) (0.079:0.079:0.080))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4363_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.208:0.208:0.208) (0.193:0.193:0.193))
+    (IOPATH B X (0.230:0.230:0.230) (0.241:0.241:0.241))
+    (IOPATH C X (0.180:0.190:0.200) (0.191:0.193:0.196))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _4364_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.236:0.236:0.236) (0.266:0.266:0.266))
+    (IOPATH A2 X (0.232:0.232:0.232) (0.282:0.282:0.282))
+    (IOPATH A3 X (0.197:0.197:0.198) (0.251:0.251:0.252))
+    (IOPATH B1 X (0.144:0.144:0.144) (0.212:0.212:0.213))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _4365_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.332:0.332:0.332) (0.283:0.283:0.283))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _4366_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.374:0.374:0.374) (0.305:0.305:0.305))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4367_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.272:0.272:0.272) (0.206:0.206:0.206))
+    (IOPATH B X (0.283:0.283:0.283) (0.256:0.256:0.256))
+    (IOPATH C X (0.284:0.284:0.284) (0.276:0.276:0.276))
+    (IOPATH D X (0.283:0.283:0.283) (0.289:0.289:0.289))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _4368_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.401:0.401:0.401) (0.333:0.333:0.333))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _4369_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.173:0.173:0.173) (0.119:0.119:0.119))
+    (IOPATH A2 Y (0.209:0.209:0.209) (0.127:0.127:0.127))
+    (IOPATH B1 Y (0.174:0.174:0.174) (0.096:0.096:0.096))
+    (IOPATH B2 Y (0.152:0.152:0.152) (0.084:0.084:0.084))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4370_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.148:0.148:0.148) (0.254:0.255:0.256))
+    (IOPATH B X (0.147:0.149:0.150) (0.237:0.243:0.249))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4371_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.200:0.200:0.200) (0.182:0.182:0.182))
+    (IOPATH B X (0.221:0.221:0.221) (0.247:0.247:0.247))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _4372_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.309:0.309:0.309) (0.263:0.263:0.263))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _4373_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.358:0.358:0.358) (0.282:0.282:0.282))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4374_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.228:0.228:0.228) (0.261:0.261:0.261))
+    (IOPATH A2 X (0.238:0.238:0.238) (0.293:0.293:0.293))
+    (IOPATH B1 X (0.220:0.220:0.220) (0.256:0.256:0.256))
+    (IOPATH B2 X (0.216:0.216:0.216) (0.273:0.273:0.273))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_1")
+  (INSTANCE _4375_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.139:0.139:0.139) (0.177:0.177:0.177))
+    (IOPATH B Y (0.181:0.181:0.181) (0.187:0.187:0.187))
+    (IOPATH C Y (0.174:0.174:0.174) (0.192:0.192:0.192))
+    (IOPATH D Y (0.183:0.183:0.183) (0.185:0.185:0.185))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4376_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.165:0.165:0.165) (0.204:0.204:0.204))
+    (IOPATH A2 X (0.165:0.165:0.165) (0.230:0.230:0.230))
+    (IOPATH B1_N X (0.258:0.260:0.262) (0.246:0.249:0.252))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _4377_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.127:0.127:0.127) (0.247:0.248:0.248))
+    (IOPATH B_N X (0.180:0.181:0.181) (0.255:0.255:0.255))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4378_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.130:0.130:0.130) (0.110:0.110:0.110))
+    (IOPATH B Y (0.130:0.130:0.130) (0.107:0.107:0.107))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _4379_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.211:0.212:0.213) (0.201:0.201:0.202))
+    (IOPATH B X (0.146:0.147:0.148) (0.172:0.172:0.172))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4380_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.170:0.170:0.170) (0.168:0.172:0.176))
+    (IOPATH A Y (0.262:0.265:0.269) (0.111:0.111:0.111))
+    (IOPATH B Y (0.167:0.167:0.167) (0.156:0.156:0.156))
+    (IOPATH B Y (0.235:0.235:0.235) (0.100:0.100:0.100))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4381_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.156:0.156:0.156) (0.157:0.158:0.158))
+    (IOPATH A Y (0.232:0.232:0.232) (0.102:0.102:0.102))
+    (IOPATH B Y (0.160:0.160:0.160) (0.153:0.153:0.154))
+    (IOPATH B Y (0.212:0.212:0.213) (0.098:0.098:0.098))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4382_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.088:0.091:0.093) (0.082:0.095:0.107))
+    (IOPATH B Y (0.091:0.093:0.095) (0.078:0.090:0.102))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4383_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.266:0.266:0.266) (0.235:0.235:0.235))
+    (IOPATH B X (0.264:0.264:0.264) (0.254:0.254:0.254))
+    (IOPATH C X (0.218:0.218:0.218) (0.220:0.220:0.220))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4384_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.211:0.211:0.211) (0.256:0.256:0.256))
+    (IOPATH A2 X (0.180:0.180:0.180) (0.267:0.267:0.267))
+    (IOPATH B1 X (0.149:0.149:0.149) (0.187:0.187:0.187))
+    (IOPATH B2 X (0.186:0.186:0.186) (0.248:0.248:0.248))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4385_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.169:0.169:0.169) (0.206:0.206:0.206))
+    (IOPATH A2 X (0.148:0.149:0.149) (0.214:0.214:0.215))
+    (IOPATH B1_N X (0.199:0.200:0.200) (0.213:0.215:0.216))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4386_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.148:0.148:0.148) (0.137:0.137:0.137))
+    (IOPATH B Y (0.125:0.125:0.125) (0.120:0.120:0.120))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _4387_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.225:0.225:0.225) (0.096:0.096:0.097))
+    (IOPATH A X (0.216:0.216:0.216) (0.182:0.182:0.183))
+    (IOPATH B X (0.217:0.222:0.228) (0.097:0.099:0.100))
+    (IOPATH B X (0.224:0.225:0.226) (0.174:0.180:0.185))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _4388_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.418:0.418:0.418) (0.342:0.342:0.342))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4389_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.068:0.068:0.068) (0.064:0.064:0.064))
+    (IOPATH B Y (0.119:0.119:0.119) (0.083:0.083:0.083))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4390_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.221:0.221:0.221) (0.187:0.187:0.187))
+    (IOPATH B X (0.245:0.245:0.245) (0.251:0.251:0.251))
+    (IOPATH C X (0.184:0.188:0.191) (0.201:0.203:0.205))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4391_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.181:0.182:0.183) (0.152:0.156:0.160))
+    (IOPATH A Y (0.228:0.232:0.236) (0.132:0.133:0.135))
+    (IOPATH B Y (0.159:0.159:0.160) (0.148:0.149:0.150))
+    (IOPATH B Y (0.209:0.209:0.210) (0.096:0.096:0.097))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4392_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.155:0.155:0.156) (0.191:0.192:0.192))
+    (IOPATH A2 X (0.158:0.159:0.159) (0.209:0.215:0.222))
+    (IOPATH B1 X (0.139:0.148:0.158) (0.191:0.195:0.199))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _4393_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.402:0.402:0.402) (0.330:0.330:0.330))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4394_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.186:0.186:0.186) (0.110:0.110:0.110))
+    (IOPATH A2 Y (0.193:0.193:0.193) (0.112:0.112:0.112))
+    (IOPATH B1 Y (0.114:0.116:0.118) (0.045:0.052:0.059))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4395_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.133:0.134:0.135) (0.060:0.061:0.061))
+    (IOPATH B Y (0.115:0.124:0.133) (0.061:0.063:0.065))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _4396_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.053:0.054:0.055) (0.052:0.052:0.052))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4397_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.073:0.077:0.081) (0.075:0.086:0.097))
+    (IOPATH B Y (0.084:0.084:0.084) (0.073:0.073:0.073))
+    (IOPATH C Y (0.079:0.086:0.094) (0.075:0.076:0.076))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4398_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.098:0.098:0.099) (0.091:0.091:0.091))
+    (IOPATH B Y (0.099:0.105:0.112) (0.078:0.080:0.081))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4399_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.103:0.103:0.103) (0.229:0.229:0.229))
+    (IOPATH B X (0.116:0.117:0.118) (0.221:0.222:0.223))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4400_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.177:0.178:0.180) (0.150:0.154:0.159))
+    (IOPATH B X (0.151:0.152:0.152) (0.168:0.168:0.169))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _4401_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.353:0.353:0.353) (0.292:0.292:0.292))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _4402_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.417:0.417:0.417) (0.349:0.349:0.349))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _4403_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.459:0.459:0.459) (0.361:0.361:0.361))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4404_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.229:0.229:0.229) (0.222:0.222:0.222))
+    (IOPATH B X (0.215:0.215:0.215) (0.205:0.205:0.205))
+    (IOPATH C X (0.226:0.226:0.226) (0.268:0.268:0.268))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4405_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.222:0.222:0.222) (0.277:0.277:0.277))
+    (IOPATH A2 X (0.204:0.204:0.204) (0.269:0.269:0.269))
+    (IOPATH B1 X (0.207:0.207:0.207) (0.258:0.258:0.258))
+    (IOPATH B2 X (0.178:0.178:0.178) (0.227:0.227:0.227))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4406_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.150:0.150:0.150) (0.192:0.192:0.192))
+    (IOPATH A2 X (0.124:0.124:0.124) (0.195:0.196:0.196))
+    (IOPATH B1_N X (0.187:0.188:0.188) (0.210:0.210:0.211))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4407_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.131:0.131:0.131) (0.111:0.111:0.111))
+    (IOPATH B Y (0.104:0.104:0.104) (0.098:0.098:0.098))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _4408_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.195:0.195:0.196) (0.080:0.081:0.081))
+    (IOPATH A X (0.185:0.185:0.186) (0.170:0.170:0.171))
+    (IOPATH B X (0.186:0.191:0.196) (0.082:0.084:0.086))
+    (IOPATH B X (0.196:0.197:0.198) (0.161:0.166:0.171))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _4409_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.162:0.163:0.164) (0.095:0.095:0.095))
+    (IOPATH A2 Y (0.144:0.144:0.144) (0.072:0.072:0.073))
+    (IOPATH B1 Y (0.094:0.097:0.100) (0.106:0.108:0.109))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _4410_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.153:0.154:0.156) (0.385:0.387:0.390))
+    (IOPATH B X (0.136:0.136:0.136) (0.364:0.365:0.366))
+    (IOPATH C X (0.119:0.120:0.120) (0.323:0.323:0.323))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4411_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.149:0.154:0.160) (0.144:0.151:0.157))
+    (IOPATH B X (0.139:0.139:0.139) (0.177:0.177:0.177))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o2bb2ai_1")
+  (INSTANCE _4412_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1_N Y (0.165:0.165:0.165) (0.161:0.161:0.161))
+    (IOPATH A2_N Y (0.143:0.143:0.144) (0.139:0.140:0.141))
+    (IOPATH B1 Y (0.189:0.189:0.190) (0.094:0.094:0.094))
+    (IOPATH B2 Y (0.185:0.190:0.195) (0.085:0.087:0.088))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4413_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.195:0.195:0.195) (0.215:0.215:0.215))
+    (IOPATH B X (0.175:0.175:0.175) (0.189:0.189:0.189))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4414_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.145:0.147:0.149) (0.068:0.078:0.087))
+    (IOPATH B Y (0.125:0.125:0.125) (0.054:0.054:0.055))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4415_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.146:0.157:0.167) (0.148:0.150:0.153))
+    (IOPATH B X (0.140:0.141:0.141) (0.161:0.161:0.161))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4416_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.137:0.141:0.145) (0.080:0.080:0.080))
+    (IOPATH B Y (0.125:0.125:0.126) (0.055:0.055:0.055))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4417_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.166:0.166:0.166) (0.146:0.146:0.146))
+    (IOPATH B Y (0.154:0.154:0.154) (0.133:0.133:0.133))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4418_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.171:0.171:0.171) (0.144:0.147:0.151))
+    (IOPATH A Y (0.224:0.227:0.230) (0.117:0.117:0.117))
+    (IOPATH B Y (0.164:0.165:0.166) (0.166:0.172:0.177))
+    (IOPATH B Y (0.228:0.233:0.238) (0.100:0.101:0.102))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4419_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.138:0.138:0.138) (0.135:0.136:0.136))
+    (IOPATH A Y (0.193:0.193:0.194) (0.087:0.087:0.087))
+    (IOPATH B Y (0.148:0.159:0.171) (0.145:0.147:0.149))
+    (IOPATH B Y (0.186:0.188:0.190) (0.089:0.102:0.115))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4420_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.213:0.214:0.214) (0.103:0.103:0.103))
+    (IOPATH A2 Y (0.229:0.229:0.229) (0.093:0.093:0.093))
+    (IOPATH B1 Y (0.204:0.206:0.209) (0.069:0.081:0.094))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4421_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.140:0.154:0.168) (0.138:0.141:0.145))
+    (IOPATH B X (0.151:0.151:0.151) (0.160:0.161:0.161))
+    (IOPATH C X (0.144:0.144:0.144) (0.173:0.173:0.173))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4422_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.168:0.170:0.172) (0.254:0.259:0.263))
+    (IOPATH B X (0.123:0.123:0.123) (0.228:0.228:0.229))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4423_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.161:0.162:0.163) (0.154:0.154:0.155))
+    (IOPATH A Y (0.231:0.231:0.232) (0.107:0.107:0.108))
+    (IOPATH B Y (0.157:0.157:0.157) (0.156:0.156:0.156))
+    (IOPATH B Y (0.216:0.216:0.217) (0.094:0.094:0.094))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4424_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.244:0.244:0.244) (0.281:0.281:0.281))
+    (IOPATH A2 X (0.200:0.200:0.200) (0.266:0.266:0.266))
+    (IOPATH B1 X (0.173:0.173:0.173) (0.215:0.215:0.215))
+    (IOPATH B2 X (0.198:0.198:0.198) (0.262:0.262:0.262))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4425_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.235:0.235:0.235) (0.213:0.213:0.213))
+    (IOPATH B X (0.243:0.243:0.243) (0.246:0.246:0.246))
+    (IOPATH C X (0.217:0.217:0.217) (0.208:0.208:0.208))
+    (IOPATH D X (0.207:0.207:0.207) (0.218:0.218:0.218))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _4426_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.210:0.210:0.210) (0.246:0.246:0.246))
+    (IOPATH A2 X (0.214:0.214:0.214) (0.274:0.274:0.274))
+    (IOPATH A3 X (0.165:0.166:0.166) (0.229:0.230:0.230))
+    (IOPATH B1 X (0.113:0.114:0.114) (0.191:0.192:0.193))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4427_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.198:0.198:0.198) (0.202:0.202:0.202))
+    (IOPATH B X (0.196:0.196:0.196) (0.232:0.232:0.232))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4428_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.146:0.146:0.147) (0.061:0.062:0.063))
+    (IOPATH B Y (0.131:0.131:0.131) (0.059:0.059:0.059))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4429_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.163:0.163:0.163) (0.140:0.140:0.140))
+    (IOPATH B Y (0.165:0.165:0.165) (0.137:0.137:0.137))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4430_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.146:0.147:0.148) (0.150:0.151:0.151))
+    (IOPATH B X (0.152:0.152:0.152) (0.168:0.168:0.168))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _4431_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.205:0.205:0.205) (0.213:0.213:0.214))
+    (IOPATH A2 X (0.182:0.183:0.183) (0.221:0.225:0.229))
+    (IOPATH B1_N X (0.265:0.265:0.266) (0.183:0.183:0.183))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4432_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.149:0.149:0.149) (0.145:0.145:0.145))
+    (IOPATH A Y (0.228:0.228:0.228) (0.092:0.092:0.092))
+    (IOPATH B Y (0.161:0.162:0.163) (0.156:0.157:0.158))
+    (IOPATH B Y (0.222:0.223:0.225) (0.097:0.097:0.098))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4433_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.176:0.187:0.199) (0.168:0.170:0.173))
+    (IOPATH A Y (0.254:0.257:0.259) (0.119:0.135:0.152))
+    (IOPATH B Y (0.170:0.182:0.193) (0.160:0.162:0.164))
+    (IOPATH B Y (0.231:0.233:0.235) (0.104:0.119:0.133))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4434_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.212:0.212:0.212) (0.211:0.211:0.211))
+    (IOPATH B X (0.205:0.205:0.205) (0.220:0.220:0.220))
+    (IOPATH C X (0.188:0.188:0.188) (0.203:0.203:0.203))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4435_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.146:0.146:0.146) (0.198:0.198:0.198))
+    (IOPATH A2 X (0.120:0.121:0.121) (0.193:0.194:0.194))
+    (IOPATH B1_N X (0.190:0.190:0.191) (0.212:0.213:0.214))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4436_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.130:0.130:0.130) (0.110:0.110:0.110))
+    (IOPATH B Y (0.138:0.138:0.138) (0.112:0.112:0.112))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _4437_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.248:0.248:0.249) (0.098:0.098:0.098))
+    (IOPATH A X (0.239:0.239:0.239) (0.184:0.184:0.185))
+    (IOPATH B X (0.243:0.246:0.248) (0.103:0.103:0.103))
+    (IOPATH B X (0.250:0.250:0.251) (0.178:0.181:0.184))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4438_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.132:0.134:0.137) (0.108:0.111:0.114))
+    (IOPATH B Y (0.112:0.113:0.113) (0.114:0.114:0.114))
+    (IOPATH C Y (0.114:0.114:0.114) (0.114:0.114:0.114))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4439_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.140:0.143:0.146) (0.201:0.204:0.206))
+    (IOPATH A2 X (0.141:0.141:0.141) (0.194:0.194:0.195))
+    (IOPATH B1 X (0.113:0.113:0.113) (0.168:0.168:0.168))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4440_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.184:0.184:0.184) (0.174:0.174:0.174))
+    (IOPATH B X (0.197:0.197:0.197) (0.230:0.230:0.230))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _4441_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.314:0.314:0.314) (0.271:0.271:0.271))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4442_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.241:0.241:0.241) (0.284:0.284:0.284))
+    (IOPATH A2 X (0.230:0.230:0.230) (0.301:0.301:0.301))
+    (IOPATH B1 X (0.221:0.221:0.221) (0.254:0.254:0.254))
+    (IOPATH B2 X (0.210:0.210:0.210) (0.263:0.263:0.263))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_1")
+  (INSTANCE _4443_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.191:0.191:0.191) (0.218:0.218:0.218))
+    (IOPATH B Y (0.177:0.177:0.177) (0.204:0.204:0.204))
+    (IOPATH C Y (0.205:0.205:0.205) (0.228:0.228:0.228))
+    (IOPATH D Y (0.211:0.211:0.211) (0.221:0.221:0.221))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4444_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.130:0.130:0.130) (0.178:0.178:0.179))
+    (IOPATH A2 X (0.141:0.141:0.142) (0.210:0.210:0.210))
+    (IOPATH B1_N X (0.250:0.252:0.254) (0.234:0.236:0.238))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4445_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.160:0.161:0.162) (0.199:0.200:0.201))
+    (IOPATH A2 X (0.154:0.154:0.155) (0.203:0.203:0.204))
+    (IOPATH B1 X (0.125:0.126:0.126) (0.181:0.181:0.181))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4446_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.126:0.127:0.128) (0.118:0.119:0.120))
+    (IOPATH B Y (0.122:0.122:0.123) (0.116:0.117:0.117))
+    (IOPATH C Y (0.119:0.120:0.120) (0.120:0.120:0.120))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4447_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.194:0.196:0.197) (0.206:0.210:0.215))
+    (IOPATH A2 X (0.164:0.164:0.164) (0.227:0.227:0.228))
+    (IOPATH B1_N X (0.245:0.246:0.246) (0.246:0.248:0.250))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _4448_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.103:0.116:0.129) (0.217:0.222:0.226))
+    (IOPATH B_N X (0.147:0.147:0.148) (0.223:0.223:0.223))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4449_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.131:0.131:0.132) (0.078:0.078:0.078))
+    (IOPATH B Y (0.119:0.120:0.120) (0.056:0.056:0.056))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _4450_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.176:0.179:0.181) (0.094:0.094:0.094))
+    (IOPATH A X (0.186:0.186:0.186) (0.162:0.165:0.168))
+    (IOPATH B X (0.180:0.184:0.187) (0.088:0.088:0.088))
+    (IOPATH B X (0.186:0.186:0.186) (0.167:0.171:0.175))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _4451_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.201:0.206:0.211) (0.095:0.109:0.123))
+    (IOPATH A X (0.193:0.203:0.213) (0.180:0.185:0.190))
+    (IOPATH B X (0.178:0.178:0.179) (0.089:0.089:0.089))
+    (IOPATH B X (0.194:0.194:0.194) (0.158:0.158:0.159))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4452_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.143:0.144:0.146) (0.240:0.242:0.245))
+    (IOPATH B X (0.142:0.143:0.145) (0.222:0.228:0.234))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4453_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.160:0.160:0.161) (0.163:0.163:0.163))
+    (IOPATH B X (0.177:0.177:0.177) (0.192:0.192:0.192))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4454_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.167:0.179:0.190) (0.160:0.163:0.165))
+    (IOPATH A Y (0.242:0.244:0.246) (0.112:0.126:0.141))
+    (IOPATH B Y (0.170:0.170:0.170) (0.155:0.155:0.156))
+    (IOPATH B Y (0.221:0.221:0.222) (0.106:0.106:0.106))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4455_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.098:0.100:0.102) (0.055:0.064:0.072))
+    (IOPATH B Y (0.081:0.081:0.082) (0.048:0.048:0.048))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _4456_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.181:0.181:0.181) (0.212:0.212:0.213))
+    (IOPATH A2 X (0.163:0.174:0.185) (0.200:0.204:0.208))
+    (IOPATH B1_N X (0.238:0.243:0.247) (0.168:0.168:0.168))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4457_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.165:0.176:0.187) (0.158:0.161:0.163))
+    (IOPATH A Y (0.239:0.241:0.243) (0.110:0.124:0.138))
+    (IOPATH B Y (0.162:0.162:0.162) (0.150:0.151:0.152))
+    (IOPATH B Y (0.216:0.217:0.217) (0.097:0.098:0.098))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4458_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.054:0.057:0.060) (0.065:0.065:0.066))
+    (IOPATH B Y (0.062:0.069:0.076) (0.070:0.071:0.072))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4459_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.099:0.099:0.099) (0.081:0.081:0.081))
+    (IOPATH B Y (0.097:0.098:0.100) (0.074:0.075:0.077))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4460_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.114:0.114:0.114) (0.103:0.105:0.107))
+    (IOPATH B Y (0.110:0.114:0.119) (0.139:0.140:0.142))
+    (IOPATH C Y (0.106:0.106:0.106) (0.107:0.107:0.107))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4461_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.146:0.148:0.150) (0.194:0.194:0.195))
+    (IOPATH A2 X (0.145:0.145:0.146) (0.196:0.196:0.197))
+    (IOPATH B1 X (0.141:0.142:0.143) (0.174:0.179:0.183))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4462_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.155:0.155:0.155) (0.146:0.146:0.146))
+    (IOPATH B Y (0.160:0.160:0.160) (0.138:0.138:0.138))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _4463_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.273:0.273:0.273) (0.190:0.190:0.190))
+    (IOPATH A2 Y (0.250:0.250:0.250) (0.126:0.126:0.126))
+    (IOPATH B1 Y (0.236:0.236:0.236) (0.136:0.136:0.136))
+    (IOPATH B2 Y (0.251:0.251:0.251) (0.134:0.134:0.134))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4464_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.278:0.278:0.278) (0.228:0.228:0.228))
+    (IOPATH B X (0.279:0.279:0.279) (0.251:0.251:0.251))
+    (IOPATH C X (0.225:0.225:0.225) (0.222:0.222:0.222))
+    (IOPATH D X (0.264:0.264:0.264) (0.271:0.271:0.271))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4465_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.150:0.160:0.170) (0.093:0.094:0.096))
+    (IOPATH B Y (0.121:0.122:0.123) (0.057:0.057:0.058))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4466_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.182:0.182:0.182) (0.181:0.185:0.190))
+    (IOPATH A Y (0.281:0.285:0.289) (0.121:0.121:0.121))
+    (IOPATH B Y (0.188:0.188:0.188) (0.154:0.160:0.165))
+    (IOPATH B Y (0.241:0.246:0.252) (0.118:0.118:0.119))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4467_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.147:0.149:0.151) (0.117:0.120:0.122))
+    (IOPATH B Y (0.105:0.105:0.105) (0.104:0.104:0.104))
+    (IOPATH C Y (0.113:0.113:0.113) (0.114:0.114:0.114))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4468_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.198:0.198:0.198) (0.240:0.240:0.240))
+    (IOPATH A2 X (0.215:0.215:0.215) (0.297:0.297:0.297))
+    (IOPATH B1 X (0.167:0.169:0.171) (0.241:0.243:0.245))
+    (IOPATH B2 X (0.157:0.158:0.158) (0.217:0.218:0.218))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4469_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.154:0.154:0.154) (0.144:0.144:0.144))
+    (IOPATH B Y (0.145:0.145:0.145) (0.133:0.133:0.133))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _4470_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.225:0.225:0.225) (0.125:0.125:0.125))
+    (IOPATH A2 Y (0.257:0.257:0.257) (0.133:0.133:0.133))
+    (IOPATH B1 Y (0.202:0.202:0.202) (0.104:0.104:0.104))
+    (IOPATH B2 Y (0.222:0.222:0.222) (0.103:0.103:0.103))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4471_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.260:0.260:0.260) (0.212:0.212:0.212))
+    (IOPATH B X (0.268:0.268:0.268) (0.237:0.237:0.237))
+    (IOPATH C X (0.254:0.254:0.254) (0.238:0.238:0.238))
+    (IOPATH D X (0.253:0.253:0.253) (0.248:0.248:0.248))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _4472_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.202:0.206:0.209) (0.103:0.103:0.104))
+    (IOPATH A2 Y (0.182:0.185:0.189) (0.103:0.106:0.108))
+    (IOPATH B1_N Y (0.146:0.146:0.146) (0.174:0.175:0.176))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4473_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.158:0.159:0.160) (0.196:0.199:0.201))
+    (IOPATH A2 X (0.155:0.155:0.156) (0.205:0.205:0.205))
+    (IOPATH B1 X (0.132:0.140:0.147) (0.186:0.187:0.188))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4474_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.119:0.122:0.125) (0.113:0.114:0.115))
+    (IOPATH B Y (0.119:0.120:0.120) (0.113:0.114:0.114))
+    (IOPATH C Y (0.123:0.124:0.125) (0.121:0.131:0.140))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4475_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.146:0.160:0.174) (0.193:0.195:0.197))
+    (IOPATH A2 X (0.137:0.137:0.137) (0.206:0.206:0.207))
+    (IOPATH B1_N X (0.216:0.217:0.219) (0.223:0.225:0.227))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4476_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.144:0.148:0.153) (0.138:0.139:0.141))
+    (IOPATH B Y (0.146:0.146:0.146) (0.142:0.142:0.142))
+    (IOPATH C Y (0.140:0.140:0.141) (0.143:0.143:0.143))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _4477_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.208:0.211:0.215) (0.106:0.106:0.106))
+    (IOPATH A2 Y (0.189:0.199:0.210) (0.114:0.115:0.117))
+    (IOPATH B1_N Y (0.137:0.138:0.139) (0.170:0.171:0.172))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4478_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.227:0.227:0.227) (0.208:0.208:0.208))
+    (IOPATH B X (0.230:0.230:0.230) (0.244:0.244:0.244))
+    (IOPATH C X (0.183:0.191:0.200) (0.199:0.200:0.201))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4479_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.198:0.198:0.198) (0.119:0.119:0.119))
+    (IOPATH A2 Y (0.211:0.211:0.211) (0.122:0.122:0.122))
+    (IOPATH B1 Y (0.136:0.136:0.137) (0.053:0.060:0.066))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4480_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.167:0.168:0.168) (0.069:0.069:0.070))
+    (IOPATH B Y (0.151:0.160:0.169) (0.077:0.079:0.081))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4481_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.134:0.134:0.134) (0.111:0.111:0.111))
+    (IOPATH B Y (0.143:0.143:0.143) (0.113:0.113:0.113))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4482_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.163:0.163:0.163) (0.139:0.140:0.142))
+    (IOPATH A Y (0.201:0.202:0.204) (0.115:0.115:0.115))
+    (IOPATH B Y (0.144:0.144:0.145) (0.149:0.153:0.156))
+    (IOPATH B Y (0.195:0.197:0.200) (0.084:0.084:0.085))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4483_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.171:0.173:0.174) (0.206:0.210:0.214))
+    (IOPATH A2 X (0.172:0.172:0.172) (0.214:0.215:0.215))
+    (IOPATH B1 X (0.140:0.141:0.141) (0.191:0.191:0.191))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4484_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.153:0.153:0.153) (0.137:0.139:0.141))
+    (IOPATH B Y (0.133:0.137:0.140) (0.128:0.142:0.156))
+    (IOPATH C Y (0.136:0.136:0.136) (0.138:0.138:0.138))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4485_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.172:0.173:0.175) (0.192:0.192:0.192))
+    (IOPATH B X (0.168:0.169:0.170) (0.201:0.203:0.205))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4486_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.130:0.131:0.132) (0.250:0.251:0.251))
+    (IOPATH B X (0.127:0.127:0.127) (0.226:0.226:0.226))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _4487_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.425:0.425:0.425) (0.358:0.358:0.358))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31oi_1")
+  (INSTANCE _4488_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.279:0.279:0.279) (0.183:0.183:0.183))
+    (IOPATH A2 Y (0.320:0.320:0.320) (0.187:0.187:0.187))
+    (IOPATH A3 Y (0.251:0.253:0.254) (0.147:0.147:0.147))
+    (IOPATH B1 Y (0.228:0.228:0.229) (0.067:0.068:0.068))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _4489_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.212:0.212:0.213) (0.093:0.094:0.095))
+    (IOPATH A X (0.201:0.202:0.203) (0.182:0.182:0.182))
+    (IOPATH B X (0.188:0.188:0.188) (0.090:0.090:0.090))
+    (IOPATH B X (0.206:0.206:0.206) (0.157:0.157:0.158))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _4490_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.152:0.156:0.160) (0.236:0.252:0.268))
+    (IOPATH B_N X (0.169:0.173:0.177) (0.263:0.264:0.264))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4491_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.148:0.148:0.148) (0.142:0.143:0.144))
+    (IOPATH A Y (0.196:0.197:0.198) (0.098:0.098:0.098))
+    (IOPATH B Y (0.145:0.157:0.168) (0.142:0.147:0.151))
+    (IOPATH B Y (0.180:0.184:0.188) (0.087:0.099:0.112))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4492_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.124:0.124:0.124) (0.120:0.120:0.120))
+    (IOPATH B Y (0.137:0.137:0.137) (0.125:0.126:0.126))
+    (IOPATH C Y (0.130:0.134:0.137) (0.131:0.142:0.153))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4493_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.292:0.292:0.292) (0.218:0.218:0.218))
+    (IOPATH B X (0.305:0.305:0.305) (0.255:0.255:0.255))
+    (IOPATH C X (0.290:0.290:0.290) (0.246:0.246:0.246))
+    (IOPATH D X (0.303:0.303:0.303) (0.265:0.265:0.265))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4494_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.145:0.145:0.145) (0.134:0.134:0.134))
+    (IOPATH B Y (0.142:0.142:0.142) (0.125:0.125:0.125))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _4495_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.227:0.227:0.227) (0.164:0.164:0.164))
+    (IOPATH A2 Y (0.244:0.244:0.244) (0.146:0.146:0.146))
+    (IOPATH B1 Y (0.197:0.197:0.197) (0.124:0.124:0.124))
+    (IOPATH B2 Y (0.220:0.220:0.220) (0.118:0.118:0.118))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4496_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.164:0.164:0.164) (0.266:0.267:0.267))
+    (IOPATH B X (0.155:0.156:0.157) (0.246:0.252:0.258))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4497_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.171:0.176:0.180) (0.072:0.073:0.073))
+    (IOPATH B Y (0.151:0.151:0.151) (0.064:0.064:0.064))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_1")
+  (INSTANCE _4498_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.234:0.234:0.234) (0.276:0.277:0.278))
+    (IOPATH A2 X (0.207:0.207:0.207) (0.241:0.241:0.242))
+    (IOPATH B1 X (0.259:0.259:0.259) (0.233:0.233:0.233))
+    (IOPATH C1 X (0.250:0.250:0.250) (0.200:0.200:0.200))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4499_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.117:0.118:0.119) (0.107:0.109:0.110))
+    (IOPATH B Y (0.125:0.127:0.129) (0.121:0.138:0.154))
+    (IOPATH C Y (0.111:0.111:0.112) (0.113:0.113:0.113))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4500_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.141:0.142:0.144) (0.189:0.190:0.191))
+    (IOPATH A2 X (0.141:0.142:0.142) (0.193:0.194:0.194))
+    (IOPATH B1 X (0.122:0.132:0.142) (0.180:0.182:0.183))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _4501_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.252:0.256:0.261) (0.104:0.105:0.105))
+    (IOPATH A X (0.235:0.235:0.235) (0.197:0.201:0.206))
+    (IOPATH B X (0.226:0.226:0.226) (0.099:0.099:0.099))
+    (IOPATH B X (0.237:0.237:0.237) (0.172:0.172:0.172))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _4502_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.141:0.141:0.141) (0.392:0.393:0.394))
+    (IOPATH B X (0.136:0.137:0.137) (0.380:0.383:0.386))
+    (IOPATH C X (0.148:0.151:0.153) (0.337:0.340:0.343))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _4503_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.196:0.197:0.198) (0.109:0.109:0.110))
+    (IOPATH A2 Y (0.191:0.194:0.197) (0.108:0.110:0.113))
+    (IOPATH B1 Y (0.136:0.140:0.144) (0.104:0.104:0.105))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4504_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.154:0.154:0.154) (0.143:0.143:0.143))
+    (IOPATH B Y (0.164:0.164:0.164) (0.157:0.157:0.157))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _4505_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.227:0.227:0.227) (0.129:0.129:0.129))
+    (IOPATH A2 Y (0.254:0.254:0.254) (0.131:0.131:0.131))
+    (IOPATH B1 Y (0.198:0.198:0.198) (0.101:0.101:0.101))
+    (IOPATH B2 Y (0.231:0.231:0.231) (0.109:0.109:0.109))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4506_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.255:0.255:0.255) (0.206:0.206:0.206))
+    (IOPATH B X (0.254:0.254:0.254) (0.225:0.225:0.225))
+    (IOPATH C X (0.247:0.247:0.247) (0.233:0.233:0.233))
+    (IOPATH D X (0.246:0.246:0.246) (0.243:0.243:0.243))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _4507_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.216:0.217:0.217) (0.106:0.107:0.107))
+    (IOPATH A2 Y (0.189:0.192:0.196) (0.106:0.108:0.110))
+    (IOPATH B1_N Y (0.147:0.148:0.148) (0.175:0.177:0.178))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4508_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.152:0.152:0.152) (0.197:0.197:0.197))
+    (IOPATH A2 X (0.176:0.181:0.186) (0.214:0.216:0.217))
+    (IOPATH B1 X (0.136:0.144:0.151) (0.189:0.190:0.192))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4509_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.130:0.130:0.130) (0.117:0.117:0.117))
+    (IOPATH B Y (0.141:0.143:0.145) (0.145:0.151:0.157))
+    (IOPATH C Y (0.136:0.137:0.139) (0.136:0.146:0.155))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4510_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.163:0.164:0.166) (0.183:0.187:0.191))
+    (IOPATH A2 X (0.137:0.137:0.137) (0.206:0.206:0.206))
+    (IOPATH B1_N X (0.222:0.224:0.226) (0.227:0.229:0.230))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4511_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.156:0.161:0.166) (0.148:0.149:0.151))
+    (IOPATH B Y (0.154:0.155:0.155) (0.148:0.148:0.149))
+    (IOPATH C Y (0.148:0.148:0.149) (0.150:0.150:0.151))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _4512_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.090:0.090:0.091) (0.051:0.052:0.053))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211oi_1")
+  (INSTANCE _4513_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.292:0.292:0.292) (0.137:0.137:0.137))
+    (IOPATH A2 Y (0.320:0.320:0.320) (0.139:0.139:0.139))
+    (IOPATH B1 Y (0.258:0.259:0.260) (0.083:0.083:0.083))
+    (IOPATH C1 Y (0.203:0.203:0.204) (0.072:0.072:0.072))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4514_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.143:0.144:0.145) (0.070:0.071:0.071))
+    (IOPATH B Y (0.124:0.133:0.142) (0.081:0.083:0.086))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4515_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.143:0.145:0.147) (0.189:0.194:0.198))
+    (IOPATH A2 X (0.140:0.140:0.140) (0.193:0.194:0.194))
+    (IOPATH B1 X (0.110:0.111:0.111) (0.170:0.171:0.171))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4516_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.250:0.252:0.254) (0.229:0.229:0.229))
+    (IOPATH B X (0.247:0.248:0.248) (0.204:0.209:0.213))
+    (IOPATH C X (0.236:0.237:0.237) (0.222:0.222:0.223))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4517_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.154:0.155:0.157) (0.206:0.206:0.206))
+    (IOPATH A2 X (0.152:0.152:0.152) (0.201:0.201:0.201))
+    (IOPATH B1 X (0.114:0.123:0.133) (0.171:0.174:0.178))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211ai_1")
+  (INSTANCE _4518_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.252:0.252:0.252) (0.134:0.134:0.134))
+    (IOPATH A2 Y (0.252:0.252:0.253) (0.141:0.141:0.141))
+    (IOPATH B1 Y (0.167:0.169:0.171) (0.146:0.147:0.149))
+    (IOPATH C1 Y (0.127:0.127:0.128) (0.125:0.126:0.126))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211o_1")
+  (INSTANCE _4519_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.162:0.163:0.165) (0.301:0.303:0.305))
+    (IOPATH A2 X (0.148:0.149:0.149) (0.312:0.312:0.312))
+    (IOPATH B1 X (0.121:0.121:0.121) (0.285:0.285:0.286))
+    (IOPATH C1 X (0.144:0.144:0.144) (0.257:0.258:0.258))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4520_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.111:0.113:0.114) (0.117:0.117:0.117))
+    (IOPATH B Y (0.143:0.147:0.150) (0.133:0.147:0.161))
+    (IOPATH C Y (0.122:0.123:0.124) (0.116:0.116:0.117))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4521_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.145:0.156:0.167) (0.186:0.189:0.192))
+    (IOPATH A2 X (0.129:0.130:0.130) (0.191:0.192:0.192))
+    (IOPATH B1 X (0.110:0.110:0.111) (0.168:0.170:0.171))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4522_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.133:0.135:0.137) (0.121:0.123:0.125))
+    (IOPATH B Y (0.123:0.128:0.133) (0.154:0.155:0.157))
+    (IOPATH C Y (0.120:0.121:0.121) (0.123:0.123:0.123))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4523_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.164:0.165:0.167) (0.209:0.211:0.212))
+    (IOPATH A2 X (0.161:0.161:0.161) (0.208:0.208:0.208))
+    (IOPATH B1 X (0.153:0.154:0.155) (0.184:0.188:0.192))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _4524_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.232:0.232:0.232) (0.151:0.151:0.151))
+    (IOPATH A2 Y (0.225:0.225:0.225) (0.134:0.134:0.134))
+    (IOPATH B1 Y (0.192:0.192:0.192) (0.111:0.111:0.111))
+    (IOPATH B2 Y (0.221:0.221:0.221) (0.118:0.118:0.118))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4525_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.253:0.253:0.253) (0.244:0.244:0.244))
+    (IOPATH B X (0.241:0.241:0.241) (0.246:0.246:0.246))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4526_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.286:0.286:0.286) (0.245:0.245:0.245))
+    (IOPATH B X (0.295:0.295:0.295) (0.283:0.283:0.283))
+    (IOPATH C X (0.255:0.255:0.255) (0.237:0.238:0.238))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4527_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.211:0.215:0.220) (0.101:0.103:0.105))
+    (IOPATH B Y (0.196:0.197:0.198) (0.096:0.096:0.096))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _4528_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.151:0.151:0.151) (0.406:0.407:0.408))
+    (IOPATH B X (0.149:0.150:0.151) (0.401:0.402:0.402))
+    (IOPATH C X (0.160:0.163:0.165) (0.353:0.355:0.358))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _4529_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.211:0.211:0.212) (0.114:0.114:0.114))
+    (IOPATH A2 Y (0.206:0.209:0.212) (0.114:0.116:0.119))
+    (IOPATH B1 Y (0.155:0.155:0.156) (0.111:0.111:0.112))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4530_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.160:0.160:0.160) (0.142:0.142:0.142))
+    (IOPATH B Y (0.158:0.158:0.158) (0.136:0.136:0.136))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _4531_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.238:0.238:0.238) (0.141:0.141:0.141))
+    (IOPATH A2 Y (0.252:0.252:0.252) (0.146:0.146:0.146))
+    (IOPATH B1 Y (0.206:0.206:0.206) (0.106:0.106:0.106))
+    (IOPATH B2 Y (0.236:0.236:0.236) (0.120:0.120:0.120))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4532_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.273:0.273:0.273) (0.227:0.227:0.227))
+    (IOPATH B X (0.268:0.268:0.268) (0.242:0.242:0.242))
+    (IOPATH C X (0.271:0.271:0.271) (0.263:0.263:0.263))
+    (IOPATH D X (0.290:0.290:0.290) (0.286:0.286:0.286))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _4533_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.201:0.205:0.209) (0.102:0.102:0.102))
+    (IOPATH A2 Y (0.183:0.186:0.189) (0.102:0.104:0.106))
+    (IOPATH B1_N Y (0.143:0.143:0.143) (0.172:0.173:0.174))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4534_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.152:0.152:0.152) (0.198:0.198:0.198))
+    (IOPATH A2 X (0.174:0.180:0.186) (0.213:0.215:0.217))
+    (IOPATH B1 X (0.129:0.137:0.144) (0.184:0.185:0.186))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4535_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.126:0.126:0.126) (0.112:0.112:0.112))
+    (IOPATH B Y (0.134:0.136:0.138) (0.138:0.145:0.152))
+    (IOPATH C Y (0.125:0.126:0.127) (0.124:0.133:0.142))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4536_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.180:0.180:0.180) (0.201:0.201:0.202))
+    (IOPATH A2 X (0.153:0.153:0.153) (0.219:0.219:0.219))
+    (IOPATH B1_N X (0.235:0.237:0.240) (0.238:0.240:0.242))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4537_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.129:0.133:0.136) (0.119:0.120:0.122))
+    (IOPATH B Y (0.125:0.126:0.126) (0.122:0.123:0.123))
+    (IOPATH C Y (0.123:0.123:0.124) (0.123:0.124:0.124))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4538_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.179:0.181:0.183) (0.179:0.182:0.185))
+    (IOPATH B X (0.180:0.180:0.181) (0.180:0.180:0.181))
+    (IOPATH C X (0.183:0.183:0.183) (0.196:0.196:0.197))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4539_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.175:0.178:0.182) (0.084:0.086:0.088))
+    (IOPATH A2 Y (0.176:0.177:0.177) (0.083:0.083:0.084))
+    (IOPATH B1 Y (0.151:0.151:0.151) (0.056:0.057:0.057))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3b_1")
+  (INSTANCE _4540_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.144:0.144:0.144) (0.407:0.408:0.408))
+    (IOPATH B X (0.160:0.162:0.164) (0.382:0.385:0.388))
+    (IOPATH C_N X (0.206:0.207:0.207) (0.400:0.400:0.400))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4541_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.246:0.246:0.246) (0.116:0.118:0.120))
+    (IOPATH A2 Y (0.226:0.227:0.227) (0.096:0.096:0.096))
+    (IOPATH B1 Y (0.199:0.203:0.206) (0.081:0.082:0.082))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211oi_2")
+  (INSTANCE _4542_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.317:0.318:0.318) (0.105:0.107:0.109))
+    (IOPATH A2 Y (0.350:0.350:0.350) (0.102:0.102:0.102))
+    (IOPATH B1 Y (0.317:0.322:0.327) (0.099:0.101:0.103))
+    (IOPATH C1 Y (0.274:0.274:0.275) (0.079:0.079:0.079))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4543_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.153:0.156:0.158) (0.198:0.202:0.206))
+    (IOPATH A2 X (0.144:0.145:0.145) (0.196:0.197:0.197))
+    (IOPATH B1 X (0.157:0.158:0.159) (0.181:0.185:0.190))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_1")
+  (INSTANCE _4544_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.202:0.202:0.202) (0.251:0.251:0.252))
+    (IOPATH A2 X (0.191:0.192:0.194) (0.228:0.233:0.239))
+    (IOPATH B1 X (0.178:0.178:0.178) (0.163:0.163:0.163))
+    (IOPATH C1 X (0.177:0.179:0.181) (0.150:0.151:0.151))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4545_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.136:0.139:0.141) (0.126:0.128:0.130))
+    (IOPATH B Y (0.137:0.138:0.138) (0.162:0.162:0.162))
+    (IOPATH C Y (0.128:0.128:0.128) (0.130:0.131:0.131))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4546_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.166:0.168:0.169) (0.207:0.209:0.212))
+    (IOPATH A2 X (0.164:0.165:0.165) (0.210:0.211:0.211))
+    (IOPATH B1 X (0.157:0.157:0.157) (0.191:0.192:0.193))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _4547_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.155:0.155:0.155) (0.410:0.411:0.412))
+    (IOPATH B X (0.152:0.153:0.153) (0.400:0.404:0.407))
+    (IOPATH C X (0.164:0.167:0.169) (0.360:0.362:0.364))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _4548_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.214:0.215:0.216) (0.116:0.116:0.116))
+    (IOPATH A2 Y (0.213:0.216:0.218) (0.116:0.118:0.121))
+    (IOPATH B1 Y (0.151:0.155:0.160) (0.112:0.112:0.113))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4549_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.145:0.145:0.145) (0.131:0.131:0.131))
+    (IOPATH B Y (0.158:0.158:0.158) (0.133:0.133:0.133))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _4550_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.296:0.296:0.296) (0.231:0.231:0.231))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _4551_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.255:0.255:0.255) (0.151:0.151:0.151))
+    (IOPATH A2 Y (0.268:0.268:0.268) (0.142:0.142:0.142))
+    (IOPATH B1 Y (0.215:0.215:0.215) (0.110:0.110:0.110))
+    (IOPATH B2 Y (0.202:0.202:0.202) (0.098:0.098:0.098))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4552_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.256:0.256:0.256) (0.194:0.194:0.194))
+    (IOPATH B X (0.292:0.292:0.292) (0.274:0.274:0.274))
+    (IOPATH C X (0.278:0.278:0.278) (0.273:0.273:0.273))
+    (IOPATH D X (0.277:0.277:0.277) (0.284:0.284:0.284))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _4553_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.205:0.207:0.209) (0.102:0.103:0.103))
+    (IOPATH A2 Y (0.183:0.189:0.195) (0.105:0.107:0.109))
+    (IOPATH B1_N Y (0.146:0.147:0.147) (0.174:0.175:0.176))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4554_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.158:0.158:0.159) (0.203:0.203:0.203))
+    (IOPATH A2 X (0.179:0.185:0.192) (0.217:0.219:0.221))
+    (IOPATH B1 X (0.135:0.142:0.150) (0.188:0.189:0.190))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4555_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.132:0.132:0.132) (0.119:0.119:0.119))
+    (IOPATH B Y (0.141:0.143:0.145) (0.144:0.151:0.159))
+    (IOPATH C Y (0.131:0.132:0.133) (0.130:0.139:0.148))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4556_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.159:0.159:0.159) (0.197:0.197:0.197))
+    (IOPATH A2 X (0.147:0.147:0.148) (0.213:0.213:0.213))
+    (IOPATH B1_N X (0.230:0.233:0.235) (0.233:0.235:0.237))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_2")
+  (INSTANCE _4557_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.129:0.132:0.134) (0.124:0.125:0.127))
+    (IOPATH B Y (0.119:0.119:0.119) (0.130:0.130:0.130))
+    (IOPATH C Y (0.114:0.114:0.114) (0.124:0.124:0.125))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4558_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.174:0.174:0.175) (0.072:0.072:0.072))
+    (IOPATH B Y (0.157:0.160:0.164) (0.084:0.086:0.087))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _4559_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.170:0.171:0.171) (0.179:0.179:0.180))
+    (IOPATH A Y (0.253:0.253:0.254) (0.124:0.124:0.124))
+    (IOPATH B Y (0.170:0.170:0.170) (0.160:0.162:0.165))
+    (IOPATH B Y (0.215:0.217:0.219) (0.118:0.118:0.118))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o22ai_1")
+  (INSTANCE _4560_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.241:0.245:0.249) (0.172:0.175:0.177))
+    (IOPATH A2 Y (0.221:0.222:0.224) (0.106:0.106:0.106))
+    (IOPATH B1 Y (0.226:0.226:0.227) (0.117:0.118:0.119))
+    (IOPATH B2 Y (0.197:0.199:0.202) (0.100:0.121:0.142))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4561_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.140:0.142:0.144) (0.191:0.194:0.196))
+    (IOPATH A2 X (0.139:0.139:0.139) (0.191:0.192:0.192))
+    (IOPATH B1 X (0.104:0.104:0.104) (0.164:0.165:0.165))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4562_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.104:0.105:0.105) (0.117:0.117:0.117))
+    (IOPATH B Y (0.134:0.137:0.139) (0.114:0.116:0.118))
+    (IOPATH C Y (0.109:0.110:0.110) (0.111:0.111:0.112))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4563_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.137:0.139:0.141) (0.188:0.191:0.193))
+    (IOPATH A2 X (0.135:0.135:0.136) (0.189:0.189:0.190))
+    (IOPATH B1 X (0.117:0.117:0.117) (0.172:0.172:0.172))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _4564_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.138:0.138:0.139) (0.390:0.391:0.392))
+    (IOPATH B X (0.132:0.133:0.134) (0.379:0.381:0.383))
+    (IOPATH C X (0.147:0.149:0.152) (0.334:0.340:0.345))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _4565_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.180:0.181:0.182) (0.102:0.102:0.102))
+    (IOPATH A2 Y (0.173:0.178:0.184) (0.102:0.104:0.106))
+    (IOPATH B1 Y (0.125:0.128:0.130) (0.095:0.096:0.097))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4566_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.310:0.310:0.310) (0.227:0.227:0.227))
+    (IOPATH B X (0.304:0.304:0.304) (0.261:0.261:0.261))
+    (IOPATH C X (0.315:0.315:0.315) (0.305:0.305:0.305))
+    (IOPATH D X (0.303:0.303:0.303) (0.298:0.298:0.298))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4567_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.184:0.184:0.184) (0.180:0.180:0.180))
+    (IOPATH B X (0.207:0.212:0.217) (0.193:0.194:0.196))
+    (IOPATH C X (0.212:0.212:0.212) (0.211:0.213:0.214))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4568_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.209:0.211:0.213) (0.192:0.194:0.195))
+    (IOPATH B X (0.205:0.206:0.206) (0.193:0.193:0.193))
+    (IOPATH C X (0.220:0.220:0.220) (0.214:0.215:0.216))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4569_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.156:0.157:0.158) (0.138:0.139:0.140))
+    (IOPATH B Y (0.144:0.144:0.144) (0.134:0.134:0.135))
+    (IOPATH C Y (0.148:0.149:0.149) (0.153:0.153:0.153))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4570_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.191:0.193:0.196) (0.077:0.096:0.115))
+    (IOPATH B Y (0.197:0.197:0.197) (0.086:0.087:0.089))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or4_1")
+  (INSTANCE _4571_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.204:0.206:0.208) (0.567:0.571:0.574))
+    (IOPATH B X (0.146:0.146:0.146) (0.546:0.547:0.549))
+    (IOPATH C X (0.151:0.152:0.154) (0.531:0.532:0.532))
+    (IOPATH D X (0.145:0.163:0.182) (0.452:0.454:0.456))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4572_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.181:0.181:0.182) (0.203:0.209:0.215))
+    (IOPATH A2 X (0.174:0.174:0.174) (0.216:0.221:0.227))
+    (IOPATH B1_N X (0.240:0.240:0.240) (0.231:0.231:0.232))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4573_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.128:0.132:0.136) (0.117:0.119:0.121))
+    (IOPATH B Y (0.118:0.118:0.118) (0.112:0.113:0.113))
+    (IOPATH C Y (0.123:0.128:0.133) (0.167:0.169:0.171))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4574_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.166:0.166:0.166) (0.206:0.206:0.207))
+    (IOPATH A2 X (0.168:0.168:0.168) (0.230:0.231:0.231))
+    (IOPATH B1_N X (0.250:0.255:0.259) (0.249:0.250:0.252))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4575_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.174:0.178:0.181) (0.143:0.163:0.182))
+    (IOPATH A Y (0.209:0.226:0.243) (0.127:0.132:0.136))
+    (IOPATH B Y (0.169:0.170:0.171) (0.141:0.144:0.148))
+    (IOPATH B Y (0.191:0.194:0.197) (0.110:0.112:0.113))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4576_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.182:0.195:0.208) (0.184:0.187:0.191))
+    (IOPATH B X (0.171:0.173:0.175) (0.201:0.205:0.209))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4577_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.192:0.203:0.214) (0.175:0.180:0.186))
+    (IOPATH A Y (0.296:0.301:0.306) (0.127:0.141:0.155))
+    (IOPATH B Y (0.194:0.194:0.194) (0.171:0.172:0.172))
+    (IOPATH B Y (0.277:0.277:0.277) (0.119:0.119:0.119))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4578_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.169:0.169:0.169) (0.077:0.077:0.077))
+    (IOPATH A2 Y (0.184:0.184:0.184) (0.078:0.079:0.079))
+    (IOPATH B1 Y (0.156:0.159:0.162) (0.056:0.066:0.076))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _4579_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.208:0.209:0.209) (0.196:0.196:0.196))
+    (IOPATH B X (0.147:0.158:0.170) (0.170:0.175:0.181))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211o_1")
+  (INSTANCE _4580_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.165:0.165:0.165) (0.289:0.289:0.289))
+    (IOPATH A2 X (0.176:0.193:0.210) (0.334:0.337:0.341))
+    (IOPATH B1 X (0.153:0.155:0.157) (0.291:0.294:0.298))
+    (IOPATH C1 X (0.127:0.127:0.127) (0.252:0.252:0.252))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4581_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.154:0.170:0.186) (0.148:0.153:0.158))
+    (IOPATH B X (0.162:0.164:0.165) (0.189:0.190:0.192))
+    (IOPATH C X (0.155:0.155:0.156) (0.183:0.184:0.184))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4582_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.137:0.138:0.139) (0.072:0.073:0.074))
+    (IOPATH A2 Y (0.135:0.136:0.136) (0.064:0.065:0.065))
+    (IOPATH B1 Y (0.109:0.113:0.117) (0.046:0.052:0.057))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4583_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.200:0.200:0.201) (0.296:0.297:0.298))
+    (IOPATH B X (0.208:0.211:0.214) (0.280:0.284:0.288))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4584_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.214:0.214:0.214) (0.311:0.311:0.311))
+    (IOPATH B X (0.216:0.216:0.216) (0.298:0.298:0.298))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4585_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.161:0.161:0.161) (0.146:0.146:0.146))
+    (IOPATH B Y (0.173:0.173:0.173) (0.152:0.152:0.152))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4586_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.138:0.138:0.138) (0.172:0.173:0.173))
+    (IOPATH A2 X (0.150:0.166:0.182) (0.204:0.207:0.211))
+    (IOPATH B1 X (0.100:0.100:0.100) (0.160:0.160:0.161))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _4587_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.196:0.201:0.205) (0.206:0.207:0.209))
+    (IOPATH B X (0.149:0.151:0.152) (0.194:0.196:0.197))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4588_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.215:0.216:0.217) (0.191:0.192:0.192))
+    (IOPATH A Y (0.353:0.353:0.354) (0.142:0.143:0.143))
+    (IOPATH B Y (0.215:0.215:0.215) (0.190:0.191:0.191))
+    (IOPATH B Y (0.336:0.336:0.336) (0.132:0.132:0.132))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4589_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.145:0.145:0.145) (0.178:0.179:0.179))
+    (IOPATH A2 X (0.150:0.166:0.182) (0.203:0.207:0.210))
+    (IOPATH B1 X (0.103:0.103:0.103) (0.163:0.163:0.163))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _4590_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.205:0.210:0.215) (0.215:0.216:0.218))
+    (IOPATH B X (0.161:0.162:0.164) (0.210:0.211:0.213))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4591_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.152:0.153:0.153) (0.147:0.148:0.148))
+    (IOPATH A Y (0.226:0.226:0.227) (0.097:0.097:0.098))
+    (IOPATH B Y (0.153:0.154:0.154) (0.147:0.147:0.147))
+    (IOPATH B Y (0.210:0.210:0.210) (0.090:0.090:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4592_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.217:0.230:0.242) (0.208:0.210:0.213))
+    (IOPATH A Y (0.329:0.332:0.334) (0.158:0.179:0.201))
+    (IOPATH B Y (0.199:0.211:0.222) (0.179:0.181:0.183))
+    (IOPATH B Y (0.288:0.290:0.292) (0.123:0.140:0.156))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _4593_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.230:0.230:0.231) (0.109:0.109:0.109))
+    (IOPATH A X (0.224:0.224:0.224) (0.190:0.190:0.191))
+    (IOPATH B X (0.214:0.218:0.222) (0.110:0.129:0.147))
+    (IOPATH B X (0.228:0.237:0.246) (0.175:0.179:0.183))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _4594_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.316:0.317:0.317) (0.137:0.137:0.137))
+    (IOPATH A X (0.311:0.311:0.311) (0.212:0.212:0.212))
+    (IOPATH B X (0.309:0.312:0.315) (0.147:0.171:0.195))
+    (IOPATH B X (0.319:0.328:0.337) (0.204:0.207:0.211))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4595_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.200:0.202:0.203) (0.165:0.177:0.190))
+    (IOPATH A Y (0.268:0.279:0.290) (0.146:0.147:0.149))
+    (IOPATH B Y (0.220:0.221:0.221) (0.174:0.187:0.201))
+    (IOPATH B Y (0.261:0.274:0.287) (0.165:0.166:0.167))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4596_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.169:0.171:0.172) (0.169:0.174:0.179))
+    (IOPATH B X (0.173:0.173:0.173) (0.175:0.175:0.176))
+    (IOPATH C X (0.176:0.177:0.177) (0.190:0.191:0.191))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4597_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.188:0.190:0.192) (0.185:0.189:0.193))
+    (IOPATH B X (0.187:0.187:0.187) (0.184:0.184:0.185))
+    (IOPATH C X (0.191:0.191:0.191) (0.201:0.201:0.202))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4598_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.191:0.196:0.200) (0.088:0.090:0.092))
+    (IOPATH A2 Y (0.196:0.197:0.197) (0.090:0.090:0.090))
+    (IOPATH B1 Y (0.170:0.171:0.171) (0.062:0.063:0.063))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4599_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.222:0.226:0.229) (0.103:0.105:0.107))
+    (IOPATH A2 Y (0.222:0.222:0.222) (0.100:0.100:0.100))
+    (IOPATH B1 Y (0.197:0.197:0.198) (0.069:0.069:0.070))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or4_1")
+  (INSTANCE _4600_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.126:0.126:0.126) (0.538:0.539:0.539))
+    (IOPATH B X (0.133:0.133:0.133) (0.523:0.523:0.524))
+    (IOPATH C X (0.151:0.153:0.155) (0.488:0.491:0.493))
+    (IOPATH D X (0.155:0.157:0.159) (0.421:0.425:0.429))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or4_1")
+  (INSTANCE _4601_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.184:0.186:0.187) (0.537:0.540:0.544))
+    (IOPATH B X (0.127:0.128:0.128) (0.516:0.517:0.519))
+    (IOPATH C X (0.140:0.141:0.142) (0.514:0.515:0.515))
+    (IOPATH D X (0.127:0.147:0.167) (0.423:0.425:0.427))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4602_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.171:0.172:0.173) (0.181:0.181:0.181))
+    (IOPATH B X (0.226:0.227:0.228) (0.197:0.204:0.211))
+    (IOPATH C X (0.210:0.210:0.210) (0.199:0.206:0.214))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4603_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.182:0.182:0.182) (0.191:0.191:0.191))
+    (IOPATH B X (0.223:0.223:0.224) (0.194:0.201:0.207))
+    (IOPATH C X (0.211:0.212:0.212) (0.198:0.204:0.211))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4604_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.191:0.192:0.192) (0.075:0.076:0.076))
+    (IOPATH A2 Y (0.206:0.212:0.218) (0.129:0.129:0.130))
+    (IOPATH B1 Y (0.168:0.174:0.180) (0.082:0.082:0.082))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4605_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.193:0.194:0.194) (0.084:0.084:0.085))
+    (IOPATH A2 Y (0.196:0.202:0.207) (0.123:0.123:0.124))
+    (IOPATH B1 Y (0.160:0.165:0.170) (0.081:0.081:0.081))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or4_1")
+  (INSTANCE _4606_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.158:0.158:0.158) (0.573:0.574:0.574))
+    (IOPATH B X (0.158:0.158:0.158) (0.556:0.556:0.557))
+    (IOPATH C X (0.175:0.177:0.179) (0.525:0.528:0.532))
+    (IOPATH D X (0.169:0.171:0.173) (0.457:0.459:0.461))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4607_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.114:0.114:0.115) (0.081:0.082:0.083))
+    (IOPATH B Y (0.112:0.113:0.114) (0.078:0.079:0.079))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _4608_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.288:0.291:0.294) (0.121:0.144:0.166))
+    (IOPATH A X (0.273:0.286:0.300) (0.209:0.211:0.214))
+    (IOPATH B X (0.254:0.256:0.258) (0.107:0.107:0.108))
+    (IOPATH B X (0.268:0.268:0.269) (0.175:0.177:0.179))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4609_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.097:0.098:0.099) (0.071:0.072:0.074))
+    (IOPATH B Y (0.114:0.114:0.114) (0.083:0.084:0.085))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _4610_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.248:0.251:0.253) (0.103:0.122:0.141))
+    (IOPATH A X (0.233:0.246:0.259) (0.194:0.196:0.198))
+    (IOPATH B X (0.220:0.220:0.221) (0.092:0.093:0.094))
+    (IOPATH B X (0.233:0.233:0.234) (0.165:0.166:0.166))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _4611_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.296:0.305:0.314) (0.171:0.173:0.174))
+    (IOPATH A X (0.315:0.316:0.317) (0.206:0.215:0.224))
+    (IOPATH B X (0.274:0.281:0.287) (0.160:0.162:0.164))
+    (IOPATH B X (0.307:0.308:0.309) (0.183:0.190:0.197))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4612_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.184:0.185:0.186) (0.234:0.234:0.234))
+    (IOPATH A2 X (0.184:0.184:0.185) (0.223:0.224:0.224))
+    (IOPATH B1 X (0.158:0.158:0.158) (0.201:0.202:0.203))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4613_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.140:0.141:0.142) (0.193:0.193:0.194))
+    (IOPATH A2 X (0.132:0.132:0.132) (0.187:0.187:0.187))
+    (IOPATH B1 X (0.120:0.120:0.120) (0.172:0.172:0.173))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_1")
+  (INSTANCE _4614_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.123:0.124:0.125) (0.116:0.116:0.117))
+    (IOPATH B Y (0.141:0.142:0.142) (0.130:0.132:0.134))
+    (IOPATH C Y (0.120:0.120:0.120) (0.127:0.127:0.128))
+    (IOPATH D Y (0.105:0.105:0.105) (0.120:0.120:0.120))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4615_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.148:0.148:0.149) (0.231:0.232:0.233))
+    (IOPATH A2 X (0.148:0.148:0.148) (0.231:0.231:0.232))
+    (IOPATH B1 X (0.119:0.120:0.120) (0.173:0.174:0.174))
+    (IOPATH B2 X (0.142:0.144:0.145) (0.224:0.224:0.224))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4616_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.113:0.115:0.116) (0.085:0.087:0.090))
+    (IOPATH B Y (0.094:0.094:0.095) (0.075:0.076:0.076))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _4617_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.078:0.079:0.080) (0.064:0.064:0.064))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4618_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.177:0.179:0.181) (0.208:0.210:0.212))
+    (IOPATH A2 X (0.177:0.177:0.177) (0.218:0.218:0.219))
+    (IOPATH B1 X (0.168:0.168:0.168) (0.206:0.207:0.208))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4619_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.131:0.133:0.135) (0.180:0.182:0.184))
+    (IOPATH A2 X (0.126:0.127:0.127) (0.183:0.184:0.184))
+    (IOPATH B1 X (0.110:0.110:0.110) (0.165:0.166:0.167))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _4620_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.056:0.057:0.058) (0.045:0.045:0.045))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4621_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.143:0.143:0.143) (0.216:0.216:0.216))
+    (IOPATH A2 X (0.169:0.169:0.169) (0.248:0.249:0.249))
+    (IOPATH B1 X (0.134:0.135:0.135) (0.186:0.186:0.187))
+    (IOPATH B2 X (0.130:0.130:0.130) (0.201:0.201:0.201))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _4622_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.074:0.075:0.076) (0.055:0.055:0.055))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _4623_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.058:0.059:0.060) (0.046:0.046:0.046))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4624_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.289:0.289:0.289) (0.315:0.315:0.315))
+    (IOPATH A2 X (0.263:0.263:0.263) (0.343:0.343:0.343))
+    (IOPATH B1 X (0.236:0.236:0.236) (0.270:0.270:0.270))
+    (IOPATH B2 X (0.243:0.243:0.243) (0.305:0.305:0.305))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4625_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.215:0.215:0.215) (0.268:0.268:0.268))
+    (IOPATH A2 X (0.219:0.219:0.219) (0.305:0.305:0.305))
+    (IOPATH B1 X (0.201:0.201:0.201) (0.250:0.250:0.250))
+    (IOPATH B2 X (0.178:0.178:0.178) (0.228:0.228:0.228))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4626_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.211:0.211:0.211) (0.173:0.173:0.173))
+    (IOPATH B X (0.220:0.220:0.220) (0.193:0.193:0.193))
+    (IOPATH C X (0.247:0.248:0.248) (0.228:0.228:0.228))
+    (IOPATH D X (0.241:0.241:0.242) (0.227:0.227:0.227))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _4627_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.055:0.056:0.057) (0.045:0.045:0.045))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4628_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.144:0.145:0.145) (0.219:0.219:0.219))
+    (IOPATH A2 X (0.172:0.172:0.173) (0.252:0.252:0.252))
+    (IOPATH B1 X (0.144:0.144:0.144) (0.193:0.193:0.193))
+    (IOPATH B2 X (0.135:0.135:0.135) (0.205:0.205:0.205))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4629_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.292:0.292:0.292) (0.251:0.251:0.251))
+    (IOPATH B X (0.264:0.264:0.264) (0.220:0.220:0.220))
+    (IOPATH C X (0.293:0.293:0.293) (0.299:0.299:0.299))
+    (IOPATH D X (0.286:0.286:0.286) (0.301:0.301:0.301))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4630_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.165:0.165:0.165) (0.153:0.153:0.153))
+    (IOPATH B X (0.182:0.183:0.183) (0.182:0.183:0.183))
+    (IOPATH C X (0.196:0.196:0.196) (0.200:0.201:0.202))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4631_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.161:0.161:0.161) (0.266:0.267:0.268))
+    (IOPATH B X (0.152:0.152:0.152) (0.245:0.246:0.246))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4632_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.122:0.122:0.122) (0.053:0.053:0.053))
+    (IOPATH A2 Y (0.133:0.134:0.136) (0.073:0.077:0.081))
+    (IOPATH B1 Y (0.126:0.127:0.128) (0.058:0.058:0.058))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4633_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.183:0.183:0.183) (0.282:0.283:0.283))
+    (IOPATH B X (0.163:0.166:0.169) (0.251:0.255:0.259))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4634_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.122:0.122:0.122) (0.055:0.055:0.055))
+    (IOPATH A2 Y (0.133:0.134:0.135) (0.075:0.079:0.083))
+    (IOPATH B1 Y (0.109:0.110:0.111) (0.050:0.050:0.050))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4635_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.136:0.136:0.136) (0.247:0.247:0.248))
+    (IOPATH B X (0.126:0.128:0.131) (0.222:0.223:0.225))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4636_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.150:0.151:0.151) (0.075:0.075:0.075))
+    (IOPATH B Y (0.126:0.126:0.127) (0.054:0.054:0.054))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4637_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.069:0.069:0.070) (0.060:0.060:0.060))
+    (IOPATH B Y (0.067:0.068:0.068) (0.053:0.053:0.053))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _4638_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.210:0.213:0.215) (0.212:0.212:0.213))
+    (IOPATH B X (0.145:0.145:0.145) (0.165:0.168:0.171))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4639_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.157:0.157:0.157) (0.192:0.192:0.192))
+    (IOPATH A2 X (0.154:0.154:0.154) (0.203:0.203:0.203))
+    (IOPATH B1 X (0.136:0.136:0.136) (0.174:0.176:0.178))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4640_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.187:0.187:0.187) (0.158:0.158:0.158))
+    (IOPATH B X (0.192:0.192:0.192) (0.176:0.176:0.176))
+    (IOPATH C X (0.222:0.222:0.222) (0.211:0.211:0.212))
+    (IOPATH D X (0.211:0.211:0.211) (0.205:0.206:0.206))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4641_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.212:0.212:0.213) (0.092:0.092:0.092))
+    (IOPATH A2 Y (0.234:0.234:0.234) (0.103:0.103:0.103))
+    (IOPATH B1 Y (0.204:0.205:0.206) (0.069:0.069:0.069))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _4642_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.264:0.265:0.266) (0.129:0.129:0.130))
+    (IOPATH A2 Y (0.247:0.252:0.257) (0.146:0.148:0.150))
+    (IOPATH B1 Y (0.185:0.186:0.188) (0.123:0.126:0.128))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4643_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.138:0.146:0.154) (0.098:0.099:0.100))
+    (IOPATH B Y (0.119:0.125:0.132) (0.079:0.080:0.081))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+  (INSTANCE _4644_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.220:0.230:0.239) (0.134:0.135:0.136))
+    (IOPATH A2 Y (0.241:0.242:0.243) (0.116:0.125:0.133))
+    (IOPATH B1 Y (0.193:0.195:0.198) (0.070:0.070:0.070))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o22a_1")
+  (INSTANCE _4645_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.176:0.177:0.177) (0.228:0.228:0.229))
+    (IOPATH A2 X (0.181:0.183:0.184) (0.217:0.221:0.225))
+    (IOPATH B1 X (0.187:0.190:0.192) (0.202:0.205:0.208))
+    (IOPATH B2 X (0.154:0.155:0.155) (0.179:0.180:0.181))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4646_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.176:0.176:0.177) (0.222:0.222:0.222))
+    (IOPATH A2 X (0.205:0.205:0.205) (0.215:0.225:0.235))
+    (IOPATH B1 X (0.146:0.146:0.146) (0.192:0.194:0.196))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o22a_1")
+  (INSTANCE _4647_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.178:0.178:0.178) (0.229:0.230:0.230))
+    (IOPATH A2 X (0.188:0.190:0.192) (0.216:0.220:0.224))
+    (IOPATH B1 X (0.206:0.209:0.211) (0.205:0.210:0.215))
+    (IOPATH B2 X (0.161:0.161:0.161) (0.185:0.186:0.186))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4648_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.165:0.165:0.165) (0.212:0.212:0.212))
+    (IOPATH A2 X (0.185:0.185:0.185) (0.221:0.221:0.222))
+    (IOPATH B1 X (0.147:0.147:0.147) (0.194:0.196:0.198))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4649_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.065:0.077:0.088) (0.082:0.083:0.084))
+    (IOPATH B Y (0.084:0.098:0.111) (0.103:0.103:0.103))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _4650_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.198:0.214:0.230) (0.233:0.238:0.243))
+    (IOPATH A2 X (0.179:0.179:0.179) (0.210:0.210:0.211))
+    (IOPATH B1 X (0.168:0.171:0.174) (0.142:0.144:0.146))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4651_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.145:0.160:0.175) (0.261:0.264:0.266))
+    (IOPATH B X (0.121:0.133:0.146) (0.221:0.223:0.225))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_2")
+  (INSTANCE _4652_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.283:0.291:0.299) (0.131:0.150:0.170))
+    (IOPATH A2 Y (0.250:0.251:0.252) (0.114:0.114:0.115))
+    (IOPATH B1 Y (0.123:0.123:0.123) (0.096:0.097:0.097))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4653_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.203:0.203:0.203) (0.223:0.223:0.223))
+    (IOPATH A2 X (0.204:0.204:0.205) (0.250:0.250:0.250))
+    (IOPATH B1 X (0.170:0.180:0.190) (0.214:0.219:0.224))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_2")
+  (INSTANCE _4654_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.368:0.368:0.368) (0.331:0.331:0.331))
+    (IOPATH B X (0.309:0.309:0.309) (0.326:0.326:0.326))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_2")
+  (INSTANCE _4655_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.137:0.137:0.137) (0.148:0.148:0.148))
+    (IOPATH B Y (0.156:0.156:0.157) (0.154:0.154:0.155))
+    (IOPATH C Y (0.140:0.146:0.151) (0.148:0.161:0.173))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_4")
+  (INSTANCE _4656_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.288:0.288:0.288) (0.122:0.122:0.122))
+    (IOPATH B Y (0.272:0.272:0.272) (0.116:0.116:0.116))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31oi_4")
+  (INSTANCE _4657_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.264:0.264:0.264) (0.153:0.153:0.153))
+    (IOPATH A2 Y (0.302:0.302:0.302) (0.169:0.169:0.169))
+    (IOPATH A3 Y (0.329:0.330:0.332) (0.153:0.154:0.155))
+    (IOPATH B1 Y (0.279:0.279:0.280) (0.104:0.104:0.104))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_1")
+  (INSTANCE _4658_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.158:0.158:0.158) (0.181:0.181:0.181))
+    (IOPATH B Y (0.177:0.177:0.177) (0.187:0.187:0.187))
+    (IOPATH C Y (0.216:0.216:0.216) (0.201:0.201:0.201))
+    (IOPATH D Y (0.209:0.209:0.209) (0.200:0.200:0.200))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4659_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.237:0.237:0.237) (0.279:0.279:0.279))
+    (IOPATH A2 X (0.251:0.251:0.251) (0.328:0.328:0.328))
+    (IOPATH B1 X (0.238:0.238:0.238) (0.280:0.280:0.280))
+    (IOPATH B2 X (0.220:0.220:0.220) (0.270:0.270:0.270))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4660_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.212:0.212:0.212) (0.205:0.205:0.205))
+    (IOPATH B X (0.193:0.193:0.193) (0.214:0.214:0.214))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4661_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.140:0.141:0.142) (0.114:0.118:0.121))
+    (IOPATH B Y (0.121:0.121:0.121) (0.119:0.119:0.120))
+    (IOPATH C Y (0.109:0.109:0.109) (0.112:0.113:0.113))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4662_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.248:0.248:0.248) (0.280:0.280:0.280))
+    (IOPATH A2 X (0.246:0.246:0.246) (0.304:0.304:0.304))
+    (IOPATH B1 X (0.178:0.181:0.185) (0.243:0.244:0.246))
+    (IOPATH B2 X (0.177:0.178:0.178) (0.233:0.233:0.233))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4663_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.252:0.252:0.252) (0.288:0.288:0.288))
+    (IOPATH A2 X (0.248:0.248:0.248) (0.311:0.311:0.311))
+    (IOPATH B1 X (0.248:0.248:0.248) (0.271:0.271:0.271))
+    (IOPATH B2 X (0.229:0.229:0.229) (0.272:0.272:0.272))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4664_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.209:0.209:0.209) (0.203:0.203:0.203))
+    (IOPATH B X (0.208:0.208:0.208) (0.255:0.255:0.255))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_1")
+  (INSTANCE _4665_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.179:0.179:0.179) (0.225:0.225:0.225))
+    (IOPATH B Y (0.189:0.189:0.189) (0.202:0.202:0.202))
+    (IOPATH C Y (0.228:0.228:0.228) (0.217:0.217:0.217))
+    (IOPATH D Y (0.220:0.220:0.220) (0.215:0.215:0.215))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4666_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.148:0.148:0.148) (0.193:0.193:0.193))
+    (IOPATH A2 X (0.135:0.135:0.135) (0.203:0.203:0.203))
+    (IOPATH B1_N X (0.245:0.250:0.256) (0.233:0.235:0.237))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4667_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.171:0.173:0.175) (0.165:0.166:0.167))
+    (IOPATH B Y (0.177:0.177:0.178) (0.172:0.172:0.173))
+    (IOPATH C Y (0.162:0.162:0.163) (0.166:0.166:0.166))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4668_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.175:0.175:0.176) (0.209:0.211:0.213))
+    (IOPATH A2 X (0.177:0.178:0.178) (0.220:0.221:0.221))
+    (IOPATH B1 X (0.139:0.139:0.140) (0.190:0.191:0.191))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _4669_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.298:0.298:0.298) (0.172:0.172:0.172))
+    (IOPATH A2 Y (0.340:0.340:0.340) (0.189:0.189:0.189))
+    (IOPATH B1 Y (0.309:0.309:0.309) (0.178:0.178:0.178))
+    (IOPATH B2 Y (0.282:0.282:0.282) (0.150:0.150:0.150))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4670_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.234:0.234:0.234) (0.181:0.181:0.181))
+    (IOPATH B X (0.276:0.276:0.276) (0.241:0.241:0.241))
+    (IOPATH C X (0.269:0.269:0.269) (0.279:0.279:0.279))
+    (IOPATH D X (0.264:0.264:0.264) (0.287:0.287:0.287))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4671_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.196:0.201:0.207) (0.123:0.123:0.124))
+    (IOPATH B Y (0.156:0.157:0.158) (0.068:0.069:0.069))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4672_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.124:0.124:0.124) (0.113:0.113:0.113))
+    (IOPATH B Y (0.137:0.137:0.137) (0.113:0.113:0.113))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4673_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.178:0.178:0.178) (0.148:0.157:0.167))
+    (IOPATH A Y (0.227:0.236:0.244) (0.126:0.126:0.126))
+    (IOPATH B Y (0.156:0.157:0.157) (0.158:0.161:0.165))
+    (IOPATH B Y (0.220:0.223:0.226) (0.092:0.093:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4674_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.179:0.179:0.179) (0.159:0.161:0.164))
+    (IOPATH B Y (0.152:0.152:0.153) (0.149:0.149:0.149))
+    (IOPATH C Y (0.146:0.150:0.153) (0.147:0.161:0.174))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4675_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.275:0.275:0.275) (0.221:0.221:0.221))
+    (IOPATH B X (0.281:0.281:0.281) (0.248:0.248:0.248))
+    (IOPATH C X (0.297:0.297:0.297) (0.298:0.298:0.298))
+    (IOPATH D X (0.281:0.281:0.281) (0.273:0.273:0.273))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _4676_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.215:0.215:0.215) (0.136:0.136:0.136))
+    (IOPATH A2 Y (0.255:0.255:0.255) (0.152:0.152:0.152))
+    (IOPATH B1 Y (0.222:0.222:0.222) (0.123:0.123:0.123))
+    (IOPATH B2 Y (0.204:0.204:0.204) (0.109:0.109:0.109))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4677_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.503:0.504:0.505) (0.171:0.172:0.172))
+    (IOPATH B Y (0.496:0.503:0.509) (0.183:0.185:0.188))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4678_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.176:0.176:0.176) (0.213:0.214:0.214))
+    (IOPATH A2 X (0.168:0.168:0.168) (0.228:0.228:0.228))
+    (IOPATH B1_N X (0.271:0.272:0.273) (0.254:0.257:0.260))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _4679_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.265:0.266:0.267) (0.192:0.192:0.192))
+    (IOPATH A X (0.271:0.271:0.271) (0.222:0.223:0.224))
+    (IOPATH B X (0.210:0.211:0.211) (0.103:0.103:0.103))
+    (IOPATH B X (0.226:0.226:0.227) (0.169:0.169:0.169))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _4680_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.232:0.232:0.232) (0.163:0.163:0.163))
+    (IOPATH A2 Y (0.263:0.263:0.263) (0.156:0.156:0.156))
+    (IOPATH B1 Y (0.222:0.222:0.222) (0.127:0.127:0.127))
+    (IOPATH B2 Y (0.224:0.224:0.224) (0.133:0.133:0.133))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4681_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.270:0.270:0.270) (0.214:0.214:0.214))
+    (IOPATH B X (0.276:0.276:0.276) (0.241:0.241:0.241))
+    (IOPATH C X (0.267:0.267:0.267) (0.278:0.278:0.278))
+    (IOPATH D X (0.262:0.262:0.262) (0.286:0.286:0.286))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4682_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.184:0.187:0.190) (0.096:0.097:0.098))
+    (IOPATH B Y (0.151:0.152:0.153) (0.067:0.067:0.067))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4683_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.124:0.124:0.124) (0.107:0.107:0.107))
+    (IOPATH B Y (0.130:0.130:0.130) (0.111:0.111:0.111))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4684_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.195:0.195:0.195) (0.161:0.166:0.171))
+    (IOPATH A Y (0.264:0.268:0.272) (0.140:0.140:0.140))
+    (IOPATH B Y (0.174:0.174:0.175) (0.172:0.174:0.177))
+    (IOPATH B Y (0.257:0.259:0.261) (0.106:0.106:0.106))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4685_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.162:0.163:0.165) (0.139:0.158:0.178))
+    (IOPATH A Y (0.192:0.210:0.227) (0.117:0.118:0.120))
+    (IOPATH B Y (0.151:0.164:0.176) (0.149:0.153:0.156))
+    (IOPATH B Y (0.186:0.189:0.192) (0.093:0.108:0.122))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4686_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.237:0.237:0.237) (0.117:0.119:0.121))
+    (IOPATH A2 Y (0.240:0.242:0.244) (0.111:0.112:0.113))
+    (IOPATH B1 Y (0.184:0.188:0.192) (0.063:0.075:0.087))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4687_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.143:0.156:0.170) (0.139:0.144:0.149))
+    (IOPATH B X (0.172:0.174:0.176) (0.212:0.212:0.212))
+    (IOPATH C X (0.166:0.166:0.167) (0.209:0.212:0.214))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4688_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.163:0.165:0.167) (0.250:0.257:0.265))
+    (IOPATH B X (0.124:0.124:0.124) (0.227:0.227:0.228))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _4689_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.209:0.209:0.209) (0.238:0.238:0.238))
+    (IOPATH A2 X (0.212:0.212:0.212) (0.271:0.271:0.271))
+    (IOPATH A3 X (0.185:0.186:0.186) (0.226:0.235:0.243))
+    (IOPATH B1 X (0.122:0.123:0.123) (0.195:0.196:0.197))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4690_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.199:0.199:0.199) (0.221:0.221:0.221))
+    (IOPATH B X (0.189:0.189:0.189) (0.225:0.225:0.225))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4691_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.135:0.135:0.136) (0.057:0.058:0.059))
+    (IOPATH B Y (0.118:0.118:0.118) (0.053:0.053:0.053))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4692_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.134:0.135:0.136) (0.142:0.143:0.143))
+    (IOPATH B X (0.138:0.139:0.139) (0.159:0.159:0.159))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4693_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.127:0.128:0.129) (0.075:0.075:0.075))
+    (IOPATH B Y (0.115:0.116:0.116) (0.051:0.051:0.051))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4694_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.131:0.131:0.131) (0.119:0.119:0.119))
+    (IOPATH B Y (0.167:0.167:0.167) (0.137:0.137:0.137))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _4695_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.217:0.220:0.223) (0.108:0.108:0.108))
+    (IOPATH A X (0.229:0.229:0.229) (0.172:0.175:0.178))
+    (IOPATH B X (0.223:0.224:0.224) (0.097:0.099:0.102))
+    (IOPATH B X (0.226:0.228:0.229) (0.178:0.179:0.179))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4696_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.137:0.137:0.137) (0.061:0.061:0.061))
+    (IOPATH B Y (0.117:0.121:0.125) (0.077:0.078:0.079))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _4697_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.243:0.243:0.243) (0.170:0.170:0.170))
+    (IOPATH A2 Y (0.265:0.265:0.265) (0.156:0.156:0.156))
+    (IOPATH B1 Y (0.234:0.234:0.234) (0.135:0.135:0.135))
+    (IOPATH B2 Y (0.224:0.224:0.224) (0.121:0.121:0.121))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4698_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.242:0.242:0.242) (0.223:0.223:0.223))
+    (IOPATH B X (0.202:0.202:0.202) (0.202:0.202:0.202))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4699_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.248:0.248:0.248) (0.214:0.214:0.214))
+    (IOPATH B X (0.242:0.242:0.242) (0.254:0.254:0.254))
+    (IOPATH C X (0.202:0.202:0.202) (0.207:0.207:0.208))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4700_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.227:0.233:0.238) (0.115:0.116:0.117))
+    (IOPATH B Y (0.198:0.199:0.199) (0.080:0.081:0.081))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4701_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.097:0.097:0.097) (0.099:0.099:0.099))
+    (IOPATH B Y (0.133:0.133:0.133) (0.114:0.114:0.114))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4702_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.221:0.221:0.221) (0.176:0.181:0.186))
+    (IOPATH A Y (0.298:0.302:0.307) (0.165:0.165:0.165))
+    (IOPATH B Y (0.185:0.187:0.190) (0.181:0.181:0.181))
+    (IOPATH B Y (0.286:0.286:0.286) (0.110:0.112:0.115))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4703_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.070:0.070:0.070) (0.067:0.067:0.067))
+    (IOPATH B Y (0.130:0.130:0.130) (0.088:0.088:0.088))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4704_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.253:0.253:0.253) (0.221:0.221:0.221))
+    (IOPATH B X (0.278:0.278:0.278) (0.254:0.254:0.254))
+    (IOPATH C X (0.205:0.209:0.213) (0.213:0.216:0.220))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _4705_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.217:0.231:0.244) (0.231:0.236:0.240))
+    (IOPATH A Y (0.377:0.381:0.385) (0.169:0.187:0.206))
+    (IOPATH B Y (0.207:0.208:0.208) (0.211:0.212:0.212))
+    (IOPATH B Y (0.339:0.340:0.340) (0.152:0.152:0.152))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4706_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.219:0.220:0.220) (0.184:0.185:0.186))
+    (IOPATH B X (0.138:0.138:0.138) (0.163:0.163:0.164))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4707_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.172:0.173:0.175) (0.178:0.195:0.213))
+    (IOPATH A2 X (0.161:0.177:0.192) (0.211:0.214:0.217))
+    (IOPATH B1 X (0.110:0.111:0.113) (0.169:0.169:0.169))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _4708_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.248:0.252:0.257) (0.113:0.135:0.157))
+    (IOPATH A X (0.223:0.236:0.249) (0.210:0.214:0.219))
+    (IOPATH B X (0.196:0.196:0.196) (0.088:0.089:0.089))
+    (IOPATH B X (0.211:0.212:0.212) (0.159:0.159:0.160))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _4709_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.210:0.210:0.210) (0.243:0.243:0.243))
+    (IOPATH A2 X (0.217:0.217:0.217) (0.270:0.270:0.270))
+    (IOPATH A3 X (0.187:0.187:0.187) (0.228:0.232:0.236))
+    (IOPATH B1 X (0.125:0.125:0.125) (0.197:0.198:0.198))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4710_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.203:0.203:0.203) (0.212:0.212:0.212))
+    (IOPATH B X (0.193:0.193:0.193) (0.213:0.213:0.213))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4711_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.145:0.145:0.146) (0.061:0.062:0.063))
+    (IOPATH B Y (0.130:0.130:0.131) (0.060:0.060:0.060))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4712_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.135:0.136:0.137) (0.143:0.143:0.144))
+    (IOPATH B X (0.141:0.142:0.142) (0.161:0.161:0.162))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4713_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.123:0.123:0.124) (0.075:0.075:0.075))
+    (IOPATH B Y (0.109:0.109:0.109) (0.049:0.049:0.049))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4714_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.144:0.144:0.144) (0.135:0.135:0.135))
+    (IOPATH B Y (0.170:0.170:0.170) (0.147:0.147:0.147))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _4715_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.218:0.221:0.225) (0.106:0.106:0.106))
+    (IOPATH A X (0.228:0.228:0.228) (0.172:0.175:0.179))
+    (IOPATH B X (0.227:0.228:0.228) (0.102:0.104:0.106))
+    (IOPATH B X (0.231:0.232:0.233) (0.181:0.181:0.182))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _4716_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.178:0.190:0.201) (0.106:0.107:0.109))
+    (IOPATH A X (0.191:0.192:0.193) (0.168:0.179:0.190))
+    (IOPATH B X (0.161:0.165:0.169) (0.109:0.111:0.112))
+    (IOPATH B X (0.195:0.196:0.197) (0.151:0.155:0.159))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _4717_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.218:0.225:0.232) (0.138:0.140:0.142))
+    (IOPATH A2 Y (0.201:0.205:0.209) (0.107:0.108:0.108))
+    (IOPATH B1 Y (0.133:0.141:0.149) (0.126:0.128:0.130))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _4718_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.146:0.148:0.149) (0.383:0.389:0.395))
+    (IOPATH B X (0.153:0.154:0.156) (0.361:0.367:0.374))
+    (IOPATH C X (0.134:0.134:0.134) (0.321:0.324:0.327))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4719_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.162:0.169:0.175) (0.156:0.161:0.166))
+    (IOPATH B X (0.136:0.137:0.137) (0.176:0.176:0.176))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _4720_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.218:0.218:0.218) (0.219:0.220:0.221))
+    (IOPATH A2 X (0.195:0.197:0.199) (0.228:0.229:0.230))
+    (IOPATH B1_N X (0.278:0.279:0.279) (0.186:0.186:0.186))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4721_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.149:0.150:0.150) (0.143:0.143:0.143))
+    (IOPATH A Y (0.217:0.217:0.217) (0.095:0.095:0.095))
+    (IOPATH B Y (0.168:0.169:0.169) (0.155:0.156:0.157))
+    (IOPATH B Y (0.212:0.213:0.213) (0.107:0.107:0.107))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _4722_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.285:0.285:0.285) (0.178:0.178:0.178))
+    (IOPATH A2 Y (0.258:0.258:0.258) (0.146:0.146:0.146))
+    (IOPATH B1 Y (0.257:0.257:0.257) (0.150:0.150:0.150))
+    (IOPATH B2 Y (0.229:0.229:0.229) (0.121:0.121:0.121))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4723_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.143:0.143:0.143) (0.134:0.134:0.134))
+    (IOPATH B Y (0.168:0.168:0.168) (0.154:0.154:0.154))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4724_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.299:0.299:0.299) (0.218:0.218:0.218))
+    (IOPATH B X (0.338:0.338:0.338) (0.301:0.301:0.301))
+    (IOPATH C X (0.298:0.298:0.298) (0.262:0.262:0.262))
+    (IOPATH D X (0.321:0.321:0.321) (0.298:0.298:0.298))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _4725_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.217:0.223:0.230) (0.138:0.139:0.140))
+    (IOPATH A2 Y (0.209:0.211:0.212) (0.096:0.098:0.099))
+    (IOPATH B1_N Y (0.167:0.168:0.168) (0.194:0.195:0.196))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4726_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.185:0.185:0.185) (0.187:0.187:0.187))
+    (IOPATH B X (0.195:0.195:0.195) (0.238:0.238:0.238))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4727_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.148:0.152:0.156) (0.071:0.081:0.091))
+    (IOPATH B Y (0.124:0.124:0.124) (0.055:0.055:0.055))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4728_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.149:0.160:0.171) (0.153:0.158:0.163))
+    (IOPATH B X (0.141:0.141:0.141) (0.160:0.161:0.161))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4729_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.122:0.127:0.132) (0.074:0.074:0.074))
+    (IOPATH B Y (0.110:0.110:0.110) (0.050:0.050:0.050))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4730_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.132:0.132:0.132) (0.122:0.122:0.122))
+    (IOPATH B Y (0.168:0.168:0.168) (0.140:0.140:0.140))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4731_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.167:0.167:0.167) (0.142:0.145:0.148))
+    (IOPATH A Y (0.222:0.225:0.229) (0.112:0.112:0.112))
+    (IOPATH B Y (0.161:0.163:0.166) (0.167:0.168:0.169))
+    (IOPATH B Y (0.230:0.231:0.231) (0.097:0.099:0.101))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4732_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.160:0.162:0.163) (0.218:0.218:0.218))
+    (IOPATH A2 X (0.151:0.151:0.151) (0.200:0.201:0.201))
+    (IOPATH B1 X (0.119:0.128:0.138) (0.176:0.179:0.182))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4733_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.141:0.147:0.154) (0.089:0.090:0.090))
+    (IOPATH B Y (0.125:0.125:0.126) (0.071:0.071:0.071))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4734_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.175:0.175:0.175) (0.155:0.158:0.160))
+    (IOPATH A Y (0.247:0.249:0.252) (0.117:0.117:0.117))
+    (IOPATH B Y (0.171:0.172:0.174) (0.178:0.179:0.181))
+    (IOPATH B Y (0.251:0.253:0.254) (0.103:0.105:0.106))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4735_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.133:0.138:0.143) (0.105:0.108:0.111))
+    (IOPATH B Y (0.112:0.112:0.113) (0.111:0.111:0.111))
+    (IOPATH C Y (0.096:0.096:0.097) (0.099:0.099:0.099))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4736_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.230:0.230:0.230) (0.266:0.266:0.266))
+    (IOPATH A2 X (0.227:0.227:0.227) (0.315:0.315:0.315))
+    (IOPATH B1 X (0.166:0.169:0.171) (0.237:0.242:0.248))
+    (IOPATH B2 X (0.166:0.166:0.166) (0.224:0.224:0.224))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4737_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.108:0.108:0.108) (0.110:0.110:0.110))
+    (IOPATH B Y (0.138:0.138:0.138) (0.125:0.125:0.125))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _4738_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.246:0.246:0.246) (0.160:0.160:0.160))
+    (IOPATH A2 Y (0.263:0.263:0.263) (0.137:0.137:0.137))
+    (IOPATH B1 Y (0.214:0.214:0.214) (0.115:0.115:0.115))
+    (IOPATH B2 Y (0.206:0.206:0.206) (0.089:0.089:0.089))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4739_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.246:0.246:0.246) (0.196:0.196:0.196))
+    (IOPATH B X (0.275:0.275:0.275) (0.240:0.240:0.240))
+    (IOPATH C X (0.279:0.279:0.279) (0.265:0.265:0.265))
+    (IOPATH D X (0.289:0.289:0.289) (0.288:0.288:0.288))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _4740_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.223:0.223:0.223) (0.107:0.108:0.109))
+    (IOPATH A2 Y (0.201:0.210:0.219) (0.115:0.117:0.119))
+    (IOPATH B1_N Y (0.160:0.161:0.161) (0.185:0.186:0.187))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4741_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.154:0.155:0.157) (0.192:0.194:0.197))
+    (IOPATH A2 X (0.155:0.156:0.156) (0.205:0.205:0.205))
+    (IOPATH B1 X (0.136:0.143:0.151) (0.190:0.191:0.192))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4742_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.113:0.116:0.119) (0.108:0.109:0.111))
+    (IOPATH B Y (0.119:0.119:0.119) (0.113:0.113:0.114))
+    (IOPATH C Y (0.127:0.128:0.129) (0.124:0.134:0.145))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4743_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.148:0.162:0.175) (0.194:0.196:0.198))
+    (IOPATH A2 X (0.142:0.142:0.142) (0.210:0.210:0.211))
+    (IOPATH B1_N X (0.221:0.223:0.225) (0.227:0.229:0.231))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4744_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.163:0.164:0.164) (0.209:0.211:0.213))
+    (IOPATH A2 X (0.147:0.147:0.148) (0.198:0.198:0.199))
+    (IOPATH B1 X (0.118:0.119:0.119) (0.176:0.176:0.176))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4745_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.138:0.140:0.142) (0.126:0.127:0.128))
+    (IOPATH B Y (0.117:0.117:0.117) (0.112:0.113:0.113))
+    (IOPATH C Y (0.115:0.116:0.116) (0.115:0.115:0.116))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21boi_1")
+  (INSTANCE _4746_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.194:0.196:0.198) (0.098:0.113:0.128))
+    (IOPATH A2 Y (0.208:0.209:0.209) (0.093:0.093:0.093))
+    (IOPATH B1_N Y (0.231:0.232:0.234) (0.166:0.168:0.170))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _4747_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.223:0.223:0.223) (0.095:0.095:0.095))
+    (IOPATH A X (0.210:0.210:0.210) (0.185:0.185:0.185))
+    (IOPATH B X (0.199:0.203:0.207) (0.125:0.127:0.128))
+    (IOPATH B X (0.233:0.234:0.235) (0.161:0.165:0.169))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _4748_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.187:0.196:0.205) (0.200:0.202:0.204))
+    (IOPATH B X (0.149:0.150:0.152) (0.155:0.164:0.173))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _4749_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.192:0.192:0.192) (0.205:0.210:0.215))
+    (IOPATH A2 X (0.168:0.169:0.171) (0.214:0.215:0.216))
+    (IOPATH B1_N X (0.251:0.251:0.251) (0.173:0.173:0.173))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4750_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.177:0.178:0.179) (0.150:0.159:0.168))
+    (IOPATH A Y (0.223:0.230:0.238) (0.128:0.130:0.131))
+    (IOPATH B Y (0.175:0.177:0.178) (0.147:0.156:0.164))
+    (IOPATH B Y (0.204:0.212:0.220) (0.116:0.118:0.120))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _4751_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.188:0.189:0.190) (0.184:0.184:0.184))
+    (IOPATH B X (0.128:0.140:0.152) (0.159:0.163:0.167))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4752_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.150:0.150:0.150) (0.054:0.055:0.055))
+    (IOPATH B Y (0.136:0.136:0.136) (0.050:0.050:0.051))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4753_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.168:0.179:0.190) (0.160:0.162:0.164))
+    (IOPATH A Y (0.246:0.247:0.249) (0.111:0.125:0.139))
+    (IOPATH B Y (0.180:0.180:0.180) (0.146:0.147:0.147))
+    (IOPATH B Y (0.217:0.217:0.218) (0.117:0.117:0.117))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4754_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.160:0.161:0.161) (0.151:0.152:0.153))
+    (IOPATH A Y (0.226:0.227:0.228) (0.106:0.106:0.106))
+    (IOPATH B Y (0.160:0.171:0.182) (0.152:0.156:0.161))
+    (IOPATH B Y (0.211:0.215:0.219) (0.097:0.110:0.124))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4755_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.123:0.125:0.127) (0.113:0.115:0.117))
+    (IOPATH B Y (0.128:0.131:0.133) (0.125:0.141:0.157))
+    (IOPATH C Y (0.118:0.118:0.119) (0.120:0.120:0.120))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4756_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.152:0.154:0.156) (0.196:0.198:0.200))
+    (IOPATH A2 X (0.153:0.153:0.153) (0.202:0.202:0.203))
+    (IOPATH B1 X (0.131:0.140:0.150) (0.185:0.187:0.189))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4757_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.253:0.253:0.253) (0.303:0.303:0.303))
+    (IOPATH A2 X (0.211:0.211:0.211) (0.284:0.284:0.284))
+    (IOPATH B1 X (0.211:0.211:0.211) (0.234:0.234:0.234))
+    (IOPATH B2 X (0.223:0.223:0.223) (0.272:0.272:0.272))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_1")
+  (INSTANCE _4758_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.159:0.159:0.159) (0.164:0.164:0.164))
+    (IOPATH B Y (0.125:0.125:0.125) (0.143:0.143:0.143))
+    (IOPATH C Y (0.136:0.136:0.136) (0.147:0.147:0.147))
+    (IOPATH D Y (0.178:0.178:0.178) (0.164:0.164:0.164))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4759_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.198:0.198:0.198) (0.204:0.204:0.204))
+    (IOPATH B X (0.190:0.190:0.190) (0.217:0.217:0.217))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4760_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.188:0.188:0.188) (0.097:0.098:0.098))
+    (IOPATH A2 Y (0.220:0.226:0.231) (0.095:0.098:0.100))
+    (IOPATH B1 Y (0.171:0.171:0.171) (0.061:0.061:0.061))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4761_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.255:0.255:0.256) (0.206:0.206:0.207))
+    (IOPATH B X (0.254:0.257:0.259) (0.244:0.250:0.257))
+    (IOPATH C X (0.253:0.253:0.253) (0.231:0.232:0.232))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4762_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.194:0.198:0.203) (0.103:0.105:0.107))
+    (IOPATH B Y (0.195:0.196:0.196) (0.098:0.098:0.099))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _4763_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.148:0.149:0.149) (0.399:0.400:0.401))
+    (IOPATH B X (0.134:0.136:0.138) (0.384:0.384:0.384))
+    (IOPATH C X (0.155:0.157:0.160) (0.339:0.347:0.355))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _4764_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.184:0.185:0.186) (0.106:0.106:0.106))
+    (IOPATH A2 Y (0.173:0.181:0.190) (0.104:0.106:0.108))
+    (IOPATH B1 Y (0.124:0.124:0.124) (0.091:0.092:0.094))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4765_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.186:0.186:0.186) (0.175:0.175:0.175))
+    (IOPATH B X (0.193:0.193:0.193) (0.214:0.214:0.214))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4766_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.202:0.202:0.202) (0.253:0.253:0.253))
+    (IOPATH A2 X (0.246:0.246:0.246) (0.325:0.325:0.325))
+    (IOPATH B1 X (0.227:0.227:0.227) (0.258:0.258:0.258))
+    (IOPATH B2 X (0.204:0.204:0.204) (0.245:0.245:0.245))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_1")
+  (INSTANCE _4767_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.130:0.130:0.130) (0.162:0.162:0.162))
+    (IOPATH B Y (0.183:0.183:0.183) (0.205:0.205:0.205))
+    (IOPATH C Y (0.212:0.212:0.212) (0.197:0.197:0.197))
+    (IOPATH D Y (0.206:0.206:0.206) (0.196:0.196:0.196))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4768_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.136:0.136:0.136) (0.183:0.183:0.183))
+    (IOPATH A2 X (0.145:0.145:0.145) (0.213:0.213:0.213))
+    (IOPATH B1_N X (0.238:0.242:0.246) (0.229:0.233:0.236))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4769_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.154:0.154:0.154) (0.199:0.199:0.199))
+    (IOPATH A2 X (0.172:0.177:0.182) (0.212:0.213:0.214))
+    (IOPATH B1 X (0.127:0.128:0.128) (0.182:0.182:0.183))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4770_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.122:0.122:0.122) (0.109:0.109:0.109))
+    (IOPATH B Y (0.128:0.129:0.130) (0.131:0.136:0.142))
+    (IOPATH C Y (0.118:0.118:0.119) (0.118:0.118:0.118))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4771_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.189:0.189:0.189) (0.208:0.209:0.209))
+    (IOPATH A2 X (0.165:0.165:0.165) (0.227:0.227:0.227))
+    (IOPATH B1_N X (0.245:0.246:0.248) (0.245:0.246:0.248))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_2")
+  (INSTANCE _4772_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.120:0.124:0.128) (0.116:0.118:0.120))
+    (IOPATH B Y (0.113:0.114:0.114) (0.123:0.123:0.123))
+    (IOPATH C Y (0.117:0.117:0.118) (0.127:0.128:0.128))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4773_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.324:0.324:0.324) (0.262:0.262:0.262))
+    (IOPATH B X (0.356:0.356:0.356) (0.298:0.298:0.298))
+    (IOPATH C X (0.320:0.320:0.320) (0.296:0.296:0.296))
+    (IOPATH D X (0.327:0.327:0.327) (0.326:0.326:0.326))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_1")
+  (INSTANCE _4774_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.233:0.233:0.234) (0.275:0.276:0.277))
+    (IOPATH A2 X (0.214:0.214:0.214) (0.261:0.262:0.263))
+    (IOPATH B1 X (0.265:0.265:0.265) (0.241:0.241:0.241))
+    (IOPATH C1 X (0.259:0.259:0.259) (0.212:0.212:0.212))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211oi_1")
+  (INSTANCE _4775_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.287:0.287:0.287) (0.135:0.135:0.135))
+    (IOPATH A2 Y (0.309:0.309:0.309) (0.135:0.135:0.135))
+    (IOPATH B1 Y (0.245:0.246:0.246) (0.077:0.077:0.077))
+    (IOPATH C1 Y (0.208:0.208:0.209) (0.072:0.072:0.072))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4776_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.180:0.181:0.182) (0.083:0.083:0.084))
+    (IOPATH B Y (0.160:0.169:0.177) (0.094:0.097:0.100))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4777_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.157:0.159:0.160) (0.200:0.203:0.207))
+    (IOPATH A2 X (0.155:0.155:0.156) (0.204:0.204:0.205))
+    (IOPATH B1 X (0.131:0.131:0.132) (0.184:0.185:0.185))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4778_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.123:0.123:0.124) (0.107:0.108:0.109))
+    (IOPATH B Y (0.114:0.119:0.123) (0.134:0.134:0.134))
+    (IOPATH C Y (0.113:0.114:0.114) (0.114:0.114:0.114))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4779_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.184:0.186:0.188) (0.180:0.182:0.184))
+    (IOPATH B X (0.190:0.205:0.220) (0.188:0.190:0.192))
+    (IOPATH C X (0.187:0.187:0.188) (0.197:0.197:0.197))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4780_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.189:0.191:0.192) (0.089:0.090:0.092))
+    (IOPATH A2 Y (0.190:0.190:0.191) (0.085:0.086:0.086))
+    (IOPATH B1 Y (0.170:0.171:0.173) (0.066:0.076:0.087))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211o_1")
+  (INSTANCE _4781_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.159:0.161:0.162) (0.304:0.304:0.305))
+    (IOPATH A2 X (0.160:0.162:0.163) (0.333:0.334:0.336))
+    (IOPATH B1 X (0.136:0.136:0.137) (0.294:0.294:0.295))
+    (IOPATH C1 X (0.149:0.151:0.152) (0.253:0.257:0.261))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211ai_1")
+  (INSTANCE _4782_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.225:0.226:0.227) (0.126:0.126:0.127))
+    (IOPATH A2 Y (0.210:0.215:0.219) (0.125:0.127:0.129))
+    (IOPATH B1 Y (0.146:0.147:0.147) (0.123:0.124:0.125))
+    (IOPATH C1 Y (0.128:0.130:0.132) (0.116:0.118:0.119))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4783_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.111:0.112:0.113) (0.116:0.117:0.117))
+    (IOPATH B Y (0.125:0.126:0.127) (0.114:0.114:0.115))
+    (IOPATH C Y (0.130:0.133:0.136) (0.126:0.136:0.146))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4784_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.158:0.158:0.159) (0.165:0.165:0.166))
+    (IOPATH B X (0.165:0.167:0.169) (0.196:0.199:0.201))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4785_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.163:0.174:0.185) (0.157:0.160:0.163))
+    (IOPATH A Y (0.236:0.239:0.241) (0.108:0.122:0.136))
+    (IOPATH B Y (0.160:0.160:0.160) (0.149:0.149:0.150))
+    (IOPATH B Y (0.213:0.213:0.213) (0.096:0.096:0.096))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4786_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.131:0.131:0.132) (0.174:0.175:0.176))
+    (IOPATH A2 X (0.146:0.157:0.167) (0.202:0.205:0.207))
+    (IOPATH B1 X (0.115:0.115:0.116) (0.172:0.174:0.175))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4787_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.125:0.126:0.128) (0.114:0.116:0.118))
+    (IOPATH B Y (0.126:0.127:0.127) (0.147:0.147:0.147))
+    (IOPATH C Y (0.118:0.118:0.119) (0.120:0.120:0.121))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4788_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.152:0.154:0.156) (0.197:0.199:0.200))
+    (IOPATH A2 X (0.152:0.153:0.153) (0.201:0.202:0.202))
+    (IOPATH B1 X (0.143:0.143:0.143) (0.182:0.183:0.183))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _4789_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.368:0.368:0.368) (0.208:0.208:0.208))
+    (IOPATH A2 Y (0.386:0.386:0.386) (0.219:0.219:0.219))
+    (IOPATH B1 Y (0.335:0.335:0.335) (0.179:0.179:0.179))
+    (IOPATH B2 Y (0.356:0.356:0.356) (0.172:0.172:0.172))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4790_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.219:0.219:0.219) (0.214:0.214:0.214))
+    (IOPATH B X (0.225:0.225:0.225) (0.257:0.257:0.257))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4791_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.291:0.291:0.291) (0.256:0.256:0.256))
+    (IOPATH B X (0.315:0.315:0.315) (0.288:0.288:0.288))
+    (IOPATH C X (0.247:0.247:0.247) (0.231:0.231:0.231))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4792_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.213:0.217:0.220) (0.130:0.132:0.133))
+    (IOPATH B Y (0.179:0.180:0.180) (0.090:0.090:0.090))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4793_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.135:0.139:0.142) (0.110:0.114:0.119))
+    (IOPATH B Y (0.109:0.110:0.110) (0.109:0.109:0.109))
+    (IOPATH C Y (0.116:0.116:0.116) (0.117:0.117:0.117))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4794_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.216:0.216:0.216) (0.268:0.268:0.268))
+    (IOPATH A2 X (0.230:0.230:0.230) (0.291:0.291:0.291))
+    (IOPATH B1 X (0.158:0.163:0.167) (0.228:0.231:0.235))
+    (IOPATH B2 X (0.159:0.159:0.159) (0.219:0.219:0.219))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4795_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.159:0.159:0.159) (0.153:0.153:0.153))
+    (IOPATH B Y (0.177:0.177:0.177) (0.142:0.142:0.142))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _4796_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.255:0.255:0.255) (0.147:0.147:0.147))
+    (IOPATH A2 Y (0.291:0.291:0.291) (0.164:0.164:0.164))
+    (IOPATH B1 Y (0.237:0.237:0.237) (0.137:0.137:0.137))
+    (IOPATH B2 Y (0.273:0.273:0.273) (0.130:0.130:0.130))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4797_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.250:0.250:0.250) (0.191:0.191:0.191))
+    (IOPATH B X (0.289:0.289:0.289) (0.274:0.274:0.274))
+    (IOPATH C X (0.286:0.286:0.286) (0.293:0.293:0.293))
+    (IOPATH D X (0.263:0.263:0.263) (0.247:0.247:0.247))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _4798_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.235:0.241:0.247) (0.115:0.116:0.116))
+    (IOPATH A2 Y (0.219:0.224:0.229) (0.126:0.129:0.131))
+    (IOPATH B1_N Y (0.159:0.159:0.160) (0.185:0.186:0.187))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4799_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.158:0.159:0.160) (0.198:0.200:0.201))
+    (IOPATH A2 X (0.156:0.156:0.157) (0.206:0.206:0.206))
+    (IOPATH B1 X (0.138:0.145:0.153) (0.194:0.194:0.195))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4800_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.120:0.121:0.122) (0.111:0.112:0.113))
+    (IOPATH B Y (0.118:0.119:0.119) (0.112:0.113:0.113))
+    (IOPATH C Y (0.129:0.130:0.131) (0.125:0.135:0.146))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4801_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.173:0.173:0.173) (0.196:0.203:0.210))
+    (IOPATH A2 X (0.152:0.152:0.152) (0.217:0.217:0.217))
+    (IOPATH B1_N X (0.230:0.232:0.234) (0.234:0.235:0.237))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_2")
+  (INSTANCE _4802_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.119:0.122:0.125) (0.115:0.117:0.118))
+    (IOPATH B Y (0.112:0.112:0.112) (0.121:0.121:0.121))
+    (IOPATH C Y (0.112:0.113:0.113) (0.122:0.122:0.122))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4803_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.141:0.143:0.144) (0.189:0.191:0.194))
+    (IOPATH A2 X (0.139:0.139:0.139) (0.192:0.192:0.193))
+    (IOPATH B1 X (0.111:0.111:0.111) (0.170:0.170:0.171))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4804_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.143:0.143:0.144) (0.156:0.157:0.157))
+    (IOPATH B Y (0.170:0.170:0.171) (0.144:0.146:0.147))
+    (IOPATH C Y (0.138:0.139:0.139) (0.139:0.140:0.140))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4805_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.196:0.198:0.199) (0.192:0.192:0.193))
+    (IOPATH B X (0.222:0.222:0.222) (0.189:0.193:0.198))
+    (IOPATH C X (0.202:0.203:0.203) (0.205:0.206:0.206))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4806_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.230:0.230:0.231) (0.099:0.100:0.102))
+    (IOPATH A2 Y (0.228:0.229:0.229) (0.100:0.100:0.100))
+    (IOPATH B1 Y (0.202:0.205:0.209) (0.090:0.090:0.090))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211oi_2")
+  (INSTANCE _4807_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.309:0.310:0.310) (0.098:0.099:0.101))
+    (IOPATH A2 Y (0.355:0.356:0.357) (0.114:0.115:0.117))
+    (IOPATH B1 Y (0.307:0.308:0.309) (0.074:0.074:0.074))
+    (IOPATH C1 Y (0.263:0.265:0.266) (0.085:0.086:0.088))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4808_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.153:0.155:0.156) (0.197:0.199:0.201))
+    (IOPATH A2 X (0.146:0.146:0.146) (0.197:0.198:0.198))
+    (IOPATH B1 X (0.155:0.157:0.158) (0.177:0.183:0.189))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_1")
+  (INSTANCE _4809_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.195:0.195:0.195) (0.246:0.246:0.247))
+    (IOPATH A2 X (0.195:0.196:0.198) (0.234:0.235:0.236))
+    (IOPATH B1 X (0.185:0.186:0.187) (0.169:0.170:0.170))
+    (IOPATH C1 X (0.191:0.192:0.194) (0.164:0.165:0.166))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4810_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.114:0.116:0.117) (0.173:0.174:0.174))
+    (IOPATH A2 X (0.110:0.110:0.111) (0.170:0.171:0.171))
+    (IOPATH B1 X (0.102:0.102:0.103) (0.161:0.161:0.162))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4811_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.197:0.198:0.200) (0.156:0.158:0.160))
+    (IOPATH B Y (0.158:0.158:0.159) (0.126:0.126:0.126))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4812_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.162:0.164:0.166) (0.153:0.155:0.157))
+    (IOPATH B Y (0.165:0.172:0.180) (0.185:0.185:0.186))
+    (IOPATH C Y (0.156:0.156:0.156) (0.160:0.160:0.161))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4813_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.181:0.183:0.185) (0.214:0.216:0.218))
+    (IOPATH A2 X (0.182:0.183:0.183) (0.220:0.220:0.221))
+    (IOPATH B1 X (0.171:0.171:0.171) (0.198:0.205:0.212))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _4814_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.136:0.136:0.136) (0.388:0.389:0.390))
+    (IOPATH B X (0.134:0.135:0.136) (0.381:0.386:0.391))
+    (IOPATH C X (0.157:0.159:0.162) (0.341:0.346:0.350))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _4815_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.180:0.181:0.182) (0.101:0.101:0.101))
+    (IOPATH A2 Y (0.183:0.188:0.193) (0.112:0.114:0.116))
+    (IOPATH B1 Y (0.130:0.137:0.144) (0.098:0.098:0.099))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _4816_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.253:0.253:0.253) (0.151:0.151:0.151))
+    (IOPATH A2 Y (0.292:0.292:0.292) (0.157:0.157:0.157))
+    (IOPATH B1 Y (0.211:0.211:0.211) (0.109:0.109:0.109))
+    (IOPATH B2 Y (0.216:0.216:0.216) (0.113:0.113:0.113))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4817_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.150:0.150:0.150) (0.148:0.148:0.148))
+    (IOPATH B Y (0.152:0.152:0.152) (0.145:0.145:0.145))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4818_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.276:0.276:0.276) (0.208:0.208:0.208))
+    (IOPATH B X (0.308:0.308:0.308) (0.271:0.271:0.271))
+    (IOPATH C X (0.283:0.283:0.283) (0.257:0.257:0.257))
+    (IOPATH D X (0.271:0.271:0.271) (0.279:0.279:0.279))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _4819_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.251:0.254:0.257) (0.139:0.142:0.145))
+    (IOPATH A2 Y (0.244:0.248:0.252) (0.109:0.109:0.110))
+    (IOPATH B1_N Y (0.170:0.170:0.171) (0.193:0.194:0.196))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4820_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.123:0.124:0.124) (0.110:0.110:0.110))
+    (IOPATH B Y (0.134:0.135:0.136) (0.135:0.140:0.146))
+    (IOPATH C Y (0.137:0.141:0.145) (0.137:0.149:0.161))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4821_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.122:0.123:0.123) (0.176:0.176:0.176))
+    (IOPATH A2 X (0.144:0.149:0.153) (0.193:0.194:0.195))
+    (IOPATH B1 X (0.116:0.124:0.132) (0.175:0.178:0.182))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4822_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.107:0.108:0.108) (0.116:0.116:0.116))
+    (IOPATH B Y (0.144:0.146:0.149) (0.123:0.125:0.127))
+    (IOPATH C Y (0.111:0.112:0.112) (0.111:0.112:0.112))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4823_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.148:0.151:0.153) (0.115:0.117:0.120))
+    (IOPATH B Y (0.151:0.151:0.152) (0.113:0.115:0.117))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_4")
+  (INSTANCE _4824_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.145:0.147:0.148) (0.136:0.138:0.140))
+    (IOPATH B Y (0.130:0.131:0.131) (0.133:0.133:0.133))
+    (IOPATH C Y (0.147:0.148:0.148) (0.135:0.136:0.136))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o22ai_2")
+  (INSTANCE _4825_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.202:0.208:0.213) (0.149:0.152:0.154))
+    (IOPATH A2 Y (0.178:0.180:0.181) (0.091:0.091:0.091))
+    (IOPATH B1 Y (0.185:0.186:0.187) (0.125:0.125:0.125))
+    (IOPATH B2 Y (0.153:0.155:0.157) (0.088:0.091:0.094))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4826_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.175:0.177:0.178) (0.225:0.226:0.227))
+    (IOPATH A2 X (0.172:0.172:0.172) (0.215:0.215:0.215))
+    (IOPATH B1 X (0.143:0.143:0.143) (0.200:0.200:0.201))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4827_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.135:0.137:0.139) (0.186:0.189:0.191))
+    (IOPATH A2 X (0.123:0.123:0.123) (0.181:0.181:0.181))
+    (IOPATH B1 X (0.109:0.109:0.109) (0.165:0.165:0.165))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _4828_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.136:0.137:0.137) (0.389:0.390:0.391))
+    (IOPATH B X (0.151:0.153:0.155) (0.377:0.380:0.383))
+    (IOPATH C X (0.130:0.130:0.131) (0.346:0.349:0.353))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _4829_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.176:0.177:0.177) (0.099:0.099:0.100))
+    (IOPATH A2 Y (0.175:0.178:0.181) (0.100:0.102:0.104))
+    (IOPATH B1 Y (0.129:0.133:0.138) (0.094:0.095:0.095))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4830_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.400:0.400:0.400) (0.289:0.289:0.289))
+    (IOPATH B X (0.394:0.394:0.394) (0.332:0.332:0.332))
+    (IOPATH C X (0.386:0.386:0.386) (0.344:0.344:0.344))
+    (IOPATH D X (0.390:0.390:0.390) (0.371:0.371:0.371))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4831_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.203:0.203:0.203) (0.192:0.192:0.192))
+    (IOPATH B X (0.225:0.230:0.235) (0.204:0.205:0.207))
+    (IOPATH C X (0.249:0.249:0.250) (0.243:0.244:0.245))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4832_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.261:0.263:0.265) (0.222:0.223:0.223))
+    (IOPATH B X (0.252:0.252:0.253) (0.218:0.219:0.219))
+    (IOPATH C X (0.273:0.274:0.274) (0.245:0.246:0.247))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_2")
+  (INSTANCE _4833_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.134:0.137:0.139) (0.126:0.129:0.132))
+    (IOPATH B Y (0.123:0.123:0.124) (0.134:0.135:0.135))
+    (IOPATH C Y (0.137:0.137:0.138) (0.152:0.152:0.152))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4834_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.229:0.230:0.231) (0.109:0.109:0.109))
+    (IOPATH B Y (0.208:0.209:0.210) (0.082:0.083:0.084))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or4_1")
+  (INSTANCE _4835_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.198:0.201:0.204) (0.561:0.565:0.570))
+    (IOPATH B X (0.145:0.145:0.145) (0.543:0.544:0.545))
+    (IOPATH C X (0.171:0.171:0.172) (0.536:0.537:0.538))
+    (IOPATH D X (0.147:0.150:0.153) (0.459:0.460:0.462))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4836_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.169:0.169:0.169) (0.195:0.201:0.207))
+    (IOPATH A2 X (0.177:0.177:0.177) (0.217:0.220:0.224))
+    (IOPATH B1_N X (0.237:0.237:0.237) (0.229:0.229:0.230))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4837_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.117:0.119:0.122) (0.107:0.109:0.111))
+    (IOPATH B Y (0.109:0.109:0.109) (0.104:0.104:0.104))
+    (IOPATH C Y (0.111:0.117:0.124) (0.152:0.154:0.157))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4838_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.143:0.143:0.143) (0.190:0.191:0.191))
+    (IOPATH A2 X (0.145:0.145:0.145) (0.214:0.215:0.215))
+    (IOPATH B1_N X (0.222:0.226:0.231) (0.229:0.231:0.232))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _4839_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.186:0.187:0.187) (0.180:0.180:0.180))
+    (IOPATH B X (0.129:0.141:0.153) (0.159:0.162:0.165))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4840_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.155:0.168:0.181) (0.185:0.190:0.194))
+    (IOPATH A2 X (0.151:0.151:0.151) (0.202:0.202:0.202))
+    (IOPATH B1 X (0.111:0.111:0.111) (0.170:0.170:0.170))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _4841_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.271:0.275:0.279) (0.118:0.134:0.150))
+    (IOPATH A X (0.263:0.273:0.283) (0.199:0.203:0.207))
+    (IOPATH B X (0.245:0.245:0.246) (0.107:0.107:0.107))
+    (IOPATH B X (0.260:0.260:0.261) (0.173:0.173:0.174))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _4842_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.052:0.059:0.065) (0.048:0.049:0.049))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4843_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.304:0.304:0.304) (0.220:0.220:0.220))
+    (IOPATH B X (0.314:0.314:0.314) (0.247:0.247:0.247))
+    (IOPATH C X (0.327:0.327:0.327) (0.295:0.295:0.295))
+    (IOPATH D X (0.332:0.332:0.332) (0.316:0.316:0.316))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _4844_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.183:0.183:0.183) (0.115:0.115:0.115))
+    (IOPATH A2 Y (0.228:0.228:0.228) (0.136:0.136:0.136))
+    (IOPATH B1 Y (0.190:0.190:0.190) (0.109:0.109:0.109))
+    (IOPATH B2 Y (0.171:0.171:0.171) (0.095:0.095:0.095))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4845_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.359:0.360:0.361) (0.152:0.152:0.152))
+    (IOPATH B Y (0.337:0.343:0.348) (0.136:0.138:0.140))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4846_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.213:0.213:0.213) (0.253:0.253:0.253))
+    (IOPATH A2 X (0.224:0.224:0.224) (0.298:0.298:0.298))
+    (IOPATH B1 X (0.215:0.215:0.215) (0.251:0.251:0.251))
+    (IOPATH B2 X (0.201:0.201:0.201) (0.243:0.243:0.243))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4847_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.181:0.181:0.181) (0.170:0.170:0.170))
+    (IOPATH B X (0.198:0.198:0.198) (0.231:0.231:0.231))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_1")
+  (INSTANCE _4848_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.127:0.127:0.127) (0.157:0.157:0.157))
+    (IOPATH B Y (0.144:0.144:0.144) (0.166:0.166:0.166))
+    (IOPATH C Y (0.174:0.174:0.174) (0.190:0.190:0.190))
+    (IOPATH D Y (0.176:0.176:0.176) (0.178:0.178:0.178))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4849_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.212:0.212:0.212) (0.237:0.237:0.237))
+    (IOPATH A2 X (0.207:0.207:0.207) (0.253:0.253:0.254))
+    (IOPATH B1_N X (0.304:0.306:0.308) (0.276:0.279:0.282))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4850_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.106:0.108:0.110) (0.133:0.133:0.133))
+    (IOPATH B Y (0.110:0.110:0.110) (0.098:0.098:0.098))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _4851_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.242:0.244:0.245) (0.162:0.162:0.162))
+    (IOPATH A X (0.255:0.255:0.255) (0.202:0.204:0.205))
+    (IOPATH B X (0.219:0.219:0.219) (0.118:0.118:0.118))
+    (IOPATH B X (0.231:0.231:0.232) (0.179:0.180:0.180))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4852_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.256:0.256:0.256) (0.238:0.238:0.238))
+    (IOPATH B X (0.217:0.217:0.217) (0.216:0.216:0.216))
+    (IOPATH C X (0.230:0.230:0.230) (0.228:0.228:0.228))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4853_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.234:0.234:0.234) (0.285:0.285:0.285))
+    (IOPATH A2 X (0.205:0.205:0.205) (0.270:0.270:0.270))
+    (IOPATH B1 X (0.202:0.202:0.202) (0.242:0.242:0.242))
+    (IOPATH B2 X (0.231:0.231:0.231) (0.281:0.281:0.281))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4854_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.191:0.191:0.191) (0.239:0.239:0.239))
+    (IOPATH A2 X (0.164:0.164:0.164) (0.226:0.226:0.227))
+    (IOPATH B1_N X (0.223:0.223:0.223) (0.239:0.240:0.240))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4855_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.126:0.126:0.126) (0.113:0.113:0.113))
+    (IOPATH B Y (0.123:0.123:0.123) (0.102:0.102:0.102))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _4856_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.246:0.246:0.247) (0.107:0.108:0.108))
+    (IOPATH A X (0.238:0.238:0.239) (0.190:0.190:0.191))
+    (IOPATH B X (0.229:0.234:0.238) (0.099:0.099:0.100))
+    (IOPATH B X (0.238:0.239:0.239) (0.173:0.178:0.183))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4857_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.079:0.092:0.104) (0.097:0.098:0.100))
+    (IOPATH B Y (0.090:0.094:0.099) (0.105:0.106:0.107))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _4858_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.229:0.229:0.229) (0.165:0.165:0.165))
+    (IOPATH A2 Y (0.202:0.202:0.202) (0.119:0.119:0.119))
+    (IOPATH B1 Y (0.160:0.160:0.160) (0.097:0.097:0.097))
+    (IOPATH B2 Y (0.209:0.209:0.209) (0.113:0.113:0.113))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4859_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.300:0.300:0.300) (0.240:0.240:0.240))
+    (IOPATH B X (0.301:0.301:0.301) (0.263:0.263:0.263))
+    (IOPATH C X (0.254:0.254:0.254) (0.229:0.229:0.229))
+    (IOPATH D X (0.256:0.256:0.256) (0.240:0.240:0.240))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4860_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.200:0.209:0.218) (0.098:0.100:0.102))
+    (IOPATH B Y (0.179:0.180:0.181) (0.079:0.079:0.080))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4861_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.125:0.125:0.125) (0.111:0.111:0.111))
+    (IOPATH B Y (0.125:0.125:0.125) (0.103:0.103:0.103))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _4862_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.209:0.212:0.215) (0.121:0.121:0.121))
+    (IOPATH A X (0.223:0.223:0.223) (0.177:0.180:0.183))
+    (IOPATH B X (0.195:0.200:0.204) (0.086:0.087:0.087))
+    (IOPATH B X (0.205:0.206:0.206) (0.164:0.168:0.173))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4863_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.077:0.077:0.077) (0.076:0.076:0.076))
+    (IOPATH B Y (0.129:0.129:0.129) (0.096:0.096:0.096))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4864_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.265:0.265:0.265) (0.212:0.212:0.212))
+    (IOPATH B X (0.294:0.294:0.294) (0.280:0.280:0.280))
+    (IOPATH C X (0.235:0.239:0.242) (0.233:0.235:0.237))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _4865_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.285:0.290:0.296) (0.146:0.148:0.150))
+    (IOPATH A X (0.297:0.298:0.299) (0.198:0.203:0.209))
+    (IOPATH B X (0.279:0.280:0.280) (0.140:0.141:0.141))
+    (IOPATH B X (0.296:0.296:0.296) (0.192:0.192:0.193))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4866_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.135:0.136:0.137) (0.178:0.188:0.198))
+    (IOPATH A2 X (0.137:0.138:0.139) (0.201:0.202:0.204))
+    (IOPATH B1 X (0.148:0.148:0.148) (0.180:0.187:0.194))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4867_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.073:0.080:0.088) (0.103:0.104:0.104))
+    (IOPATH B Y (0.081:0.092:0.104) (0.071:0.072:0.072))
+    (IOPATH C Y (0.084:0.085:0.087) (0.072:0.073:0.074))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4868_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.135:0.136:0.136) (0.143:0.144:0.144))
+    (IOPATH B X (0.132:0.133:0.135) (0.161:0.170:0.179))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4869_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.172:0.172:0.172) (0.186:0.186:0.186))
+    (IOPATH B X (0.141:0.141:0.141) (0.161:0.162:0.163))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _4870_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.251:0.251:0.251) (0.273:0.273:0.273))
+    (IOPATH A2 X (0.241:0.241:0.241) (0.285:0.285:0.285))
+    (IOPATH A3 X (0.209:0.209:0.210) (0.260:0.260:0.260))
+    (IOPATH B1 X (0.154:0.154:0.154) (0.220:0.220:0.220))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4871_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.196:0.196:0.196) (0.201:0.201:0.201))
+    (IOPATH B X (0.180:0.180:0.180) (0.210:0.210:0.210))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4872_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.153:0.153:0.154) (0.078:0.079:0.079))
+    (IOPATH B Y (0.128:0.128:0.128) (0.057:0.057:0.057))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4873_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.151:0.151:0.152) (0.152:0.153:0.153))
+    (IOPATH B X (0.137:0.137:0.138) (0.158:0.158:0.159))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4874_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.136:0.138:0.141) (0.080:0.080:0.080))
+    (IOPATH B Y (0.123:0.123:0.123) (0.053:0.053:0.053))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4875_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.172:0.172:0.172) (0.153:0.153:0.153))
+    (IOPATH B Y (0.157:0.157:0.157) (0.136:0.136:0.136))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4876_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.145:0.145:0.145) (0.125:0.129:0.133))
+    (IOPATH A Y (0.174:0.177:0.181) (0.098:0.098:0.098))
+    (IOPATH B Y (0.140:0.141:0.142) (0.148:0.154:0.160))
+    (IOPATH B Y (0.179:0.184:0.190) (0.083:0.084:0.085))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _4877_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.099:0.099:0.099) (0.220:0.220:0.221))
+    (IOPATH B X (0.099:0.111:0.122) (0.204:0.205:0.207))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4878_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.091:0.092:0.092) (0.081:0.081:0.081))
+    (IOPATH B Y (0.101:0.104:0.106) (0.086:0.098:0.110))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4879_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.090:0.090:0.090) (0.069:0.069:0.069))
+    (IOPATH B Y (0.106:0.107:0.109) (0.087:0.088:0.089))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4880_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.148:0.150:0.152) (0.125:0.129:0.132))
+    (IOPATH B Y (0.134:0.134:0.135) (0.131:0.131:0.132))
+    (IOPATH C Y (0.123:0.123:0.123) (0.127:0.127:0.127))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4881_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.230:0.230:0.230) (0.279:0.279:0.279))
+    (IOPATH A2 X (0.236:0.236:0.236) (0.313:0.313:0.313))
+    (IOPATH B1 X (0.176:0.180:0.183) (0.239:0.241:0.243))
+    (IOPATH B2 X (0.177:0.177:0.177) (0.232:0.233:0.233))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4882_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.202:0.202:0.202) (0.253:0.253:0.253))
+    (IOPATH A2 X (0.209:0.209:0.209) (0.281:0.281:0.281))
+    (IOPATH B1 X (0.192:0.192:0.192) (0.230:0.230:0.230))
+    (IOPATH B2 X (0.199:0.199:0.199) (0.250:0.250:0.250))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4883_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.177:0.177:0.177) (0.181:0.181:0.181))
+    (IOPATH B X (0.190:0.190:0.190) (0.210:0.210:0.210))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_1")
+  (INSTANCE _4884_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.121:0.121:0.121) (0.136:0.136:0.136))
+    (IOPATH B Y (0.146:0.146:0.146) (0.154:0.154:0.154))
+    (IOPATH C Y (0.177:0.177:0.177) (0.174:0.174:0.174))
+    (IOPATH D Y (0.174:0.174:0.174) (0.177:0.177:0.177))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4885_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.173:0.173:0.174) (0.211:0.211:0.211))
+    (IOPATH A2 X (0.166:0.166:0.166) (0.226:0.226:0.226))
+    (IOPATH B1_N X (0.259:0.261:0.263) (0.248:0.251:0.254))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_2")
+  (INSTANCE _4886_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.184:0.185:0.185) (0.190:0.191:0.193))
+    (IOPATH B Y (0.177:0.177:0.178) (0.196:0.197:0.197))
+    (IOPATH C Y (0.173:0.174:0.174) (0.197:0.197:0.197))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4887_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.220:0.222:0.223) (0.242:0.243:0.243))
+    (IOPATH A2 X (0.219:0.219:0.219) (0.246:0.246:0.246))
+    (IOPATH B1 X (0.192:0.192:0.192) (0.223:0.224:0.224))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _4888_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.260:0.260:0.260) (0.185:0.185:0.185))
+    (IOPATH A2 Y (0.254:0.254:0.254) (0.143:0.143:0.143))
+    (IOPATH B1 Y (0.222:0.222:0.222) (0.131:0.131:0.131))
+    (IOPATH B2 Y (0.249:0.249:0.249) (0.149:0.149:0.149))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4889_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.270:0.270:0.270) (0.231:0.231:0.231))
+    (IOPATH B X (0.294:0.294:0.294) (0.259:0.259:0.259))
+    (IOPATH C X (0.257:0.257:0.257) (0.253:0.253:0.253))
+    (IOPATH D X (0.263:0.263:0.263) (0.274:0.274:0.274))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4890_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.278:0.286:0.293) (0.133:0.135:0.137))
+    (IOPATH B Y (0.249:0.249:0.250) (0.096:0.097:0.098))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4891_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.122:0.122:0.122) (0.109:0.109:0.109))
+    (IOPATH B Y (0.126:0.126:0.126) (0.104:0.104:0.104))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4892_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.208:0.208:0.208) (0.168:0.173:0.178))
+    (IOPATH A Y (0.259:0.263:0.267) (0.163:0.163:0.163))
+    (IOPATH B Y (0.165:0.165:0.165) (0.162:0.167:0.171))
+    (IOPATH B Y (0.237:0.242:0.246) (0.098:0.098:0.098))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4893_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.188:0.188:0.189) (0.156:0.158:0.160))
+    (IOPATH B Y (0.161:0.161:0.161) (0.160:0.160:0.160))
+    (IOPATH C Y (0.146:0.153:0.160) (0.147:0.161:0.176))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4894_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.172:0.173:0.174) (0.146:0.159:0.172))
+    (IOPATH A Y (0.213:0.224:0.236) (0.124:0.126:0.127))
+    (IOPATH B Y (0.179:0.180:0.181) (0.146:0.151:0.155))
+    (IOPATH B Y (0.197:0.201:0.206) (0.122:0.123:0.125))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4895_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.151:0.152:0.154) (0.223:0.223:0.223))
+    (IOPATH A2 X (0.146:0.147:0.148) (0.216:0.217:0.219))
+    (IOPATH B1 X (0.106:0.116:0.125) (0.167:0.171:0.175))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4896_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.072:0.076:0.080) (0.074:0.085:0.097))
+    (IOPATH B Y (0.143:0.143:0.143) (0.098:0.099:0.100))
+    (IOPATH C Y (0.114:0.116:0.118) (0.092:0.092:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4897_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.084:0.084:0.084) (0.071:0.071:0.072))
+    (IOPATH B Y (0.096:0.101:0.105) (0.072:0.077:0.081))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _4898_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.266:0.266:0.266) (0.281:0.281:0.281))
+    (IOPATH A2 X (0.261:0.261:0.261) (0.300:0.300:0.300))
+    (IOPATH A3 X (0.265:0.265:0.265) (0.274:0.278:0.283))
+    (IOPATH B1 X (0.180:0.180:0.180) (0.232:0.233:0.234))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4899_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.209:0.209:0.209) (0.210:0.210:0.210))
+    (IOPATH B X (0.200:0.200:0.200) (0.227:0.227:0.227))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4900_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.160:0.160:0.161) (0.084:0.084:0.085))
+    (IOPATH B Y (0.134:0.134:0.134) (0.063:0.063:0.064))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4901_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.160:0.161:0.161) (0.160:0.160:0.161))
+    (IOPATH B X (0.147:0.147:0.147) (0.165:0.165:0.165))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4902_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.127:0.130:0.132) (0.076:0.076:0.076))
+    (IOPATH B Y (0.113:0.113:0.113) (0.051:0.051:0.051))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4903_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.161:0.161:0.161) (0.148:0.148:0.148))
+    (IOPATH B Y (0.199:0.199:0.199) (0.172:0.172:0.172))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _4904_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.210:0.213:0.217) (0.104:0.104:0.104))
+    (IOPATH A X (0.221:0.221:0.221) (0.170:0.174:0.177))
+    (IOPATH B X (0.225:0.226:0.228) (0.107:0.109:0.110))
+    (IOPATH B X (0.227:0.227:0.228) (0.185:0.187:0.188))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _4905_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.192:0.193:0.193) (0.098:0.099:0.100))
+    (IOPATH A2 Y (0.178:0.182:0.187) (0.113:0.114:0.116))
+    (IOPATH B1 Y (0.112:0.112:0.112) (0.086:0.086:0.087))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4906_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.149:0.150:0.151) (0.149:0.150:0.150))
+    (IOPATH A Y (0.216:0.217:0.218) (0.096:0.097:0.098))
+    (IOPATH B Y (0.162:0.167:0.171) (0.151:0.152:0.152))
+    (IOPATH B Y (0.202:0.203:0.203) (0.102:0.107:0.112))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _4907_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.185:0.185:0.185) (0.202:0.204:0.207))
+    (IOPATH A2 X (0.168:0.169:0.170) (0.217:0.219:0.220))
+    (IOPATH B1_N X (0.243:0.243:0.243) (0.167:0.167:0.168))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _4908_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.231:0.233:0.235) (0.100:0.114:0.127))
+    (IOPATH A X (0.224:0.233:0.243) (0.184:0.186:0.188))
+    (IOPATH B X (0.210:0.211:0.212) (0.098:0.098:0.098))
+    (IOPATH B X (0.228:0.228:0.228) (0.163:0.164:0.165))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4909_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.147:0.148:0.149) (0.219:0.219:0.219))
+    (IOPATH A2 X (0.150:0.150:0.150) (0.201:0.201:0.202))
+    (IOPATH B1 X (0.109:0.119:0.128) (0.169:0.175:0.181))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _4910_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.247:0.247:0.247) (0.148:0.148:0.148))
+    (IOPATH A2 Y (0.231:0.231:0.231) (0.119:0.119:0.119))
+    (IOPATH B1 Y (0.172:0.172:0.172) (0.093:0.093:0.093))
+    (IOPATH B2 Y (0.202:0.202:0.202) (0.086:0.086:0.086))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4911_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.217:0.217:0.217) (0.177:0.177:0.177))
+    (IOPATH B X (0.229:0.229:0.229) (0.213:0.213:0.213))
+    (IOPATH C X (0.241:0.241:0.241) (0.223:0.223:0.223))
+    (IOPATH D X (0.235:0.235:0.235) (0.230:0.230:0.230))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4912_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.135:0.142:0.149) (0.080:0.082:0.084))
+    (IOPATH B Y (0.115:0.116:0.117) (0.057:0.057:0.057))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4913_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.166:0.166:0.166) (0.158:0.158:0.158))
+    (IOPATH B Y (0.134:0.134:0.134) (0.110:0.110:0.110))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4914_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.220:0.220:0.220) (0.180:0.184:0.188))
+    (IOPATH A Y (0.327:0.331:0.335) (0.150:0.150:0.150))
+    (IOPATH B Y (0.219:0.220:0.221) (0.198:0.206:0.215))
+    (IOPATH B Y (0.327:0.335:0.343) (0.139:0.140:0.141))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4915_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.127:0.129:0.131) (0.107:0.111:0.115))
+    (IOPATH B Y (0.119:0.119:0.119) (0.116:0.116:0.117))
+    (IOPATH C Y (0.107:0.107:0.108) (0.110:0.110:0.111))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4916_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.207:0.207:0.207) (0.261:0.261:0.261))
+    (IOPATH A2 X (0.216:0.216:0.216) (0.296:0.296:0.296))
+    (IOPATH B1 X (0.157:0.161:0.165) (0.222:0.223:0.225))
+    (IOPATH B2 X (0.161:0.161:0.161) (0.220:0.220:0.221))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4917_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.116:0.116:0.116) (0.118:0.118:0.118))
+    (IOPATH B Y (0.144:0.144:0.144) (0.132:0.132:0.132))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _4918_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.267:0.267:0.267) (0.136:0.136:0.136))
+    (IOPATH A2 Y (0.277:0.277:0.277) (0.134:0.134:0.134))
+    (IOPATH B1 Y (0.235:0.235:0.235) (0.110:0.110:0.110))
+    (IOPATH B2 Y (0.259:0.259:0.259) (0.123:0.123:0.123))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4919_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.236:0.236:0.236) (0.192:0.192:0.192))
+    (IOPATH B X (0.220:0.220:0.220) (0.194:0.194:0.194))
+    (IOPATH C X (0.245:0.245:0.245) (0.232:0.232:0.232))
+    (IOPATH D X (0.244:0.244:0.244) (0.242:0.242:0.242))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _4920_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.243:0.244:0.244) (0.118:0.119:0.120))
+    (IOPATH A2 Y (0.229:0.231:0.232) (0.139:0.140:0.142))
+    (IOPATH B1_N Y (0.165:0.165:0.165) (0.190:0.191:0.192))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4921_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.162:0.164:0.165) (0.201:0.202:0.203))
+    (IOPATH A2 X (0.162:0.162:0.162) (0.209:0.209:0.210))
+    (IOPATH B1 X (0.145:0.153:0.161) (0.200:0.201:0.202))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4922_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.127:0.128:0.129) (0.119:0.120:0.122))
+    (IOPATH B Y (0.127:0.128:0.128) (0.122:0.122:0.122))
+    (IOPATH C Y (0.140:0.142:0.143) (0.136:0.148:0.159))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4923_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.267:0.281:0.295) (0.280:0.282:0.284))
+    (IOPATH A2 X (0.249:0.250:0.250) (0.283:0.283:0.283))
+    (IOPATH B1_N X (0.331:0.333:0.335) (0.301:0.303:0.305))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4924_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.218:0.219:0.220) (0.207:0.209:0.211))
+    (IOPATH B X (0.198:0.198:0.199) (0.190:0.190:0.190))
+    (IOPATH C X (0.239:0.240:0.240) (0.243:0.243:0.244))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4925_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.231:0.233:0.235) (0.111:0.112:0.113))
+    (IOPATH A2 Y (0.215:0.216:0.216) (0.088:0.089:0.089))
+    (IOPATH B1 Y (0.221:0.222:0.222) (0.099:0.100:0.100))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_2")
+  (INSTANCE _4926_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.367:0.375:0.382) (0.187:0.190:0.193))
+    (IOPATH A2 Y (0.352:0.359:0.367) (0.160:0.161:0.162))
+    (IOPATH B1_N Y (0.225:0.225:0.225) (0.281:0.283:0.284))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4927_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.197:0.197:0.197) (0.202:0.202:0.202))
+    (IOPATH B X (0.193:0.193:0.193) (0.226:0.226:0.226))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4928_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.174:0.177:0.180) (0.090:0.105:0.120))
+    (IOPATH B Y (0.123:0.123:0.123) (0.056:0.056:0.056))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4929_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.169:0.187:0.205) (0.186:0.190:0.194))
+    (IOPATH B X (0.140:0.140:0.140) (0.160:0.160:0.161))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4930_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.133:0.144:0.155) (0.077:0.078:0.078))
+    (IOPATH B Y (0.121:0.121:0.121) (0.053:0.053:0.054))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4931_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.155:0.155:0.155) (0.144:0.144:0.144))
+    (IOPATH B Y (0.190:0.190:0.190) (0.165:0.165:0.165))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4932_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.145:0.145:0.145) (0.126:0.130:0.133))
+    (IOPATH A Y (0.174:0.177:0.180) (0.098:0.098:0.098))
+    (IOPATH B Y (0.145:0.146:0.148) (0.158:0.160:0.161))
+    (IOPATH B Y (0.187:0.188:0.189) (0.089:0.091:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3b_1")
+  (INSTANCE _4933_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.341:0.342:0.342) (0.089:0.089:0.089))
+    (IOPATH B Y (0.331:0.332:0.334) (0.107:0.109:0.111))
+    (IOPATH C_N Y (0.328:0.338:0.348) (0.161:0.163:0.165))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4934_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.164:0.164:0.165) (0.080:0.081:0.081))
+    (IOPATH B Y (0.148:0.152:0.155) (0.114:0.114:0.114))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _4935_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.223:0.224:0.224) (0.094:0.095:0.096))
+    (IOPATH A X (0.213:0.214:0.215) (0.182:0.182:0.183))
+    (IOPATH B X (0.204:0.208:0.213) (0.125:0.126:0.128))
+    (IOPATH B X (0.237:0.238:0.238) (0.162:0.167:0.172))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _4936_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.142:0.142:0.142) (0.238:0.245:0.253))
+    (IOPATH B_N X (0.172:0.181:0.189) (0.267:0.268:0.269))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _4937_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.209:0.209:0.210) (0.216:0.227:0.238))
+    (IOPATH A2 X (0.193:0.194:0.195) (0.232:0.233:0.234))
+    (IOPATH B1_N X (0.268:0.269:0.269) (0.182:0.183:0.184))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4938_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.172:0.173:0.174) (0.147:0.155:0.164))
+    (IOPATH A Y (0.212:0.220:0.227) (0.125:0.127:0.128))
+    (IOPATH B Y (0.166:0.166:0.166) (0.142:0.151:0.160))
+    (IOPATH B Y (0.192:0.200:0.208) (0.107:0.107:0.107))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _4939_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.134:0.135:0.135) (0.242:0.243:0.243))
+    (IOPATH B_N X (0.173:0.177:0.182) (0.248:0.256:0.265))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4940_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.079:0.079:0.079) (0.066:0.066:0.066))
+    (IOPATH B Y (0.086:0.086:0.086) (0.068:0.068:0.068))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4941_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.182:0.183:0.184) (0.151:0.158:0.165))
+    (IOPATH A Y (0.228:0.234:0.240) (0.133:0.134:0.136))
+    (IOPATH B Y (0.149:0.150:0.151) (0.145:0.146:0.146))
+    (IOPATH B Y (0.206:0.207:0.207) (0.086:0.087:0.088))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4942_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.176:0.176:0.176) (0.163:0.164:0.165))
+    (IOPATH A Y (0.250:0.251:0.252) (0.120:0.120:0.121))
+    (IOPATH B Y (0.167:0.178:0.189) (0.157:0.162:0.166))
+    (IOPATH B Y (0.229:0.233:0.236) (0.101:0.115:0.128))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4943_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.145:0.148:0.150) (0.135:0.137:0.139))
+    (IOPATH B Y (0.165:0.168:0.170) (0.160:0.181:0.201))
+    (IOPATH C Y (0.136:0.137:0.137) (0.141:0.141:0.141))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4944_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.163:0.165:0.167) (0.207:0.209:0.211))
+    (IOPATH A2 X (0.163:0.164:0.164) (0.210:0.210:0.210))
+    (IOPATH B1 X (0.148:0.159:0.169) (0.207:0.209:0.211))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4945_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.188:0.188:0.188) (0.250:0.250:0.250))
+    (IOPATH A2 X (0.184:0.184:0.184) (0.269:0.269:0.269))
+    (IOPATH B1 X (0.175:0.175:0.175) (0.215:0.215:0.215))
+    (IOPATH B2 X (0.213:0.213:0.213) (0.279:0.279:0.279))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_1")
+  (INSTANCE _4946_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.171:0.171:0.171) (0.190:0.190:0.190))
+    (IOPATH B Y (0.146:0.146:0.146) (0.150:0.150:0.150))
+    (IOPATH C Y (0.148:0.148:0.148) (0.148:0.148:0.148))
+    (IOPATH D Y (0.142:0.142:0.142) (0.166:0.166:0.166))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4947_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.208:0.208:0.208) (0.211:0.211:0.211))
+    (IOPATH B X (0.182:0.182:0.182) (0.201:0.201:0.201))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4948_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.127:0.127:0.127) (0.067:0.068:0.068))
+    (IOPATH A2 Y (0.169:0.175:0.181) (0.079:0.080:0.081))
+    (IOPATH B1 Y (0.114:0.114:0.114) (0.046:0.047:0.047))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4949_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.397:0.398:0.398) (0.280:0.281:0.281))
+    (IOPATH B X (0.410:0.411:0.412) (0.330:0.338:0.345))
+    (IOPATH C X (0.404:0.404:0.405) (0.313:0.313:0.313))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4950_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.186:0.188:0.190) (0.080:0.083:0.087))
+    (IOPATH B Y (0.230:0.230:0.231) (0.133:0.133:0.133))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _4951_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.155:0.155:0.155) (0.412:0.413:0.414))
+    (IOPATH B X (0.151:0.153:0.154) (0.401:0.401:0.401))
+    (IOPATH C X (0.184:0.186:0.187) (0.363:0.364:0.365))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _4952_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.202:0.203:0.204) (0.110:0.110:0.110))
+    (IOPATH A2 Y (0.203:0.204:0.206) (0.129:0.130:0.131))
+    (IOPATH B1 Y (0.140:0.140:0.141) (0.104:0.105:0.107))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4953_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.178:0.178:0.178) (0.174:0.174:0.174))
+    (IOPATH B X (0.201:0.201:0.201) (0.217:0.217:0.217))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4954_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.213:0.213:0.213) (0.263:0.263:0.263))
+    (IOPATH A2 X (0.226:0.226:0.226) (0.299:0.299:0.299))
+    (IOPATH B1 X (0.188:0.188:0.188) (0.227:0.227:0.227))
+    (IOPATH B2 X (0.200:0.200:0.200) (0.244:0.244:0.244))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_1")
+  (INSTANCE _4955_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.145:0.145:0.145) (0.162:0.162:0.162))
+    (IOPATH B Y (0.151:0.151:0.151) (0.171:0.171:0.171))
+    (IOPATH C Y (0.185:0.185:0.185) (0.184:0.184:0.184))
+    (IOPATH D Y (0.182:0.182:0.182) (0.187:0.187:0.187))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4956_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.138:0.138:0.138) (0.184:0.184:0.184))
+    (IOPATH A2 X (0.142:0.143:0.143) (0.211:0.211:0.211))
+    (IOPATH B1_N X (0.237:0.237:0.238) (0.229:0.232:0.234))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4957_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.179:0.179:0.179) (0.215:0.215:0.215))
+    (IOPATH A2 X (0.196:0.202:0.207) (0.230:0.231:0.232))
+    (IOPATH B1 X (0.146:0.146:0.146) (0.193:0.194:0.194))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4958_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.144:0.144:0.144) (0.132:0.132:0.132))
+    (IOPATH B Y (0.153:0.155:0.156) (0.154:0.161:0.168))
+    (IOPATH C Y (0.134:0.134:0.134) (0.135:0.135:0.135))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4959_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.180:0.181:0.181) (0.197:0.208:0.218))
+    (IOPATH A2 X (0.165:0.165:0.165) (0.226:0.227:0.227))
+    (IOPATH B1_N X (0.248:0.249:0.251) (0.246:0.247:0.248))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_2")
+  (INSTANCE _4960_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.206:0.213:0.220) (0.213:0.215:0.217))
+    (IOPATH B Y (0.192:0.192:0.192) (0.214:0.215:0.215))
+    (IOPATH C Y (0.185:0.186:0.186) (0.213:0.213:0.213))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4961_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.334:0.334:0.334) (0.269:0.269:0.269))
+    (IOPATH B X (0.330:0.330:0.330) (0.284:0.284:0.284))
+    (IOPATH C X (0.330:0.330:0.330) (0.303:0.303:0.303))
+    (IOPATH D X (0.308:0.308:0.308) (0.282:0.282:0.282))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_1")
+  (INSTANCE _4962_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.257:0.258:0.258) (0.292:0.293:0.294))
+    (IOPATH A2 X (0.268:0.268:0.268) (0.317:0.317:0.318))
+    (IOPATH B1 X (0.280:0.280:0.280) (0.233:0.233:0.233))
+    (IOPATH C1 X (0.274:0.274:0.274) (0.214:0.214:0.214))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211oi_1")
+  (INSTANCE _4963_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.329:0.329:0.329) (0.152:0.152:0.152))
+    (IOPATH A2 Y (0.360:0.360:0.360) (0.148:0.148:0.148))
+    (IOPATH B1 Y (0.308:0.309:0.310) (0.092:0.092:0.093))
+    (IOPATH C1 Y (0.304:0.304:0.305) (0.118:0.118:0.118))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4964_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.183:0.184:0.185) (0.090:0.091:0.091))
+    (IOPATH B Y (0.161:0.169:0.177) (0.108:0.110:0.113))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4965_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.299:0.301:0.302) (0.289:0.295:0.301))
+    (IOPATH A2 X (0.294:0.294:0.294) (0.286:0.286:0.287))
+    (IOPATH B1 X (0.262:0.263:0.263) (0.263:0.263:0.263))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4966_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.168:0.169:0.169) (0.131:0.132:0.134))
+    (IOPATH B Y (0.112:0.118:0.124) (0.127:0.127:0.128))
+    (IOPATH C Y (0.150:0.150:0.150) (0.150:0.150:0.150))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _4967_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.162:0.162:0.163) (0.196:0.197:0.198))
+    (IOPATH A2 X (0.162:0.164:0.165) (0.186:0.188:0.190))
+    (IOPATH B1_N X (0.228:0.231:0.233) (0.156:0.167:0.179))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211o_1")
+  (INSTANCE _4968_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.191:0.193:0.194) (0.352:0.352:0.353))
+    (IOPATH A2 X (0.166:0.167:0.169) (0.338:0.340:0.342))
+    (IOPATH B1 X (0.192:0.192:0.192) (0.302:0.305:0.309))
+    (IOPATH C1 X (0.131:0.131:0.131) (0.256:0.256:0.257))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211ai_1")
+  (INSTANCE _4969_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.230:0.234:0.237) (0.181:0.181:0.182))
+    (IOPATH A2 Y (0.208:0.209:0.209) (0.107:0.107:0.107))
+    (IOPATH B1 Y (0.203:0.203:0.204) (0.151:0.153:0.154))
+    (IOPATH C1 Y (0.130:0.133:0.135) (0.118:0.120:0.121))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4970_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.117:0.118:0.120) (0.125:0.125:0.125))
+    (IOPATH B Y (0.126:0.126:0.127) (0.114:0.115:0.115))
+    (IOPATH C Y (0.128:0.136:0.143) (0.125:0.135:0.145))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4971_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.163:0.164:0.164) (0.169:0.169:0.170))
+    (IOPATH B X (0.169:0.170:0.172) (0.198:0.200:0.203))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _4972_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.176:0.188:0.199) (0.168:0.170:0.173))
+    (IOPATH A Y (0.258:0.260:0.262) (0.119:0.134:0.150))
+    (IOPATH B Y (0.171:0.171:0.171) (0.157:0.157:0.157))
+    (IOPATH B Y (0.232:0.232:0.233) (0.104:0.104:0.104))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4973_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.134:0.135:0.135) (0.177:0.177:0.178))
+    (IOPATH A2 X (0.148:0.159:0.170) (0.202:0.209:0.216))
+    (IOPATH B1 X (0.123:0.123:0.123) (0.180:0.181:0.183))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4974_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.169:0.171:0.173) (0.156:0.158:0.160))
+    (IOPATH B Y (0.155:0.167:0.179) (0.182:0.183:0.183))
+    (IOPATH C Y (0.156:0.157:0.157) (0.161:0.162:0.162))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4975_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.193:0.195:0.196) (0.228:0.230:0.231))
+    (IOPATH A2 X (0.195:0.196:0.196) (0.228:0.228:0.229))
+    (IOPATH B1 X (0.177:0.177:0.177) (0.198:0.208:0.219))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _4976_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.229:0.229:0.229) (0.149:0.149:0.149))
+    (IOPATH A2 Y (0.229:0.229:0.229) (0.137:0.137:0.137))
+    (IOPATH B1 Y (0.191:0.191:0.191) (0.111:0.111:0.111))
+    (IOPATH B2 Y (0.218:0.218:0.218) (0.116:0.116:0.116))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _4977_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.251:0.251:0.251) (0.243:0.243:0.243))
+    (IOPATH B X (0.226:0.226:0.226) (0.226:0.226:0.226))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4978_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.447:0.447:0.447) (0.330:0.330:0.330))
+    (IOPATH B X (0.450:0.450:0.450) (0.356:0.356:0.356))
+    (IOPATH C X (0.415:0.415:0.415) (0.323:0.323:0.323))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _4979_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.208:0.211:0.215) (0.099:0.101:0.103))
+    (IOPATH B Y (0.234:0.234:0.235) (0.135:0.135:0.135))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4980_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.124:0.124:0.125) (0.100:0.103:0.106))
+    (IOPATH B Y (0.101:0.101:0.101) (0.101:0.101:0.101))
+    (IOPATH C Y (0.105:0.105:0.105) (0.105:0.105:0.105))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _4981_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.189:0.189:0.189) (0.246:0.246:0.246))
+    (IOPATH A2 X (0.217:0.217:0.217) (0.299:0.299:0.299))
+    (IOPATH B1 X (0.160:0.163:0.167) (0.228:0.229:0.229))
+    (IOPATH B2 X (0.159:0.159:0.159) (0.218:0.219:0.219))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4982_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.109:0.109:0.109) (0.095:0.095:0.095))
+    (IOPATH B Y (0.155:0.155:0.155) (0.133:0.133:0.133))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _4983_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.254:0.254:0.254) (0.150:0.150:0.150))
+    (IOPATH A2 Y (0.267:0.267:0.267) (0.154:0.154:0.154))
+    (IOPATH B1 Y (0.221:0.221:0.221) (0.117:0.117:0.117))
+    (IOPATH B2 Y (0.214:0.214:0.214) (0.103:0.103:0.103))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _4984_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.254:0.254:0.254) (0.194:0.194:0.194))
+    (IOPATH B X (0.276:0.276:0.276) (0.247:0.247:0.247))
+    (IOPATH C X (0.278:0.278:0.278) (0.269:0.269:0.269))
+    (IOPATH D X (0.267:0.267:0.267) (0.247:0.247:0.247))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _4985_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.204:0.208:0.212) (0.102:0.104:0.106))
+    (IOPATH A2 Y (0.192:0.197:0.202) (0.111:0.113:0.115))
+    (IOPATH B1_N Y (0.152:0.152:0.152) (0.178:0.179:0.180))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4986_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.182:0.182:0.183) (0.209:0.211:0.213))
+    (IOPATH A2 X (0.184:0.184:0.184) (0.223:0.223:0.223))
+    (IOPATH B1 X (0.161:0.169:0.177) (0.206:0.207:0.207))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4987_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.140:0.142:0.144) (0.135:0.136:0.136))
+    (IOPATH B Y (0.147:0.147:0.147) (0.141:0.141:0.141))
+    (IOPATH C Y (0.150:0.150:0.151) (0.150:0.160:0.170))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _4988_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.203:0.204:0.204) (0.214:0.223:0.231))
+    (IOPATH A2 X (0.189:0.189:0.189) (0.241:0.241:0.242))
+    (IOPATH B1_N X (0.273:0.274:0.275) (0.260:0.261:0.263))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_4")
+  (INSTANCE _4989_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.163:0.165:0.167) (0.160:0.162:0.164))
+    (IOPATH B Y (0.154:0.155:0.155) (0.159:0.159:0.159))
+    (IOPATH C Y (0.159:0.160:0.160) (0.159:0.159:0.159))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4990_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.301:0.302:0.303) (0.295:0.297:0.298))
+    (IOPATH A2 X (0.300:0.301:0.301) (0.291:0.291:0.291))
+    (IOPATH B1 X (0.268:0.268:0.268) (0.266:0.267:0.267))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_2")
+  (INSTANCE _4991_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.156:0.157:0.157) (0.179:0.179:0.179))
+    (IOPATH B Y (0.153:0.155:0.156) (0.135:0.138:0.140))
+    (IOPATH C Y (0.152:0.152:0.152) (0.158:0.158:0.159))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _4992_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.229:0.231:0.232) (0.248:0.248:0.248))
+    (IOPATH B X (0.224:0.224:0.224) (0.194:0.200:0.206))
+    (IOPATH C X (0.245:0.245:0.245) (0.250:0.250:0.251))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _4993_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.283:0.283:0.284) (0.131:0.133:0.135))
+    (IOPATH A2 Y (0.270:0.270:0.271) (0.154:0.154:0.154))
+    (IOPATH B1 Y (0.210:0.215:0.220) (0.092:0.092:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211oi_2")
+  (INSTANCE _4994_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.314:0.315:0.317) (0.109:0.112:0.115))
+    (IOPATH A2 Y (0.337:0.344:0.352) (0.104:0.104:0.104))
+    (IOPATH B1 Y (0.299:0.299:0.300) (0.074:0.074:0.074))
+    (IOPATH C1 Y (0.254:0.259:0.264) (0.085:0.086:0.087))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4995_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.148:0.150:0.152) (0.194:0.196:0.198))
+    (IOPATH A2 X (0.143:0.143:0.143) (0.195:0.195:0.195))
+    (IOPATH B1 X (0.149:0.151:0.153) (0.175:0.181:0.187))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_1")
+  (INSTANCE _4996_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.185:0.186:0.186) (0.239:0.240:0.240))
+    (IOPATH A2 X (0.186:0.188:0.189) (0.227:0.233:0.238))
+    (IOPATH B1 X (0.184:0.187:0.190) (0.182:0.184:0.185))
+    (IOPATH C1 X (0.172:0.172:0.172) (0.147:0.156:0.166))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _4997_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.144:0.147:0.149) (0.204:0.205:0.206))
+    (IOPATH A2 X (0.179:0.179:0.179) (0.223:0.223:0.224))
+    (IOPATH B1 X (0.146:0.146:0.146) (0.217:0.217:0.218))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _4998_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.129:0.139:0.149) (0.101:0.102:0.102))
+    (IOPATH B Y (0.107:0.108:0.108) (0.089:0.090:0.090))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _4999_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.140:0.141:0.142) (0.125:0.127:0.129))
+    (IOPATH B Y (0.126:0.135:0.145) (0.149:0.149:0.150))
+    (IOPATH C Y (0.127:0.127:0.128) (0.130:0.130:0.130))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5000_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.160:0.162:0.163) (0.210:0.211:0.212))
+    (IOPATH A2 X (0.163:0.163:0.163) (0.208:0.208:0.209))
+    (IOPATH B1 X (0.143:0.143:0.144) (0.181:0.189:0.197))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _5001_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.153:0.153:0.153) (0.406:0.407:0.408))
+    (IOPATH B X (0.141:0.144:0.147) (0.387:0.390:0.394))
+    (IOPATH C X (0.164:0.166:0.168) (0.351:0.356:0.360))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5002_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.224:0.225:0.226) (0.122:0.122:0.122))
+    (IOPATH A2 Y (0.218:0.223:0.229) (0.124:0.126:0.128))
+    (IOPATH B1 Y (0.146:0.151:0.155) (0.109:0.112:0.115))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _5003_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.244:0.244:0.244) (0.146:0.146:0.146))
+    (IOPATH A2 Y (0.282:0.282:0.282) (0.152:0.152:0.152))
+    (IOPATH B1 Y (0.184:0.184:0.184) (0.091:0.091:0.091))
+    (IOPATH B2 Y (0.221:0.221:0.221) (0.115:0.115:0.115))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5004_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.144:0.144:0.144) (0.130:0.130:0.130))
+    (IOPATH B Y (0.159:0.159:0.159) (0.134:0.134:0.134))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _5005_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.299:0.299:0.299) (0.222:0.222:0.222))
+    (IOPATH B X (0.256:0.256:0.256) (0.227:0.227:0.227))
+    (IOPATH C X (0.295:0.295:0.295) (0.282:0.282:0.282))
+    (IOPATH D X (0.274:0.274:0.274) (0.262:0.262:0.262))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _5006_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.198:0.201:0.204) (0.117:0.120:0.123))
+    (IOPATH A2 Y (0.188:0.190:0.192) (0.089:0.090:0.090))
+    (IOPATH B1_N Y (0.151:0.151:0.151) (0.177:0.178:0.179))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5007_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.154:0.154:0.155) (0.200:0.200:0.200))
+    (IOPATH A2 X (0.179:0.185:0.192) (0.218:0.220:0.221))
+    (IOPATH B1 X (0.132:0.140:0.147) (0.184:0.187:0.191))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _5008_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.123:0.123:0.123) (0.109:0.109:0.109))
+    (IOPATH B Y (0.136:0.137:0.139) (0.138:0.145:0.153))
+    (IOPATH C Y (0.120:0.124:0.128) (0.121:0.130:0.139))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _5009_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.159:0.159:0.159) (0.197:0.198:0.198))
+    (IOPATH A2 X (0.147:0.147:0.148) (0.213:0.213:0.214))
+    (IOPATH B1_N X (0.226:0.229:0.233) (0.231:0.233:0.234))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_2")
+  (INSTANCE _5010_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.206:0.209:0.212) (0.218:0.219:0.221))
+    (IOPATH B Y (0.200:0.200:0.200) (0.222:0.223:0.223))
+    (IOPATH C Y (0.192:0.193:0.193) (0.222:0.222:0.222))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o22ai_1")
+  (INSTANCE _5011_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.238:0.243:0.249) (0.166:0.170:0.173))
+    (IOPATH A2 Y (0.219:0.220:0.222) (0.103:0.104:0.104))
+    (IOPATH B1 Y (0.214:0.214:0.215) (0.118:0.119:0.120))
+    (IOPATH B2 Y (0.265:0.265:0.265) (0.136:0.138:0.139))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5012_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.260:0.262:0.263) (0.263:0.265:0.268))
+    (IOPATH A2 X (0.257:0.258:0.258) (0.267:0.267:0.268))
+    (IOPATH B1 X (0.226:0.227:0.227) (0.243:0.244:0.244))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _5013_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.092:0.092:0.092) (0.103:0.103:0.103))
+    (IOPATH B Y (0.116:0.120:0.123) (0.098:0.100:0.102))
+    (IOPATH C Y (0.097:0.097:0.097) (0.098:0.098:0.098))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5014_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.133:0.135:0.137) (0.183:0.186:0.189))
+    (IOPATH A2 X (0.134:0.134:0.134) (0.188:0.188:0.189))
+    (IOPATH B1 X (0.116:0.116:0.116) (0.171:0.171:0.172))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _5015_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.136:0.137:0.137) (0.383:0.384:0.385))
+    (IOPATH B X (0.142:0.145:0.147) (0.365:0.368:0.371))
+    (IOPATH C X (0.121:0.122:0.123) (0.332:0.333:0.335))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5016_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.177:0.178:0.179) (0.104:0.105:0.105))
+    (IOPATH A2 Y (0.169:0.172:0.176) (0.099:0.101:0.103))
+    (IOPATH B1 Y (0.121:0.123:0.126) (0.092:0.093:0.094))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _5017_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.274:0.274:0.274) (0.203:0.203:0.203))
+    (IOPATH B X (0.300:0.300:0.300) (0.266:0.266:0.266))
+    (IOPATH C X (0.304:0.304:0.304) (0.295:0.295:0.295))
+    (IOPATH D X (0.302:0.302:0.302) (0.311:0.311:0.311))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5018_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.175:0.175:0.175) (0.174:0.174:0.174))
+    (IOPATH B X (0.200:0.205:0.210) (0.188:0.190:0.191))
+    (IOPATH C X (0.204:0.204:0.204) (0.206:0.207:0.208))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5019_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.363:0.365:0.367) (0.269:0.271:0.274))
+    (IOPATH B X (0.364:0.365:0.365) (0.278:0.278:0.279))
+    (IOPATH C X (0.378:0.378:0.378) (0.299:0.299:0.300))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _5020_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.231:0.231:0.231) (0.192:0.194:0.196))
+    (IOPATH B Y (0.197:0.198:0.198) (0.200:0.201:0.201))
+    (IOPATH C Y (0.209:0.209:0.210) (0.218:0.219:0.219))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5021_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.192:0.193:0.193) (0.082:0.083:0.084))
+    (IOPATH B Y (0.212:0.213:0.215) (0.092:0.093:0.094))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or4_1")
+  (INSTANCE _5022_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.193:0.197:0.200) (0.558:0.564:0.569))
+    (IOPATH B X (0.139:0.139:0.139) (0.539:0.540:0.541))
+    (IOPATH C X (0.149:0.150:0.150) (0.515:0.515:0.516))
+    (IOPATH D X (0.173:0.174:0.176) (0.504:0.504:0.504))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _5023_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.175:0.176:0.178) (0.199:0.204:0.209))
+    (IOPATH A2 X (0.168:0.169:0.169) (0.212:0.214:0.217))
+    (IOPATH B1_N X (0.232:0.232:0.232) (0.224:0.225:0.225))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _5024_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.117:0.120:0.122) (0.107:0.109:0.111))
+    (IOPATH B Y (0.113:0.121:0.128) (0.153:0.157:0.160))
+    (IOPATH C Y (0.107:0.108:0.108) (0.108:0.108:0.108))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _5025_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.149:0.149:0.149) (0.195:0.196:0.196))
+    (IOPATH A2 X (0.151:0.151:0.151) (0.219:0.219:0.220))
+    (IOPATH B1_N X (0.230:0.236:0.241) (0.236:0.237:0.239))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5026_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.191:0.191:0.191) (0.184:0.184:0.184))
+    (IOPATH B X (0.136:0.149:0.162) (0.165:0.168:0.170))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5027_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.160:0.173:0.186) (0.190:0.195:0.200))
+    (IOPATH A2 X (0.154:0.155:0.155) (0.205:0.205:0.206))
+    (IOPATH B1 X (0.113:0.113:0.114) (0.172:0.172:0.173))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5028_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.184:0.196:0.207) (0.171:0.176:0.181))
+    (IOPATH A Y (0.277:0.282:0.286) (0.123:0.138:0.153))
+    (IOPATH B Y (0.179:0.179:0.180) (0.164:0.165:0.165))
+    (IOPATH B Y (0.255:0.256:0.256) (0.108:0.109:0.109))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5029_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.168:0.169:0.169) (0.052:0.053:0.055))
+    (IOPATH B Y (0.169:0.173:0.177) (0.082:0.096:0.110))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5030_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.237:0.241:0.245) (0.106:0.121:0.135))
+    (IOPATH A X (0.229:0.239:0.249) (0.189:0.193:0.197))
+    (IOPATH B X (0.213:0.213:0.214) (0.095:0.095:0.096))
+    (IOPATH B X (0.228:0.228:0.228) (0.165:0.165:0.166))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5031_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.238:0.243:0.247) (0.109:0.124:0.140))
+    (IOPATH A X (0.230:0.240:0.250) (0.191:0.196:0.200))
+    (IOPATH B X (0.212:0.213:0.213) (0.097:0.097:0.098))
+    (IOPATH B X (0.228:0.228:0.228) (0.166:0.166:0.167))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5032_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.183:0.185:0.186) (0.154:0.161:0.169))
+    (IOPATH B X (0.181:0.183:0.184) (0.172:0.181:0.189))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5033_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.203:0.210:0.217) (0.121:0.123:0.124))
+    (IOPATH A X (0.218:0.219:0.220) (0.177:0.184:0.191))
+    (IOPATH B X (0.185:0.192:0.198) (0.121:0.122:0.124))
+    (IOPATH B X (0.218:0.219:0.220) (0.158:0.166:0.173))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5034_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.178:0.180:0.182) (0.175:0.180:0.184))
+    (IOPATH B X (0.181:0.181:0.181) (0.180:0.181:0.181))
+    (IOPATH C X (0.185:0.185:0.185) (0.197:0.197:0.198))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5035_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.204:0.208:0.212) (0.093:0.095:0.097))
+    (IOPATH A2 Y (0.209:0.209:0.209) (0.094:0.094:0.094))
+    (IOPATH B1 Y (0.184:0.184:0.184) (0.065:0.065:0.065))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5036_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.204:0.209:0.214) (0.094:0.096:0.098))
+    (IOPATH A2 Y (0.207:0.208:0.208) (0.093:0.093:0.093))
+    (IOPATH B1 Y (0.182:0.183:0.183) (0.063:0.064:0.064))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5037_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.182:0.183:0.185) (0.178:0.183:0.189))
+    (IOPATH B X (0.183:0.183:0.183) (0.181:0.181:0.182))
+    (IOPATH C X (0.186:0.186:0.187) (0.197:0.197:0.198))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o22a_1")
+  (INSTANCE _5038_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.161:0.161:0.161) (0.218:0.219:0.220))
+    (IOPATH A2 X (0.172:0.174:0.176) (0.204:0.208:0.213))
+    (IOPATH B1 X (0.182:0.184:0.186) (0.187:0.192:0.197))
+    (IOPATH B2 X (0.141:0.141:0.141) (0.169:0.170:0.170))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5039_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.175:0.176:0.176) (0.186:0.187:0.187))
+    (IOPATH B X (0.204:0.204:0.205) (0.183:0.190:0.196))
+    (IOPATH C X (0.211:0.211:0.211) (0.197:0.201:0.205))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5040_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.211:0.211:0.211) (0.089:0.089:0.090))
+    (IOPATH A2 Y (0.208:0.214:0.220) (0.117:0.117:0.117))
+    (IOPATH B1 Y (0.181:0.184:0.187) (0.092:0.092:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5041_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.181:0.182:0.182) (0.078:0.078:0.079))
+    (IOPATH A2 Y (0.186:0.191:0.196) (0.118:0.119:0.120))
+    (IOPATH B1 Y (0.151:0.153:0.155) (0.077:0.077:0.077))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5042_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.168:0.169:0.169) (0.181:0.182:0.182))
+    (IOPATH B X (0.211:0.213:0.214) (0.187:0.193:0.199))
+    (IOPATH C X (0.200:0.201:0.201) (0.192:0.194:0.197))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o22a_1")
+  (INSTANCE _5043_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.161:0.161:0.161) (0.218:0.218:0.219))
+    (IOPATH A2 X (0.170:0.172:0.174) (0.211:0.212:0.214))
+    (IOPATH B1 X (0.170:0.172:0.174) (0.190:0.193:0.197))
+    (IOPATH B2 X (0.136:0.137:0.137) (0.166:0.167:0.168))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5044_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.200:0.201:0.201) (0.193:0.194:0.195))
+    (IOPATH B X (0.183:0.185:0.188) (0.203:0.205:0.207))
+    (IOPATH C X (0.185:0.186:0.187) (0.220:0.221:0.222))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5045_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.205:0.207:0.209) (0.094:0.097:0.100))
+    (IOPATH A2 Y (0.225:0.226:0.227) (0.097:0.098:0.099))
+    (IOPATH B1 Y (0.205:0.206:0.207) (0.085:0.085:0.085))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5046_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.176:0.177:0.179) (0.230:0.230:0.231))
+    (IOPATH B X (0.165:0.165:0.166) (0.216:0.218:0.219))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _5047_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.134:0.135:0.136) (0.251:0.251:0.252))
+    (IOPATH B_N X (0.172:0.172:0.172) (0.249:0.249:0.249))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5048_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.143:0.143:0.143) (0.192:0.201:0.210))
+    (IOPATH A2 X (0.133:0.133:0.133) (0.187:0.188:0.188))
+    (IOPATH B1 X (0.106:0.106:0.107) (0.165:0.165:0.165))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211ai_1")
+  (INSTANCE _5049_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.243:0.244:0.244) (0.134:0.134:0.134))
+    (IOPATH A2 Y (0.236:0.237:0.238) (0.138:0.140:0.141))
+    (IOPATH B1 Y (0.138:0.138:0.138) (0.127:0.127:0.127))
+    (IOPATH C1 Y (0.120:0.120:0.121) (0.118:0.118:0.119))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5050_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.151:0.154:0.157) (0.202:0.204:0.206))
+    (IOPATH A2 X (0.152:0.152:0.152) (0.202:0.202:0.202))
+    (IOPATH B1 X (0.139:0.139:0.139) (0.191:0.192:0.193))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5051_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.159:0.160:0.162) (0.239:0.239:0.239))
+    (IOPATH A2 X (0.168:0.168:0.168) (0.215:0.215:0.215))
+    (IOPATH B1 X (0.141:0.141:0.141) (0.208:0.208:0.209))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _5052_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.161:0.163:0.164) (0.242:0.243:0.243))
+    (IOPATH A2 X (0.155:0.155:0.155) (0.239:0.239:0.240))
+    (IOPATH B1 X (0.135:0.135:0.135) (0.186:0.186:0.186))
+    (IOPATH B2 X (0.166:0.167:0.167) (0.251:0.253:0.254))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5053_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.207:0.207:0.208) (0.096:0.098:0.100))
+    (IOPATH A2 Y (0.202:0.203:0.203) (0.085:0.085:0.085))
+    (IOPATH B1 Y (0.187:0.188:0.188) (0.077:0.077:0.077))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5054_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.356:0.358:0.360) (0.145:0.147:0.149))
+    (IOPATH A2 Y (0.364:0.365:0.365) (0.145:0.145:0.145))
+    (IOPATH B1 Y (0.345:0.345:0.346) (0.108:0.108:0.108))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o22ai_1")
+  (INSTANCE _5055_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.256:0.256:0.257) (0.149:0.149:0.150))
+    (IOPATH A2 Y (0.227:0.230:0.233) (0.133:0.135:0.137))
+    (IOPATH B1 Y (0.224:0.233:0.242) (0.195:0.197:0.199))
+    (IOPATH B2 Y (0.236:0.237:0.237) (0.164:0.164:0.164))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
+  (INSTANCE _5056_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.268:0.268:0.268) (0.161:0.161:0.161))
+    (IOPATH A2 Y (0.290:0.290:0.290) (0.157:0.157:0.157))
+    (IOPATH B1 Y (0.246:0.246:0.246) (0.125:0.125:0.125))
+    (IOPATH B2 Y (0.257:0.257:0.257) (0.123:0.123:0.123))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _5057_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.233:0.233:0.233) (0.140:0.140:0.140))
+    (IOPATH A2 Y (0.283:0.283:0.283) (0.165:0.165:0.165))
+    (IOPATH B1 Y (0.249:0.249:0.249) (0.144:0.144:0.144))
+    (IOPATH B2 Y (0.259:0.259:0.259) (0.140:0.140:0.140))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o22ai_1")
+  (INSTANCE _5058_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.265:0.266:0.267) (0.163:0.163:0.163))
+    (IOPATH A2 Y (0.244:0.250:0.256) (0.134:0.137:0.140))
+    (IOPATH B1 Y (0.226:0.231:0.235) (0.153:0.154:0.156))
+    (IOPATH B2 Y (0.198:0.199:0.200) (0.116:0.116:0.116))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _5059_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.303:0.303:0.303) (0.252:0.252:0.252))
+    (IOPATH B X (0.311:0.311:0.311) (0.287:0.287:0.287))
+    (IOPATH C X (0.280:0.280:0.280) (0.244:0.244:0.244))
+    (IOPATH D X (0.298:0.298:0.298) (0.302:0.302:0.302))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or4_1")
+  (INSTANCE _5060_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.158:0.158:0.158) (0.559:0.560:0.561))
+    (IOPATH B X (0.183:0.184:0.184) (0.557:0.558:0.559))
+    (IOPATH C X (0.166:0.168:0.171) (0.518:0.522:0.526))
+    (IOPATH D X (0.168:0.170:0.171) (0.449:0.452:0.456))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21boi_1")
+  (INSTANCE _5061_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.303:0.308:0.312) (0.175:0.176:0.177))
+    (IOPATH A2 Y (0.317:0.318:0.319) (0.144:0.144:0.144))
+    (IOPATH B1_N Y (0.329:0.329:0.329) (0.198:0.198:0.199))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5062_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.126:0.126:0.126) (0.057:0.057:0.057))
+    (IOPATH A2 Y (0.136:0.137:0.138) (0.076:0.080:0.084))
+    (IOPATH B1 Y (0.129:0.130:0.131) (0.060:0.060:0.060))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5063_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.151:0.152:0.152) (0.259:0.259:0.260))
+    (IOPATH B X (0.134:0.137:0.140) (0.230:0.234:0.238))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5064_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.129:0.129:0.129) (0.056:0.056:0.056))
+    (IOPATH A2 Y (0.141:0.143:0.144) (0.078:0.082:0.086))
+    (IOPATH B1 Y (0.116:0.117:0.118) (0.054:0.054:0.054))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5065_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.166:0.166:0.166) (0.272:0.272:0.273))
+    (IOPATH B X (0.162:0.164:0.167) (0.249:0.250:0.252))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5066_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.125:0.125:0.125) (0.249:0.249:0.249))
+    (IOPATH B X (0.134:0.134:0.134) (0.237:0.237:0.237))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5067_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.070:0.071:0.071) (0.060:0.060:0.060))
+    (IOPATH B Y (0.085:0.085:0.086) (0.072:0.072:0.072))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5068_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.099:0.099:0.100) (0.080:0.080:0.080))
+    (IOPATH B Y (0.097:0.098:0.098) (0.077:0.078:0.079))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5069_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.281:0.291:0.301) (0.194:0.196:0.198))
+    (IOPATH A2 Y (0.263:0.263:0.264) (0.119:0.119:0.120))
+    (IOPATH B1 Y (0.176:0.176:0.176) (0.122:0.122:0.122))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or4_1")
+  (INSTANCE _5070_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.208:0.208:0.208) (0.593:0.594:0.594))
+    (IOPATH B X (0.173:0.173:0.173) (0.552:0.553:0.553))
+    (IOPATH C X (0.167:0.169:0.171) (0.507:0.509:0.512))
+    (IOPATH D X (0.201:0.202:0.204) (0.450:0.458:0.467))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _5071_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.190:0.190:0.191) (0.214:0.224:0.235))
+    (IOPATH A2 X (0.186:0.194:0.202) (0.241:0.249:0.256))
+    (IOPATH B1_N X (0.244:0.245:0.245) (0.236:0.236:0.237))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _5072_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.216:0.217:0.218) (0.207:0.209:0.210))
+    (IOPATH B X (0.208:0.209:0.210) (0.211:0.212:0.213))
+    (IOPATH C X (0.206:0.206:0.206) (0.197:0.198:0.198))
+    (IOPATH D X (0.206:0.206:0.207) (0.202:0.202:0.202))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5073_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.143:0.143:0.143) (0.177:0.177:0.178))
+    (IOPATH A2 X (0.156:0.157:0.157) (0.205:0.205:0.206))
+    (IOPATH B1 X (0.121:0.121:0.121) (0.173:0.174:0.175))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211o_1")
+  (INSTANCE _5074_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.152:0.152:0.152) (0.287:0.287:0.287))
+    (IOPATH A2 X (0.152:0.152:0.153) (0.316:0.316:0.317))
+    (IOPATH B1 X (0.133:0.133:0.133) (0.292:0.293:0.294))
+    (IOPATH C1 X (0.151:0.153:0.155) (0.259:0.259:0.260))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21boi_1")
+  (INSTANCE _5075_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.230:0.232:0.234) (0.113:0.128:0.142))
+    (IOPATH A2 Y (0.233:0.234:0.234) (0.100:0.101:0.101))
+    (IOPATH B1_N Y (0.249:0.250:0.250) (0.159:0.159:0.160))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or4_1")
+  (INSTANCE _5076_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.131:0.131:0.131) (0.543:0.543:0.544))
+    (IOPATH B X (0.134:0.134:0.134) (0.527:0.527:0.527))
+    (IOPATH C X (0.157:0.159:0.161) (0.499:0.500:0.501))
+    (IOPATH D X (0.145:0.147:0.148) (0.426:0.429:0.432))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _5077_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.174:0.175:0.175) (0.216:0.218:0.219))
+    (IOPATH A2 X (0.191:0.193:0.195) (0.205:0.214:0.223))
+    (IOPATH B1 X (0.169:0.170:0.170) (0.159:0.159:0.159))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or4_1")
+  (INSTANCE _5078_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.125:0.125:0.125) (0.531:0.531:0.532))
+    (IOPATH B X (0.124:0.124:0.124) (0.513:0.514:0.514))
+    (IOPATH C X (0.148:0.150:0.152) (0.480:0.483:0.486))
+    (IOPATH D X (0.144:0.146:0.148) (0.411:0.415:0.418))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5079_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.171:0.173:0.174) (0.086:0.087:0.087))
+    (IOPATH A2 Y (0.164:0.165:0.166) (0.088:0.088:0.088))
+    (IOPATH B1 Y (0.122:0.122:0.123) (0.077:0.077:0.077))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5080_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.237:0.238:0.240) (0.186:0.194:0.203))
+    (IOPATH B X (0.225:0.229:0.234) (0.206:0.208:0.210))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5081_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.229:0.237:0.245) (0.140:0.141:0.142))
+    (IOPATH A X (0.247:0.247:0.248) (0.186:0.194:0.201))
+    (IOPATH B X (0.218:0.222:0.225) (0.110:0.129:0.147))
+    (IOPATH B X (0.231:0.240:0.249) (0.175:0.179:0.183))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _5082_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.164:0.164:0.164) (0.198:0.198:0.198))
+    (IOPATH A2 X (0.167:0.167:0.168) (0.198:0.198:0.199))
+    (IOPATH B1_N X (0.242:0.254:0.266) (0.194:0.195:0.196))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5083_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.211:0.211:0.212) (0.193:0.194:0.195))
+    (IOPATH B X (0.157:0.162:0.167) (0.177:0.177:0.178))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5084_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.206:0.207:0.208) (0.194:0.194:0.195))
+    (IOPATH B X (0.146:0.158:0.170) (0.170:0.172:0.174))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _5085_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.193:0.193:0.193) (0.206:0.208:0.211))
+    (IOPATH A2 X (0.172:0.172:0.173) (0.213:0.219:0.225))
+    (IOPATH B1_N X (0.250:0.250:0.251) (0.171:0.171:0.171))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _5086_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.231:0.231:0.231) (0.259:0.259:0.259))
+    (IOPATH A2 X (0.223:0.223:0.223) (0.273:0.273:0.273))
+    (IOPATH A3 X (0.212:0.212:0.212) (0.243:0.246:0.249))
+    (IOPATH B1 X (0.147:0.147:0.148) (0.211:0.212:0.213))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5087_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.173:0.173:0.173) (0.159:0.159:0.159))
+    (IOPATH B Y (0.157:0.157:0.157) (0.139:0.139:0.139))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5088_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.144:0.145:0.146) (0.142:0.142:0.143))
+    (IOPATH A Y (0.199:0.200:0.200) (0.094:0.094:0.095))
+    (IOPATH B Y (0.150:0.151:0.151) (0.156:0.162:0.168))
+    (IOPATH B Y (0.196:0.201:0.207) (0.091:0.091:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5089_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.249:0.249:0.249) (0.238:0.238:0.238))
+    (IOPATH B X (0.227:0.227:0.227) (0.229:0.229:0.229))
+    (IOPATH C X (0.193:0.204:0.214) (0.201:0.203:0.205))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5090_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.191:0.191:0.191) (0.113:0.113:0.113))
+    (IOPATH A2 Y (0.182:0.182:0.182) (0.103:0.103:0.103))
+    (IOPATH B1 Y (0.119:0.121:0.123) (0.046:0.054:0.061))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5091_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.157:0.158:0.158) (0.072:0.072:0.073))
+    (IOPATH B Y (0.136:0.145:0.155) (0.070:0.072:0.073))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5092_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.210:0.216:0.223) (0.218:0.219:0.220))
+    (IOPATH B X (0.167:0.167:0.167) (0.185:0.185:0.186))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5093_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.243:0.243:0.243) (0.231:0.231:0.231))
+    (IOPATH B X (0.236:0.236:0.236) (0.240:0.240:0.240))
+    (IOPATH C X (0.215:0.215:0.215) (0.218:0.218:0.218))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _5094_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.191:0.191:0.191) (0.254:0.254:0.254))
+    (IOPATH A2 X (0.160:0.160:0.160) (0.236:0.236:0.236))
+    (IOPATH B1 X (0.149:0.149:0.149) (0.186:0.186:0.186))
+    (IOPATH B2 X (0.165:0.165:0.165) (0.237:0.237:0.237))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _5095_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.171:0.171:0.171) (0.208:0.208:0.208))
+    (IOPATH A2 X (0.148:0.148:0.148) (0.213:0.214:0.214))
+    (IOPATH B1_N X (0.199:0.199:0.200) (0.213:0.214:0.215))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5096_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.151:0.151:0.151) (0.132:0.132:0.132))
+    (IOPATH B Y (0.146:0.146:0.146) (0.123:0.123:0.123))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5097_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.202:0.203:0.203) (0.088:0.088:0.088))
+    (IOPATH A X (0.194:0.194:0.194) (0.175:0.175:0.176))
+    (IOPATH B X (0.195:0.199:0.203) (0.091:0.091:0.092))
+    (IOPATH B X (0.203:0.203:0.204) (0.168:0.172:0.177))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _5098_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.165:0.165:0.165) (0.209:0.209:0.209))
+    (IOPATH A2 X (0.173:0.173:0.173) (0.209:0.210:0.211))
+    (IOPATH B1 X (0.186:0.188:0.189) (0.128:0.132:0.135))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_1")
+  (INSTANCE _5099_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.184:0.184:0.184) (0.055:0.055:0.055))
+    (IOPATH B Y (0.184:0.185:0.186) (0.074:0.074:0.074))
+    (IOPATH C Y (0.135:0.138:0.141) (0.065:0.066:0.067))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5100_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.157:0.158:0.159) (0.073:0.073:0.073))
+    (IOPATH B Y (0.136:0.138:0.141) (0.082:0.082:0.082))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5101_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.210:0.210:0.210) (0.173:0.174:0.174))
+    (IOPATH A Y (0.298:0.298:0.299) (0.148:0.148:0.148))
+    (IOPATH B Y (0.210:0.210:0.210) (0.172:0.174:0.176))
+    (IOPATH B Y (0.281:0.282:0.284) (0.139:0.139:0.139))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5102_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.221:0.221:0.222) (0.098:0.098:0.098))
+    (IOPATH A2 Y (0.247:0.249:0.251) (0.108:0.108:0.109))
+    (IOPATH B1 Y (0.234:0.236:0.238) (0.093:0.108:0.124))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5103_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.149:0.149:0.149) (0.144:0.144:0.144))
+    (IOPATH B X (0.160:0.161:0.162) (0.172:0.174:0.176))
+    (IOPATH C X (0.177:0.192:0.206) (0.202:0.205:0.208))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5104_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.160:0.167:0.173) (0.103:0.105:0.107))
+    (IOPATH B Y (0.133:0.134:0.134) (0.054:0.054:0.055))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5105_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.153:0.153:0.153) (0.146:0.147:0.148))
+    (IOPATH A Y (0.211:0.212:0.213) (0.101:0.101:0.101))
+    (IOPATH B Y (0.163:0.163:0.163) (0.134:0.142:0.150))
+    (IOPATH B Y (0.185:0.192:0.199) (0.103:0.103:0.103))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _5106_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.149:0.149:0.149) (0.198:0.198:0.198))
+    (IOPATH A2 X (0.134:0.134:0.134) (0.181:0.181:0.181))
+    (IOPATH B1 X (0.150:0.163:0.177) (0.122:0.124:0.127))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_1")
+  (INSTANCE _5107_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.196:0.196:0.196) (0.056:0.056:0.056))
+    (IOPATH B Y (0.181:0.181:0.181) (0.053:0.053:0.053))
+    (IOPATH C Y (0.151:0.153:0.154) (0.054:0.064:0.073))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5108_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.178:0.179:0.180) (0.072:0.072:0.072))
+    (IOPATH B Y (0.159:0.162:0.166) (0.093:0.093:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5109_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.134:0.134:0.134) (0.063:0.063:0.063))
+    (IOPATH A2 Y (0.151:0.151:0.151) (0.066:0.066:0.067))
+    (IOPATH B1 Y (0.124:0.130:0.136) (0.066:0.067:0.068))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5110_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.149:0.162:0.175) (0.180:0.185:0.190))
+    (IOPATH A2 X (0.148:0.148:0.148) (0.198:0.199:0.199))
+    (IOPATH B1 X (0.123:0.125:0.127) (0.170:0.174:0.177))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5111_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.264:0.268:0.271) (0.140:0.140:0.140))
+    (IOPATH A X (0.278:0.278:0.279) (0.192:0.195:0.198))
+    (IOPATH B X (0.247:0.247:0.247) (0.107:0.107:0.108))
+    (IOPATH B X (0.262:0.262:0.262) (0.173:0.174:0.174))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5112_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.085:0.090:0.095) (0.087:0.092:0.097))
+    (IOPATH B Y (0.094:0.094:0.094) (0.065:0.065:0.065))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_2")
+  (INSTANCE _5113_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.199:0.205:0.211) (0.083:0.084:0.085))
+    (IOPATH A2 Y (0.190:0.191:0.191) (0.095:0.095:0.095))
+    (IOPATH B1 Y (0.102:0.107:0.112) (0.105:0.111:0.118))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _5114_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.192:0.192:0.192) (0.206:0.206:0.207))
+    (IOPATH A2 X (0.170:0.171:0.172) (0.216:0.216:0.217))
+    (IOPATH B1_N X (0.249:0.250:0.250) (0.171:0.171:0.171))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _5115_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.119:0.138:0.156) (0.248:0.252:0.256))
+    (IOPATH B_N X (0.148:0.148:0.149) (0.226:0.226:0.227))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5116_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.190:0.200:0.211) (0.126:0.127:0.129))
+    (IOPATH A2 Y (0.178:0.182:0.186) (0.115:0.116:0.117))
+    (IOPATH B1 Y (0.112:0.112:0.112) (0.078:0.079:0.079))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5117_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.132:0.132:0.132) (0.116:0.116:0.116))
+    (IOPATH B Y (0.129:0.129:0.129) (0.107:0.107:0.107))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _5118_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.245:0.245:0.245) (0.263:0.263:0.263))
+    (IOPATH A2 X (0.215:0.215:0.215) (0.267:0.267:0.267))
+    (IOPATH A3 X (0.215:0.215:0.215) (0.244:0.248:0.253))
+    (IOPATH B1 X (0.140:0.140:0.141) (0.209:0.209:0.210))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5119_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.205:0.205:0.205) (0.136:0.136:0.136))
+    (IOPATH A2 Y (0.200:0.200:0.200) (0.129:0.129:0.129))
+    (IOPATH B1 Y (0.137:0.138:0.138) (0.054:0.055:0.056))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5120_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.300:0.300:0.300) (0.251:0.251:0.251))
+    (IOPATH B X (0.281:0.281:0.281) (0.247:0.247:0.247))
+    (IOPATH C X (0.229:0.229:0.230) (0.219:0.219:0.220))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5121_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.254:0.267:0.280) (0.109:0.111:0.113))
+    (IOPATH B Y (0.250:0.251:0.251) (0.108:0.109:0.109))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5122_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.171:0.171:0.172) (0.170:0.175:0.179))
+    (IOPATH A Y (0.264:0.268:0.272) (0.113:0.114:0.114))
+    (IOPATH B Y (0.212:0.212:0.212) (0.170:0.171:0.172))
+    (IOPATH B Y (0.248:0.249:0.249) (0.158:0.158:0.158))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5123_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.182:0.199:0.217) (0.179:0.184:0.188))
+    (IOPATH B X (0.176:0.176:0.176) (0.184:0.185:0.186))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5124_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.161:0.161:0.161) (0.100:0.100:0.100))
+    (IOPATH A2 Y (0.195:0.195:0.195) (0.114:0.114:0.114))
+    (IOPATH B1 Y (0.123:0.124:0.124) (0.057:0.057:0.057))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5125_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.222:0.222:0.222) (0.202:0.202:0.202))
+    (IOPATH B X (0.240:0.240:0.240) (0.254:0.254:0.254))
+    (IOPATH C X (0.199:0.199:0.199) (0.205:0.205:0.206))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5126_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.171:0.175:0.180) (0.075:0.079:0.082))
+    (IOPATH B Y (0.156:0.157:0.157) (0.067:0.068:0.068))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5127_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.122:0.122:0.122) (0.117:0.117:0.117))
+    (IOPATH B Y (0.120:0.120:0.120) (0.100:0.100:0.100))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5128_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.174:0.174:0.174) (0.146:0.147:0.149))
+    (IOPATH A Y (0.220:0.222:0.223) (0.123:0.123:0.123))
+    (IOPATH B Y (0.151:0.152:0.152) (0.151:0.159:0.166))
+    (IOPATH B Y (0.210:0.216:0.223) (0.088:0.089:0.089))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _5129_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.171:0.171:0.171) (0.212:0.212:0.212))
+    (IOPATH A2 X (0.161:0.161:0.161) (0.197:0.198:0.199))
+    (IOPATH B1 X (0.167:0.181:0.194) (0.134:0.138:0.141))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_1")
+  (INSTANCE _5130_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.200:0.200:0.201) (0.065:0.066:0.066))
+    (IOPATH B Y (0.188:0.189:0.189) (0.068:0.068:0.068))
+    (IOPATH C Y (0.154:0.156:0.159) (0.057:0.066:0.075))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5131_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.143:0.144:0.145) (0.067:0.067:0.068))
+    (IOPATH B Y (0.122:0.125:0.129) (0.078:0.078:0.078))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5132_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.171:0.186:0.202) (0.169:0.174:0.179))
+    (IOPATH B X (0.173:0.173:0.173) (0.170:0.173:0.175))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5133_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.111:0.116:0.120) (0.062:0.072:0.081))
+    (IOPATH B Y (0.082:0.084:0.086) (0.054:0.054:0.054))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_2")
+  (INSTANCE _5134_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.216:0.217:0.217) (0.386:0.387:0.387))
+    (IOPATH B X (0.211:0.211:0.212) (0.362:0.365:0.369))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5135_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.167:0.172:0.176) (0.158:0.161:0.164))
+    (IOPATH A Y (0.231:0.234:0.236) (0.115:0.121:0.126))
+    (IOPATH B Y (0.175:0.175:0.175) (0.179:0.179:0.179))
+    (IOPATH B Y (0.235:0.235:0.235) (0.115:0.115:0.115))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5136_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.193:0.194:0.194) (0.173:0.174:0.175))
+    (IOPATH A Y (0.292:0.293:0.293) (0.129:0.129:0.129))
+    (IOPATH B Y (0.193:0.205:0.216) (0.175:0.179:0.182))
+    (IOPATH B Y (0.278:0.281:0.284) (0.119:0.135:0.150))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+  (INSTANCE _5137_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.221:0.226:0.231) (0.099:0.110:0.121))
+    (IOPATH A X (0.199:0.207:0.216) (0.194:0.199:0.204))
+    (IOPATH B X (0.207:0.210:0.212) (0.109:0.127:0.145))
+    (IOPATH B X (0.216:0.223:0.231) (0.179:0.181:0.184))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5138_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.182:0.183:0.184) (0.197:0.197:0.197))
+    (IOPATH B X (0.131:0.143:0.155) (0.161:0.163:0.165))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5139_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.184:0.197:0.210) (0.203:0.207:0.211))
+    (IOPATH A2 X (0.178:0.179:0.179) (0.218:0.218:0.219))
+    (IOPATH B1 X (0.138:0.138:0.138) (0.187:0.187:0.187))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+  (INSTANCE _5140_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.213:0.224:0.235) (0.118:0.121:0.123))
+    (IOPATH A X (0.210:0.212:0.213) (0.190:0.201:0.212))
+    (IOPATH B X (0.194:0.194:0.195) (0.102:0.102:0.102))
+    (IOPATH B X (0.209:0.209:0.209) (0.169:0.169:0.170))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5141_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.225:0.231:0.238) (0.138:0.139:0.141))
+    (IOPATH A X (0.242:0.243:0.244) (0.185:0.192:0.198))
+    (IOPATH B X (0.199:0.205:0.210) (0.117:0.119:0.122))
+    (IOPATH B X (0.230:0.232:0.233) (0.160:0.165:0.171))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_2")
+  (INSTANCE _5142_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.224:0.232:0.239) (0.122:0.122:0.122))
+    (IOPATH A2 Y (0.205:0.206:0.206) (0.091:0.091:0.091))
+    (IOPATH B1 Y (0.104:0.113:0.122) (0.124:0.125:0.127))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _5143_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.180:0.181:0.183) (0.412:0.419:0.425))
+    (IOPATH B X (0.171:0.171:0.171) (0.386:0.392:0.399))
+    (IOPATH C X (0.144:0.144:0.144) (0.350:0.350:0.350))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5144_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.147:0.147:0.147) (0.264:0.264:0.264))
+    (IOPATH B X (0.147:0.147:0.147) (0.254:0.254:0.254))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+  (INSTANCE _5145_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.175:0.175:0.176) (0.162:0.162:0.162))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _5146_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.351:0.351:0.351) (0.265:0.265:0.265))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5147_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.235:0.240:0.245) (0.110:0.126:0.141))
+    (IOPATH A2 Y (0.264:0.264:0.264) (0.107:0.107:0.107))
+    (IOPATH B1 Y (0.259:0.259:0.259) (0.125:0.125:0.125))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_6")
+  (INSTANCE _5148_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.283:0.283:0.283) (0.174:0.174:0.174))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a311oi_4")
+  (INSTANCE _5149_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.673:0.673:0.673) (0.235:0.236:0.236))
+    (IOPATH A2 Y (0.749:0.749:0.750) (0.265:0.265:0.265))
+    (IOPATH A3 Y (0.756:0.765:0.774) (0.273:0.277:0.281))
+    (IOPATH B1 Y (0.729:0.733:0.738) (0.158:0.161:0.164))
+    (IOPATH C1 Y (0.697:0.697:0.697) (0.155:0.155:0.155))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5150_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.189:0.190:0.191) (0.183:0.183:0.183))
+    (IOPATH B X (0.132:0.144:0.156) (0.161:0.163:0.166))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _5151_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.186:0.200:0.215) (0.208:0.212:0.216))
+    (IOPATH A2 X (0.195:0.197:0.198) (0.258:0.259:0.260))
+    (IOPATH A3 X (0.187:0.187:0.188) (0.249:0.250:0.251))
+    (IOPATH B1 X (0.130:0.130:0.130) (0.205:0.205:0.205))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5152_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.216:0.216:0.216) (0.194:0.194:0.194))
+    (IOPATH B X (0.150:0.151:0.152) (0.172:0.172:0.173))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _5153_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.267:0.267:0.267) (0.210:0.210:0.210))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _5154_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.428:0.428:0.428) (0.355:0.355:0.355))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _5155_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.186:0.186:0.186) (0.233:0.233:0.233))
+    (IOPATH A2 X (0.212:0.212:0.212) (0.301:0.301:0.301))
+    (IOPATH B1 X (0.170:0.170:0.170) (0.204:0.204:0.204))
+    (IOPATH B2 X (0.195:0.195:0.195) (0.271:0.271:0.271))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _5156_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.282:0.282:0.282) (0.209:0.209:0.209))
+    (IOPATH B X (0.319:0.319:0.319) (0.290:0.290:0.290))
+    (IOPATH C X (0.288:0.288:0.288) (0.249:0.249:0.249))
+    (IOPATH D X (0.310:0.310:0.310) (0.317:0.317:0.317))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _5157_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.059:0.060:0.061) (0.047:0.047:0.047))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5158_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.072:0.073:0.073) (0.063:0.063:0.063))
+    (IOPATH B Y (0.074:0.074:0.074) (0.058:0.058:0.058))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5159_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.161:0.161:0.161) (0.158:0.158:0.158))
+    (IOPATH B X (0.133:0.133:0.133) (0.155:0.156:0.156))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _5160_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.224:0.224:0.224) (0.262:0.262:0.262))
+    (IOPATH A2 X (0.206:0.206:0.206) (0.246:0.246:0.246))
+    (IOPATH A3 X (0.174:0.175:0.175) (0.236:0.236:0.237))
+    (IOPATH B1 X (0.123:0.123:0.124) (0.200:0.200:0.200))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5161_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.175:0.175:0.175) (0.168:0.168:0.168))
+    (IOPATH B X (0.194:0.194:0.194) (0.238:0.238:0.238))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5162_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.139:0.139:0.140) (0.062:0.063:0.064))
+    (IOPATH B Y (0.120:0.120:0.120) (0.053:0.053:0.053))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5163_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.141:0.142:0.143) (0.147:0.147:0.148))
+    (IOPATH B X (0.140:0.140:0.140) (0.160:0.160:0.160))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5164_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.127:0.128:0.129) (0.075:0.075:0.075))
+    (IOPATH B Y (0.115:0.115:0.116) (0.052:0.052:0.052))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5165_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.121:0.121:0.121) (0.126:0.126:0.126))
+    (IOPATH B Y (0.176:0.176:0.176) (0.145:0.145:0.145))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5166_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.164:0.164:0.164) (0.140:0.143:0.146))
+    (IOPATH A Y (0.213:0.216:0.219) (0.111:0.111:0.111))
+    (IOPATH B Y (0.156:0.159:0.162) (0.166:0.167:0.168))
+    (IOPATH B Y (0.221:0.222:0.223) (0.094:0.097:0.100))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5167_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.136:0.136:0.137) (0.137:0.137:0.138))
+    (IOPATH A Y (0.194:0.195:0.195) (0.085:0.086:0.087))
+    (IOPATH B Y (0.146:0.157:0.169) (0.143:0.146:0.148))
+    (IOPATH B Y (0.184:0.186:0.188) (0.088:0.101:0.113))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _5168_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.134:0.135:0.135) (0.200:0.200:0.200))
+    (IOPATH A2 X (0.150:0.162:0.175) (0.219:0.221:0.223))
+    (IOPATH B1_N X (0.202:0.209:0.215) (0.232:0.237:0.241))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5169_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.095:0.098:0.101) (0.085:0.099:0.113))
+    (IOPATH B Y (0.102:0.102:0.103) (0.087:0.087:0.087))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5170_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.105:0.117:0.130) (0.225:0.228:0.230))
+    (IOPATH B X (0.101:0.101:0.101) (0.206:0.206:0.207))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5171_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.153:0.154:0.154) (0.159:0.163:0.167))
+    (IOPATH B X (0.142:0.142:0.143) (0.169:0.169:0.169))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _5172_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.194:0.194:0.194) (0.206:0.211:0.215))
+    (IOPATH A2 X (0.172:0.172:0.173) (0.214:0.220:0.225))
+    (IOPATH B1_N X (0.253:0.253:0.253) (0.175:0.175:0.175))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5173_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.147:0.147:0.147) (0.142:0.142:0.142))
+    (IOPATH A Y (0.208:0.208:0.209) (0.095:0.095:0.095))
+    (IOPATH B Y (0.154:0.154:0.154) (0.144:0.145:0.146))
+    (IOPATH B Y (0.194:0.195:0.196) (0.093:0.093:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _5174_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.181:0.183:0.185) (0.200:0.205:0.210))
+    (IOPATH A2 X (0.134:0.134:0.134) (0.181:0.181:0.181))
+    (IOPATH B1 X (0.149:0.163:0.176) (0.122:0.124:0.126))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_1")
+  (INSTANCE _5175_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.187:0.191:0.196) (0.087:0.088:0.090))
+    (IOPATH B Y (0.170:0.170:0.170) (0.051:0.051:0.051))
+    (IOPATH C Y (0.139:0.141:0.142) (0.052:0.060:0.069))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5176_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.158:0.159:0.160) (0.066:0.066:0.066))
+    (IOPATH B Y (0.138:0.144:0.149) (0.083:0.083:0.083))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5177_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.368:0.369:0.369) (0.153:0.153:0.154))
+    (IOPATH A X (0.363:0.363:0.364) (0.222:0.223:0.223))
+    (IOPATH B X (0.345:0.347:0.350) (0.170:0.170:0.170))
+    (IOPATH B X (0.376:0.376:0.376) (0.198:0.201:0.203))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5178_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.147:0.161:0.176) (0.152:0.154:0.156))
+    (IOPATH B X (0.159:0.159:0.159) (0.160:0.163:0.165))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _5179_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.213:0.213:0.213) (0.273:0.273:0.273))
+    (IOPATH A2 X (0.185:0.185:0.185) (0.256:0.256:0.256))
+    (IOPATH B1 X (0.174:0.174:0.174) (0.206:0.206:0.206))
+    (IOPATH B2 X (0.195:0.195:0.195) (0.247:0.247:0.247))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5180_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.314:0.314:0.314) (0.248:0.248:0.248))
+    (IOPATH B X (0.287:0.287:0.287) (0.243:0.243:0.243))
+    (IOPATH C X (0.265:0.266:0.266) (0.242:0.242:0.242))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _5181_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.067:0.068:0.068) (0.050:0.050:0.051))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5182_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.181:0.181:0.181) (0.202:0.202:0.202))
+    (IOPATH B X (0.131:0.131:0.131) (0.154:0.154:0.155))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _5183_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.193:0.193:0.193) (0.223:0.223:0.223))
+    (IOPATH A2 X (0.194:0.194:0.194) (0.236:0.236:0.236))
+    (IOPATH A3 X (0.161:0.161:0.161) (0.223:0.223:0.224))
+    (IOPATH B1 X (0.113:0.113:0.114) (0.192:0.192:0.192))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5184_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.185:0.185:0.185) (0.125:0.125:0.125))
+    (IOPATH A2 Y (0.181:0.181:0.181) (0.107:0.107:0.107))
+    (IOPATH B1 Y (0.135:0.135:0.136) (0.049:0.050:0.050))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5185_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.256:0.256:0.256) (0.214:0.214:0.214))
+    (IOPATH B X (0.230:0.230:0.230) (0.209:0.209:0.209))
+    (IOPATH C X (0.199:0.200:0.200) (0.202:0.202:0.203))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5186_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.196:0.207:0.217) (0.093:0.095:0.097))
+    (IOPATH B Y (0.189:0.190:0.190) (0.081:0.082:0.082))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5187_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.116:0.116:0.116) (0.103:0.103:0.103))
+    (IOPATH B Y (0.124:0.124:0.124) (0.104:0.104:0.104))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5188_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.171:0.171:0.171) (0.144:0.145:0.147))
+    (IOPATH A Y (0.204:0.206:0.207) (0.125:0.125:0.125))
+    (IOPATH B Y (0.141:0.141:0.142) (0.146:0.148:0.150))
+    (IOPATH B Y (0.190:0.192:0.194) (0.081:0.082:0.082))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5189_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.182:0.183:0.184) (0.166:0.166:0.166))
+    (IOPATH B X (0.182:0.182:0.183) (0.183:0.183:0.183))
+    (IOPATH C X (0.199:0.209:0.220) (0.205:0.210:0.215))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5190_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.131:0.131:0.131) (0.062:0.063:0.064))
+    (IOPATH A2 Y (0.146:0.146:0.146) (0.060:0.060:0.060))
+    (IOPATH B1 Y (0.126:0.130:0.134) (0.049:0.057:0.065))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5191_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.125:0.126:0.127) (0.063:0.063:0.064))
+    (IOPATH B Y (0.103:0.107:0.110) (0.058:0.061:0.063))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5192_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.215:0.216:0.217) (0.114:0.115:0.115))
+    (IOPATH A2 Y (0.196:0.196:0.197) (0.091:0.091:0.092))
+    (IOPATH B1 Y (0.126:0.127:0.128) (0.114:0.114:0.114))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _5193_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.110:0.110:0.110) (0.358:0.358:0.359))
+    (IOPATH B X (0.097:0.097:0.097) (0.330:0.330:0.330))
+    (IOPATH C X (0.108:0.108:0.108) (0.292:0.293:0.293))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5194_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.178:0.184:0.191) (0.165:0.169:0.173))
+    (IOPATH B X (0.145:0.145:0.145) (0.178:0.178:0.178))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _5195_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.195:0.196:0.197) (0.233:0.235:0.236))
+    (IOPATH A2 X (0.187:0.187:0.187) (0.198:0.199:0.200))
+    (IOPATH B1_N X (0.263:0.263:0.263) (0.180:0.180:0.180))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5196_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.160:0.160:0.161) (0.151:0.151:0.151))
+    (IOPATH A Y (0.231:0.231:0.231) (0.105:0.105:0.105))
+    (IOPATH B Y (0.169:0.169:0.169) (0.155:0.156:0.157))
+    (IOPATH B Y (0.218:0.219:0.220) (0.105:0.105:0.105))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5197_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.196:0.200:0.205) (0.187:0.199:0.211))
+    (IOPATH B X (0.123:0.123:0.123) (0.155:0.155:0.155))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5198_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.190:0.204:0.218) (0.198:0.202:0.207))
+    (IOPATH B X (0.137:0.150:0.162) (0.167:0.170:0.174))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5199_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.154:0.154:0.154) (0.055:0.055:0.055))
+    (IOPATH B Y (0.141:0.141:0.141) (0.054:0.055:0.055))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5200_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.162:0.173:0.184) (0.157:0.159:0.161))
+    (IOPATH A Y (0.233:0.235:0.236) (0.108:0.122:0.136))
+    (IOPATH B Y (0.174:0.174:0.174) (0.141:0.142:0.143))
+    (IOPATH B Y (0.203:0.203:0.204) (0.112:0.112:0.112))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5201_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.191:0.192:0.193) (0.184:0.184:0.184))
+    (IOPATH B X (0.140:0.153:0.167) (0.170:0.173:0.177))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _5202_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.210:0.226:0.242) (0.225:0.230:0.235))
+    (IOPATH A2 X (0.218:0.220:0.221) (0.278:0.279:0.280))
+    (IOPATH A3 X (0.214:0.214:0.214) (0.268:0.269:0.269))
+    (IOPATH B1 X (0.148:0.148:0.148) (0.216:0.216:0.216))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5203_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.321:0.325:0.329) (0.136:0.153:0.169))
+    (IOPATH A X (0.314:0.324:0.333) (0.212:0.217:0.221))
+    (IOPATH B X (0.304:0.304:0.305) (0.139:0.139:0.140))
+    (IOPATH B X (0.320:0.321:0.321) (0.195:0.195:0.196))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5204_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.240:0.241:0.242) (0.298:0.307:0.316))
+    (IOPATH B X (0.229:0.229:0.230) (0.275:0.283:0.292))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkinv_2")
+  (INSTANCE _5205_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.052:0.052:0.052) (0.057:0.057:0.057))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5206_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.213:0.213:0.214) (0.170:0.181:0.192))
+    (IOPATH B X (0.201:0.202:0.203) (0.182:0.192:0.202))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5207_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.129:0.129:0.129) (0.256:0.256:0.256))
+    (IOPATH B X (0.145:0.146:0.146) (0.241:0.241:0.241))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5208_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.149:0.149:0.149) (0.088:0.088:0.088))
+    (IOPATH A2 Y (0.175:0.176:0.178) (0.081:0.082:0.084))
+    (IOPATH B1 Y (0.128:0.129:0.129) (0.052:0.052:0.052))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _5209_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.168:0.168:0.168) (0.281:0.281:0.281))
+    (IOPATH B_N X (0.227:0.227:0.227) (0.281:0.281:0.281))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _5210_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.150:0.150:0.150) (0.188:0.188:0.188))
+    (IOPATH A2 X (0.144:0.146:0.147) (0.226:0.228:0.229))
+    (IOPATH A3 X (0.142:0.142:0.142) (0.217:0.217:0.217))
+    (IOPATH B1 X (0.091:0.092:0.092) (0.180:0.180:0.180))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5211_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.183:0.186:0.189) (0.277:0.281:0.284))
+    (IOPATH B X (0.161:0.162:0.163) (0.256:0.257:0.257))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_2")
+  (INSTANCE _5212_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.425:0.425:0.426) (0.356:0.356:0.356))
+    (IOPATH B X (0.345:0.363:0.380) (0.325:0.330:0.335))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5213_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.215:0.218:0.221) (0.199:0.210:0.222))
+    (IOPATH B X (0.151:0.151:0.151) (0.174:0.175:0.175))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5214_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.153:0.168:0.183) (0.156:0.159:0.161))
+    (IOPATH B X (0.167:0.167:0.167) (0.166:0.170:0.173))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _5215_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.199:0.199:0.199) (0.243:0.243:0.243))
+    (IOPATH A2 X (0.227:0.227:0.227) (0.288:0.288:0.288))
+    (IOPATH B1 X (0.207:0.207:0.207) (0.232:0.232:0.232))
+    (IOPATH B2 X (0.184:0.184:0.184) (0.233:0.233:0.233))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5216_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.280:0.280:0.280) (0.219:0.219:0.219))
+    (IOPATH B X (0.304:0.304:0.304) (0.259:0.259:0.259))
+    (IOPATH C X (0.264:0.264:0.264) (0.242:0.243:0.243))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _5217_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.065:0.065:0.066) (0.049:0.049:0.049))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _5218_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.205:0.205:0.205) (0.217:0.217:0.217))
+    (IOPATH A2 X (0.229:0.229:0.229) (0.262:0.262:0.262))
+    (IOPATH A3 X (0.200:0.200:0.200) (0.235:0.238:0.240))
+    (IOPATH B1 X (0.136:0.136:0.137) (0.205:0.206:0.206))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5219_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.095:0.095:0.095) (0.097:0.097:0.097))
+    (IOPATH B Y (0.116:0.116:0.116) (0.112:0.112:0.112))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5220_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.189:0.189:0.190) (0.171:0.172:0.172))
+    (IOPATH A Y (0.290:0.290:0.291) (0.123:0.124:0.125))
+    (IOPATH B Y (0.181:0.183:0.184) (0.177:0.177:0.178))
+    (IOPATH B Y (0.279:0.279:0.279) (0.107:0.108:0.110))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5221_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.097:0.097:0.097) (0.098:0.098:0.098))
+    (IOPATH B Y (0.135:0.135:0.135) (0.114:0.114:0.114))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5222_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.152:0.165:0.178) (0.154:0.157:0.159))
+    (IOPATH A Y (0.205:0.207:0.210) (0.104:0.120:0.136))
+    (IOPATH B Y (0.131:0.134:0.137) (0.144:0.144:0.145))
+    (IOPATH B Y (0.180:0.181:0.181) (0.074:0.076:0.079))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5223_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.195:0.195:0.196) (0.174:0.174:0.174))
+    (IOPATH B X (0.189:0.189:0.189) (0.187:0.187:0.187))
+    (IOPATH C X (0.204:0.214:0.224) (0.207:0.214:0.222))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5224_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.127:0.127:0.127) (0.065:0.065:0.066))
+    (IOPATH A2 Y (0.138:0.138:0.138) (0.057:0.057:0.057))
+    (IOPATH B1 Y (0.116:0.122:0.128) (0.045:0.052:0.060))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5225_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.143:0.144:0.144) (0.072:0.072:0.072))
+    (IOPATH B Y (0.119:0.122:0.125) (0.062:0.064:0.067))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5226_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.193:0.194:0.195) (0.108:0.109:0.109))
+    (IOPATH A2 Y (0.173:0.173:0.173) (0.083:0.084:0.084))
+    (IOPATH B1 Y (0.110:0.111:0.112) (0.111:0.111:0.111))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _5227_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.113:0.113:0.113) (0.355:0.356:0.357))
+    (IOPATH B X (0.096:0.096:0.096) (0.326:0.326:0.326))
+    (IOPATH C X (0.112:0.112:0.112) (0.288:0.289:0.289))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5228_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.169:0.174:0.180) (0.157:0.161:0.165))
+    (IOPATH B X (0.140:0.141:0.141) (0.174:0.174:0.174))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31oi_2")
+  (INSTANCE _5229_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.213:0.213:0.213) (0.153:0.153:0.153))
+    (IOPATH A2 Y (0.234:0.234:0.234) (0.155:0.155:0.155))
+    (IOPATH A3 Y (0.219:0.220:0.221) (0.135:0.135:0.135))
+    (IOPATH B1 Y (0.182:0.182:0.183) (0.058:0.058:0.058))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5230_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.156:0.157:0.157) (0.148:0.149:0.149))
+    (IOPATH A Y (0.225:0.225:0.225) (0.102:0.102:0.102))
+    (IOPATH B Y (0.169:0.173:0.178) (0.144:0.158:0.173))
+    (IOPATH B Y (0.205:0.218:0.231) (0.107:0.112:0.118))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5231_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.203:0.208:0.213) (0.192:0.204:0.216))
+    (IOPATH B X (0.149:0.155:0.161) (0.175:0.178:0.180))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5232_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.214:0.215:0.216) (0.105:0.106:0.107))
+    (IOPATH A2 Y (0.237:0.240:0.244) (0.110:0.126:0.142))
+    (IOPATH B1 Y (0.198:0.199:0.199) (0.059:0.060:0.060))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5233_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.137:0.148:0.159) (0.140:0.142:0.144))
+    (IOPATH A Y (0.185:0.186:0.188) (0.090:0.103:0.115))
+    (IOPATH B Y (0.158:0.160:0.161) (0.130:0.139:0.149))
+    (IOPATH B Y (0.159:0.168:0.177) (0.103:0.105:0.106))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5234_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.201:0.201:0.201) (0.101:0.101:0.101))
+    (IOPATH A2 Y (0.192:0.192:0.193) (0.097:0.097:0.097))
+    (IOPATH B1 Y (0.123:0.128:0.132) (0.095:0.109:0.123))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _5235_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.106:0.106:0.106) (0.363:0.363:0.363))
+    (IOPATH B X (0.115:0.115:0.115) (0.343:0.343:0.344))
+    (IOPATH C X (0.101:0.114:0.126) (0.303:0.306:0.309))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5236_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.159:0.164:0.170) (0.152:0.161:0.169))
+    (IOPATH B Y (0.165:0.165:0.165) (0.121:0.121:0.121))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5237_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.231:0.235:0.238) (0.205:0.219:0.233))
+    (IOPATH B X (0.170:0.170:0.171) (0.187:0.187:0.188))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3b_1")
+  (INSTANCE _5238_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.275:0.276:0.277) (0.224:0.224:0.224))
+    (IOPATH B X (0.218:0.218:0.219) (0.204:0.205:0.206))
+    (IOPATH C X (0.232:0.247:0.261) (0.230:0.232:0.235))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5239_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.167:0.167:0.168) (0.164:0.165:0.165))
+    (IOPATH B X (0.175:0.175:0.175) (0.164:0.171:0.177))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5240_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.152:0.167:0.182) (0.156:0.158:0.161))
+    (IOPATH B X (0.162:0.162:0.162) (0.162:0.164:0.165))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _5241_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.224:0.224:0.224) (0.282:0.282:0.282))
+    (IOPATH A2 X (0.195:0.195:0.195) (0.263:0.263:0.263))
+    (IOPATH B1 X (0.184:0.184:0.184) (0.214:0.214:0.214))
+    (IOPATH B2 X (0.196:0.196:0.196) (0.262:0.262:0.262))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5242_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.233:0.233:0.233) (0.217:0.217:0.217))
+    (IOPATH B X (0.217:0.217:0.217) (0.203:0.203:0.203))
+    (IOPATH C X (0.205:0.205:0.205) (0.210:0.210:0.210))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _5243_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.066:0.067:0.067) (0.051:0.051:0.051))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _5244_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.211:0.222:0.232) (0.130:0.131:0.133))
+    (IOPATH A2 Y (0.201:0.209:0.216) (0.095:0.097:0.099))
+    (IOPATH B1_N Y (0.144:0.144:0.145) (0.176:0.177:0.177))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5245_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.124:0.124:0.124) (0.110:0.110:0.110))
+    (IOPATH B Y (0.102:0.102:0.102) (0.098:0.098:0.098))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5246_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.196:0.205:0.214) (0.178:0.182:0.187))
+    (IOPATH A Y (0.297:0.301:0.305) (0.131:0.143:0.155))
+    (IOPATH B Y (0.184:0.186:0.187) (0.174:0.179:0.184))
+    (IOPATH B Y (0.278:0.282:0.287) (0.110:0.111:0.112))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5247_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.130:0.130:0.130) (0.109:0.109:0.109))
+    (IOPATH B Y (0.120:0.120:0.120) (0.100:0.100:0.100))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5248_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.154:0.166:0.179) (0.156:0.160:0.164))
+    (IOPATH A Y (0.208:0.212:0.216) (0.105:0.121:0.137))
+    (IOPATH B Y (0.135:0.136:0.138) (0.140:0.145:0.151))
+    (IOPATH B Y (0.178:0.183:0.188) (0.077:0.078:0.079))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5249_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.208:0.209:0.210) (0.181:0.182:0.182))
+    (IOPATH B X (0.208:0.208:0.208) (0.194:0.194:0.194))
+    (IOPATH C X (0.219:0.229:0.239) (0.216:0.224:0.231))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5250_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.129:0.129:0.129) (0.064:0.065:0.066))
+    (IOPATH A2 Y (0.139:0.139:0.139) (0.062:0.062:0.062))
+    (IOPATH B1 Y (0.119:0.125:0.132) (0.046:0.054:0.061))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5251_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.245:0.246:0.247) (0.107:0.107:0.108))
+    (IOPATH B Y (0.217:0.221:0.224) (0.091:0.095:0.098))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5252_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.201:0.202:0.203) (0.108:0.109:0.109))
+    (IOPATH A2 Y (0.183:0.183:0.183) (0.086:0.087:0.087))
+    (IOPATH B1 Y (0.131:0.132:0.133) (0.153:0.153:0.153))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _5253_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.108:0.109:0.109) (0.355:0.356:0.357))
+    (IOPATH B X (0.096:0.096:0.097) (0.328:0.328:0.328))
+    (IOPATH C X (0.142:0.142:0.142) (0.299:0.300:0.301))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5254_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.173:0.179:0.185) (0.161:0.171:0.181))
+    (IOPATH B X (0.143:0.144:0.144) (0.176:0.176:0.176))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31oi_2")
+  (INSTANCE _5255_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.230:0.230:0.230) (0.165:0.165:0.165))
+    (IOPATH A2 Y (0.255:0.255:0.255) (0.152:0.152:0.152))
+    (IOPATH A3 Y (0.229:0.231:0.232) (0.142:0.142:0.142))
+    (IOPATH B1 Y (0.191:0.192:0.192) (0.059:0.060:0.060))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5256_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.150:0.150:0.150) (0.145:0.145:0.145))
+    (IOPATH A Y (0.211:0.211:0.212) (0.098:0.098:0.099))
+    (IOPATH B Y (0.164:0.168:0.173) (0.140:0.157:0.173))
+    (IOPATH B Y (0.191:0.206:0.221) (0.105:0.110:0.116))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _5257_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.132:0.134:0.136) (0.354:0.357:0.361))
+    (IOPATH B X (0.097:0.097:0.097) (0.328:0.328:0.328))
+    (IOPATH C X (0.101:0.114:0.127) (0.296:0.298:0.300))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5258_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.219:0.223:0.227) (0.140:0.142:0.144))
+    (IOPATH A2 Y (0.203:0.203:0.204) (0.095:0.095:0.095))
+    (IOPATH B1 Y (0.141:0.143:0.146) (0.111:0.127:0.144))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5259_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.118:0.118:0.119) (0.145:0.145:0.145))
+    (IOPATH B X (0.158:0.164:0.170) (0.172:0.179:0.186))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5260_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.225:0.225:0.225) (0.116:0.116:0.116))
+    (IOPATH A2 Y (0.218:0.219:0.219) (0.115:0.115:0.115))
+    (IOPATH B1 Y (0.134:0.134:0.134) (0.101:0.102:0.102))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _5261_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.109:0.109:0.109) (0.361:0.361:0.361))
+    (IOPATH B X (0.121:0.122:0.122) (0.343:0.343:0.344))
+    (IOPATH C X (0.094:0.094:0.095) (0.295:0.295:0.296))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5262_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.256:0.263:0.270) (0.212:0.214:0.217))
+    (IOPATH B X (0.221:0.222:0.222) (0.222:0.222:0.222))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _5263_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.275:0.275:0.276) (0.294:0.297:0.300))
+    (IOPATH A Y (0.524:0.527:0.530) (0.224:0.225:0.225))
+    (IOPATH B Y (0.275:0.275:0.275) (0.275:0.275:0.275))
+    (IOPATH B Y (0.488:0.488:0.488) (0.220:0.220:0.220))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5264_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.260:0.260:0.260) (0.168:0.168:0.168))
+    (IOPATH A2 Y (0.230:0.231:0.231) (0.129:0.129:0.129))
+    (IOPATH B1 Y (0.205:0.208:0.211) (0.155:0.187:0.220))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_2")
+  (INSTANCE _5265_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.493:0.493:0.493) (0.379:0.379:0.379))
+    (IOPATH B X (0.490:0.490:0.490) (0.410:0.410:0.410))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o31a_1")
+  (INSTANCE _5266_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.179:0.179:0.179) (0.323:0.323:0.323))
+    (IOPATH A2 X (0.153:0.153:0.154) (0.288:0.288:0.289))
+    (IOPATH A3 X (0.144:0.162:0.179) (0.285:0.287:0.290))
+    (IOPATH B1 X (0.201:0.201:0.201) (0.159:0.159:0.160))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5267_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.198:0.206:0.214) (0.221:0.221:0.222))
+    (IOPATH B X (0.166:0.167:0.168) (0.162:0.171:0.180))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5268_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.191:0.205:0.218) (0.210:0.216:0.222))
+    (IOPATH A2 X (0.209:0.217:0.224) (0.239:0.244:0.248))
+    (IOPATH B1 X (0.139:0.140:0.140) (0.189:0.189:0.189))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5269_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.199:0.200:0.201) (0.194:0.194:0.194))
+    (IOPATH B X (0.142:0.156:0.171) (0.174:0.176:0.179))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5270_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.156:0.169:0.182) (0.187:0.193:0.199))
+    (IOPATH A2 X (0.153:0.153:0.154) (0.203:0.203:0.203))
+    (IOPATH B1 X (0.113:0.114:0.114) (0.172:0.172:0.172))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5271_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.242:0.242:0.242) (0.212:0.212:0.212))
+    (IOPATH B X (0.176:0.176:0.177) (0.190:0.190:0.191))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _5272_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.330:0.330:0.330) (0.265:0.265:0.265))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _5273_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.204:0.204:0.204) (0.247:0.247:0.247))
+    (IOPATH A2 X (0.233:0.233:0.233) (0.293:0.293:0.293))
+    (IOPATH B1 X (0.199:0.199:0.199) (0.241:0.241:0.241))
+    (IOPATH B2 X (0.213:0.213:0.213) (0.256:0.256:0.256))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _5274_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.293:0.293:0.293) (0.214:0.214:0.214))
+    (IOPATH B X (0.333:0.333:0.333) (0.269:0.269:0.269))
+    (IOPATH C X (0.309:0.309:0.309) (0.284:0.284:0.284))
+    (IOPATH D X (0.320:0.320:0.320) (0.290:0.290:0.290))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _5275_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.065:0.066:0.067) (0.051:0.052:0.052))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5276_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.160:0.160:0.160) (0.157:0.157:0.157))
+    (IOPATH B X (0.136:0.137:0.137) (0.159:0.159:0.160))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _5277_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.253:0.253:0.253) (0.251:0.251:0.251))
+    (IOPATH A2 X (0.249:0.249:0.249) (0.293:0.293:0.293))
+    (IOPATH A3 X (0.205:0.206:0.206) (0.256:0.256:0.256))
+    (IOPATH B1 X (0.155:0.155:0.155) (0.221:0.221:0.221))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5278_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.184:0.184:0.184) (0.195:0.195:0.195))
+    (IOPATH B X (0.192:0.192:0.192) (0.205:0.205:0.205))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5279_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.146:0.147:0.147) (0.076:0.077:0.077))
+    (IOPATH B Y (0.120:0.120:0.120) (0.053:0.053:0.053))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5280_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.154:0.155:0.155) (0.155:0.155:0.156))
+    (IOPATH B X (0.139:0.139:0.139) (0.159:0.159:0.159))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5281_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.133:0.135:0.138) (0.077:0.077:0.077))
+    (IOPATH B Y (0.121:0.121:0.121) (0.053:0.053:0.053))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5282_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.139:0.139:0.139) (0.127:0.127:0.127))
+    (IOPATH B Y (0.145:0.145:0.145) (0.143:0.143:0.143))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5283_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.151:0.151:0.151) (0.130:0.133:0.136))
+    (IOPATH A Y (0.186:0.189:0.192) (0.102:0.102:0.102))
+    (IOPATH B Y (0.143:0.144:0.144) (0.156:0.156:0.157))
+    (IOPATH B Y (0.194:0.194:0.195) (0.085:0.085:0.085))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5284_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.187:0.188:0.188) (0.169:0.170:0.170))
+    (IOPATH B X (0.179:0.179:0.179) (0.180:0.180:0.180))
+    (IOPATH C X (0.194:0.204:0.214) (0.202:0.204:0.206))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5285_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.140:0.141:0.141) (0.072:0.072:0.073))
+    (IOPATH A2 Y (0.149:0.150:0.150) (0.062:0.062:0.062))
+    (IOPATH B1 Y (0.129:0.131:0.133) (0.049:0.057:0.066))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5286_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.146:0.147:0.147) (0.069:0.069:0.070))
+    (IOPATH B Y (0.125:0.128:0.132) (0.068:0.071:0.073))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5287_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.056:0.060:0.063) (0.069:0.070:0.071))
+    (IOPATH B Y (0.065:0.066:0.067) (0.060:0.060:0.060))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _5288_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.192:0.193:0.195) (0.207:0.213:0.219))
+    (IOPATH A2 X (0.175:0.188:0.200) (0.237:0.239:0.241))
+    (IOPATH B1_N X (0.221:0.225:0.230) (0.228:0.229:0.231))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5289_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.089:0.090:0.091) (0.102:0.102:0.102))
+    (IOPATH B Y (0.108:0.108:0.109) (0.096:0.096:0.096))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5290_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.117:0.117:0.117) (0.217:0.217:0.218))
+    (IOPATH B X (0.107:0.108:0.108) (0.207:0.208:0.208))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5291_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.156:0.157:0.158) (0.162:0.164:0.165))
+    (IOPATH B X (0.145:0.145:0.145) (0.170:0.170:0.170))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _5292_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.230:0.230:0.230) (0.228:0.232:0.236))
+    (IOPATH A2 X (0.207:0.207:0.208) (0.234:0.240:0.246))
+    (IOPATH B1_N X (0.289:0.289:0.290) (0.194:0.194:0.194))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5293_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.143:0.143:0.143) (0.139:0.139:0.139))
+    (IOPATH A Y (0.198:0.198:0.199) (0.092:0.092:0.092))
+    (IOPATH B Y (0.160:0.160:0.160) (0.151:0.152:0.153))
+    (IOPATH B Y (0.193:0.194:0.195) (0.101:0.101:0.102))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _5294_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.197:0.198:0.200) (0.205:0.212:0.220))
+    (IOPATH A2 X (0.140:0.140:0.140) (0.185:0.185:0.185))
+    (IOPATH B1 X (0.143:0.156:0.169) (0.117:0.119:0.121))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_1")
+  (INSTANCE _5295_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.202:0.208:0.215) (0.106:0.107:0.108))
+    (IOPATH B Y (0.184:0.184:0.184) (0.063:0.063:0.063))
+    (IOPATH C Y (0.145:0.147:0.149) (0.052:0.061:0.069))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5296_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.167:0.168:0.169) (0.067:0.067:0.068))
+    (IOPATH B Y (0.149:0.158:0.166) (0.088:0.088:0.088))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5297_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.219:0.220:0.220) (0.097:0.097:0.097))
+    (IOPATH A X (0.212:0.212:0.213) (0.181:0.181:0.182))
+    (IOPATH B X (0.198:0.201:0.204) (0.119:0.119:0.119))
+    (IOPATH B X (0.231:0.231:0.231) (0.159:0.162:0.165))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _5298_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.132:0.132:0.132) (0.240:0.241:0.242))
+    (IOPATH B_N X (0.166:0.166:0.166) (0.244:0.244:0.244))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5299_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.163:0.163:0.163) (0.142:0.142:0.142))
+    (IOPATH B Y (0.152:0.152:0.152) (0.145:0.145:0.145))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _5300_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.221:0.221:0.221) (0.277:0.277:0.277))
+    (IOPATH A2 X (0.197:0.197:0.197) (0.264:0.264:0.264))
+    (IOPATH B1 X (0.176:0.176:0.176) (0.208:0.208:0.208))
+    (IOPATH B2 X (0.200:0.200:0.200) (0.268:0.268:0.268))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _5301_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.322:0.322:0.322) (0.263:0.263:0.263))
+    (IOPATH B X (0.324:0.324:0.324) (0.285:0.285:0.285))
+    (IOPATH C X (0.329:0.329:0.329) (0.290:0.290:0.290))
+    (IOPATH D X (0.326:0.326:0.326) (0.302:0.302:0.302))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _5302_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.062:0.063:0.064) (0.049:0.049:0.049))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _5303_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.281:0.281:0.281) (0.170:0.170:0.170))
+    (IOPATH A2 Y (0.262:0.262:0.262) (0.142:0.142:0.142))
+    (IOPATH B1 Y (0.206:0.206:0.206) (0.088:0.089:0.089))
+    (IOPATH B2 Y (0.216:0.216:0.216) (0.081:0.081:0.081))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _5304_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.307:0.307:0.307) (0.246:0.246:0.246))
+    (IOPATH B X (0.290:0.290:0.290) (0.233:0.233:0.233))
+    (IOPATH C X (0.263:0.264:0.264) (0.230:0.231:0.231))
+    (IOPATH D X (0.261:0.261:0.261) (0.234:0.234:0.234))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5305_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.144:0.151:0.158) (0.094:0.095:0.096))
+    (IOPATH B Y (0.127:0.128:0.129) (0.071:0.071:0.071))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _5306_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.208:0.209:0.210) (0.226:0.231:0.236))
+    (IOPATH A2 X (0.165:0.167:0.169) (0.206:0.213:0.220))
+    (IOPATH B1_N X (0.251:0.252:0.253) (0.177:0.177:0.178))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5307_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.164:0.164:0.164) (0.147:0.151:0.155))
+    (IOPATH A Y (0.220:0.223:0.227) (0.112:0.112:0.112))
+    (IOPATH B Y (0.158:0.158:0.158) (0.148:0.149:0.150))
+    (IOPATH B Y (0.204:0.205:0.206) (0.097:0.097:0.097))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5308_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.180:0.181:0.182) (0.186:0.189:0.192))
+    (IOPATH A Y (0.284:0.286:0.289) (0.120:0.121:0.122))
+    (IOPATH B Y (0.179:0.191:0.202) (0.167:0.169:0.171))
+    (IOPATH B Y (0.251:0.253:0.255) (0.110:0.125:0.140))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a2bb2o_1")
+  (INSTANCE _5309_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1_N X (0.291:0.297:0.302) (0.319:0.328:0.337))
+    (IOPATH A2_N X (0.280:0.280:0.280) (0.311:0.311:0.311))
+    (IOPATH B1 X (0.188:0.205:0.223) (0.319:0.321:0.323))
+    (IOPATH B2 X (0.174:0.175:0.176) (0.276:0.277:0.277))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5310_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.192:0.195:0.197) (0.093:0.107:0.122))
+    (IOPATH A X (0.184:0.194:0.205) (0.179:0.182:0.184))
+    (IOPATH B X (0.169:0.169:0.170) (0.081:0.081:0.081))
+    (IOPATH B X (0.180:0.180:0.181) (0.156:0.157:0.157))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5311_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.099:0.100:0.101) (0.058:0.058:0.059))
+    (IOPATH B Y (0.078:0.084:0.091) (0.053:0.054:0.054))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5312_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.151:0.151:0.151) (0.148:0.149:0.150))
+    (IOPATH B X (0.157:0.159:0.160) (0.159:0.167:0.174))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5313_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.132:0.133:0.133) (0.245:0.245:0.246))
+    (IOPATH B X (0.129:0.129:0.129) (0.228:0.229:0.229))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5314_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.197:0.202:0.208) (0.205:0.211:0.217))
+    (IOPATH A2 X (0.176:0.176:0.176) (0.222:0.222:0.222))
+    (IOPATH B1 X (0.153:0.153:0.153) (0.203:0.203:0.203))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _5315_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.118:0.124:0.130) (0.135:0.143:0.151))
+    (IOPATH B Y (0.127:0.127:0.127) (0.116:0.116:0.116))
+    (IOPATH C Y (0.129:0.129:0.129) (0.124:0.124:0.124))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5316_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.204:0.205:0.206) (0.212:0.212:0.213))
+    (IOPATH B X (0.155:0.168:0.180) (0.179:0.181:0.183))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5317_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.119:0.119:0.119) (0.157:0.157:0.157))
+    (IOPATH A2 X (0.116:0.118:0.120) (0.189:0.193:0.196))
+    (IOPATH B1 X (0.079:0.079:0.079) (0.143:0.143:0.144))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _5318_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.106:0.106:0.106) (0.110:0.110:0.110))
+    (IOPATH B Y (0.133:0.134:0.134) (0.133:0.133:0.133))
+    (IOPATH C Y (0.144:0.148:0.152) (0.128:0.130:0.132))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5319_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.140:0.140:0.141) (0.146:0.146:0.147))
+    (IOPATH B X (0.167:0.169:0.171) (0.199:0.199:0.199))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211ai_1")
+  (INSTANCE _5320_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.233:0.233:0.233) (0.121:0.121:0.121))
+    (IOPATH A2 Y (0.246:0.247:0.247) (0.139:0.139:0.139))
+    (IOPATH B1 Y (0.160:0.161:0.163) (0.137:0.139:0.141))
+    (IOPATH C1 Y (0.124:0.124:0.124) (0.128:0.128:0.128))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5321_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.078:0.084:0.090) (0.081:0.086:0.090))
+    (IOPATH B Y (0.099:0.102:0.104) (0.081:0.090:0.100))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5322_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.285:0.285:0.285) (0.121:0.121:0.121))
+    (IOPATH A X (0.280:0.280:0.280) (0.198:0.198:0.198))
+    (IOPATH B X (0.266:0.270:0.273) (0.107:0.109:0.110))
+    (IOPATH B X (0.277:0.278:0.279) (0.179:0.182:0.186))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5323_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.198:0.200:0.201) (0.163:0.170:0.177))
+    (IOPATH B X (0.217:0.218:0.218) (0.191:0.197:0.203))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5324_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.167:0.173:0.178) (0.099:0.101:0.102))
+    (IOPATH B Y (0.159:0.164:0.170) (0.109:0.109:0.110))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5325_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.139:0.139:0.140) (0.070:0.070:0.070))
+    (IOPATH B Y (0.128:0.132:0.135) (0.076:0.076:0.076))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5326_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.366:0.366:0.366) (0.298:0.298:0.298))
+    (IOPATH A Y (0.629:0.629:0.630) (0.259:0.259:0.260))
+    (IOPATH B Y (0.366:0.366:0.366) (0.287:0.289:0.291))
+    (IOPATH B Y (0.600:0.602:0.604) (0.245:0.246:0.246))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o2bb2a_1")
+  (INSTANCE _5327_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1_N X (0.314:0.334:0.355) (0.276:0.281:0.285))
+    (IOPATH A2_N X (0.272:0.275:0.278) (0.243:0.244:0.245))
+    (IOPATH B1 X (0.276:0.301:0.326) (0.408:0.411:0.414))
+    (IOPATH B2 X (0.244:0.244:0.244) (0.373:0.373:0.373))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5328_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.109:0.116:0.123) (0.142:0.143:0.145))
+    (IOPATH B Y (0.108:0.114:0.121) (0.118:0.120:0.123))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5329_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.216:0.217:0.218) (0.203:0.203:0.204))
+    (IOPATH B X (0.167:0.167:0.167) (0.165:0.174:0.182))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5330_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.169:0.169:0.169) (0.148:0.149:0.149))
+    (IOPATH B X (0.169:0.169:0.169) (0.167:0.169:0.171))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _5331_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.217:0.217:0.217) (0.276:0.276:0.276))
+    (IOPATH A2 X (0.185:0.185:0.185) (0.255:0.255:0.255))
+    (IOPATH B1 X (0.180:0.180:0.180) (0.227:0.227:0.227))
+    (IOPATH B2 X (0.196:0.196:0.196) (0.272:0.272:0.272))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _5332_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.324:0.324:0.324) (0.267:0.267:0.267))
+    (IOPATH B X (0.328:0.328:0.328) (0.295:0.295:0.295))
+    (IOPATH C X (0.297:0.297:0.297) (0.254:0.254:0.254))
+    (IOPATH D X (0.297:0.297:0.297) (0.264:0.264:0.264))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _5333_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.065:0.066:0.067) (0.052:0.052:0.052))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o2bb2ai_1")
+  (INSTANCE _5334_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1_N Y (0.174:0.174:0.174) (0.168:0.168:0.168))
+    (IOPATH A2_N Y (0.149:0.149:0.149) (0.144:0.144:0.145))
+    (IOPATH B1 Y (0.202:0.202:0.203) (0.099:0.099:0.100))
+    (IOPATH B2 Y (0.198:0.202:0.207) (0.092:0.092:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5335_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.197:0.197:0.197) (0.202:0.202:0.202))
+    (IOPATH B X (0.188:0.188:0.188) (0.220:0.220:0.220))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5336_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.153:0.155:0.157) (0.073:0.083:0.094))
+    (IOPATH B Y (0.132:0.133:0.133) (0.059:0.059:0.059))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5337_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.150:0.161:0.172) (0.152:0.154:0.156))
+    (IOPATH B X (0.144:0.144:0.144) (0.163:0.163:0.163))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5338_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.136:0.141:0.145) (0.081:0.081:0.081))
+    (IOPATH B Y (0.123:0.124:0.124) (0.055:0.055:0.055))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5339_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.165:0.165:0.165) (0.145:0.145:0.145))
+    (IOPATH B Y (0.148:0.148:0.148) (0.127:0.127:0.127))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5340_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.159:0.159:0.159) (0.136:0.139:0.143))
+    (IOPATH A Y (0.201:0.205:0.208) (0.108:0.108:0.108))
+    (IOPATH B Y (0.152:0.153:0.154) (0.156:0.163:0.169))
+    (IOPATH B Y (0.204:0.210:0.216) (0.091:0.093:0.094))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5341_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.185:0.185:0.186) (0.167:0.167:0.168))
+    (IOPATH B X (0.185:0.185:0.185) (0.184:0.184:0.184))
+    (IOPATH C X (0.202:0.213:0.224) (0.208:0.210:0.213))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5342_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.130:0.130:0.130) (0.062:0.063:0.064))
+    (IOPATH A2 Y (0.144:0.144:0.144) (0.060:0.060:0.060))
+    (IOPATH B1 Y (0.126:0.128:0.130) (0.050:0.058:0.065))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5343_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.201:0.201:0.202) (0.086:0.087:0.087))
+    (IOPATH B Y (0.178:0.182:0.186) (0.082:0.085:0.088))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5344_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.227:0.228:0.229) (0.119:0.119:0.120))
+    (IOPATH A2 Y (0.211:0.211:0.211) (0.100:0.100:0.100))
+    (IOPATH B1 Y (0.144:0.144:0.145) (0.150:0.151:0.151))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _5345_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.115:0.115:0.115) (0.366:0.367:0.367))
+    (IOPATH B X (0.107:0.107:0.107) (0.340:0.340:0.340))
+    (IOPATH C X (0.137:0.137:0.138) (0.306:0.306:0.307))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5346_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.179:0.186:0.193) (0.167:0.174:0.182))
+    (IOPATH B X (0.145:0.145:0.146) (0.179:0.179:0.179))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31oi_1")
+  (INSTANCE _5347_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.258:0.258:0.258) (0.172:0.172:0.172))
+    (IOPATH A2 Y (0.275:0.275:0.275) (0.158:0.158:0.158))
+    (IOPATH A3 Y (0.234:0.234:0.235) (0.120:0.121:0.121))
+    (IOPATH B1 Y (0.209:0.209:0.210) (0.068:0.069:0.069))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5348_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.210:0.210:0.210) (0.186:0.186:0.186))
+    (IOPATH A Y (0.330:0.330:0.330) (0.141:0.141:0.141))
+    (IOPATH B Y (0.231:0.235:0.238) (0.184:0.201:0.218))
+    (IOPATH B Y (0.312:0.328:0.343) (0.160:0.165:0.170))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _5349_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.183:0.185:0.187) (0.205:0.212:0.218))
+    (IOPATH A2 X (0.134:0.134:0.134) (0.181:0.181:0.181))
+    (IOPATH B1 X (0.170:0.185:0.201) (0.146:0.148:0.150))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_1")
+  (INSTANCE _5350_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.203:0.209:0.215) (0.093:0.095:0.097))
+    (IOPATH B Y (0.182:0.182:0.182) (0.055:0.056:0.056))
+    (IOPATH C Y (0.169:0.171:0.173) (0.070:0.079:0.088))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5351_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.160:0.161:0.162) (0.066:0.066:0.067))
+    (IOPATH B Y (0.142:0.150:0.158) (0.086:0.086:0.086))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5352_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.175:0.175:0.175) (0.180:0.183:0.186))
+    (IOPATH A2 X (0.152:0.153:0.153) (0.202:0.202:0.202))
+    (IOPATH B1 X (0.123:0.123:0.123) (0.177:0.177:0.178))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5353_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.278:0.282:0.285) (0.140:0.140:0.140))
+    (IOPATH A X (0.291:0.292:0.292) (0.194:0.197:0.201))
+    (IOPATH B X (0.265:0.266:0.266) (0.116:0.116:0.117))
+    (IOPATH B X (0.280:0.281:0.281) (0.180:0.180:0.181))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _5354_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.219:0.219:0.219) (0.259:0.259:0.259))
+    (IOPATH A2 X (0.211:0.211:0.211) (0.299:0.299:0.299))
+    (IOPATH B1 X (0.197:0.197:0.197) (0.228:0.228:0.228))
+    (IOPATH B2 X (0.181:0.181:0.181) (0.248:0.248:0.248))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5355_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.316:0.316:0.316) (0.252:0.252:0.252))
+    (IOPATH B X (0.313:0.313:0.313) (0.270:0.270:0.270))
+    (IOPATH C X (0.271:0.271:0.271) (0.247:0.248:0.248))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _5356_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.070:0.070:0.071) (0.053:0.053:0.053))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _5357_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.229:0.229:0.229) (0.244:0.244:0.244))
+    (IOPATH A2 X (0.209:0.209:0.209) (0.262:0.262:0.262))
+    (IOPATH A3 X (0.198:0.198:0.198) (0.234:0.236:0.237))
+    (IOPATH B1 X (0.133:0.134:0.134) (0.204:0.204:0.205))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5358_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.183:0.183:0.183) (0.122:0.122:0.122))
+    (IOPATH A2 Y (0.193:0.193:0.193) (0.124:0.124:0.124))
+    (IOPATH B1 Y (0.128:0.129:0.129) (0.050:0.051:0.052))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5359_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.241:0.241:0.241) (0.210:0.210:0.210))
+    (IOPATH B X (0.235:0.235:0.235) (0.223:0.223:0.223))
+    (IOPATH C X (0.182:0.182:0.183) (0.193:0.193:0.194))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5360_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.168:0.179:0.189) (0.082:0.084:0.087))
+    (IOPATH B Y (0.156:0.157:0.157) (0.066:0.067:0.067))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5361_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.138:0.138:0.138) (0.129:0.129:0.129))
+    (IOPATH B Y (0.127:0.127:0.127) (0.106:0.106:0.106))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5362_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.155:0.155:0.155) (0.132:0.134:0.136))
+    (IOPATH A Y (0.182:0.184:0.186) (0.108:0.108:0.108))
+    (IOPATH B Y (0.134:0.135:0.136) (0.140:0.149:0.159))
+    (IOPATH B Y (0.173:0.182:0.191) (0.076:0.078:0.079))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5363_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.186:0.186:0.187) (0.168:0.168:0.168))
+    (IOPATH B X (0.186:0.186:0.186) (0.186:0.186:0.186))
+    (IOPATH C X (0.199:0.209:0.218) (0.204:0.207:0.211))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5364_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.141:0.141:0.141) (0.067:0.067:0.068))
+    (IOPATH A2 Y (0.156:0.156:0.156) (0.065:0.065:0.065))
+    (IOPATH B1 Y (0.133:0.136:0.139) (0.049:0.058:0.067))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5365_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.174:0.175:0.175) (0.079:0.080:0.080))
+    (IOPATH B Y (0.152:0.155:0.158) (0.079:0.081:0.083))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5366_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.211:0.212:0.213) (0.112:0.112:0.113))
+    (IOPATH A2 Y (0.197:0.197:0.197) (0.097:0.097:0.097))
+    (IOPATH B1 Y (0.130:0.131:0.132) (0.132:0.132:0.133))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _5367_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.108:0.108:0.108) (0.356:0.357:0.358))
+    (IOPATH B X (0.104:0.104:0.105) (0.331:0.331:0.332))
+    (IOPATH C X (0.123:0.123:0.123) (0.294:0.295:0.295))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5368_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.261:0.267:0.274) (0.208:0.214:0.220))
+    (IOPATH B X (0.228:0.228:0.229) (0.221:0.221:0.222))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _5369_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.336:0.336:0.336) (0.287:0.287:0.287))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31oi_4")
+  (INSTANCE _5370_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.275:0.275:0.275) (0.183:0.183:0.183))
+    (IOPATH A2 Y (0.287:0.287:0.287) (0.165:0.165:0.165))
+    (IOPATH A3 Y (0.277:0.278:0.279) (0.167:0.167:0.167))
+    (IOPATH B1 Y (0.239:0.239:0.240) (0.073:0.073:0.073))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_4")
+  (INSTANCE _5371_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.195:0.196:0.196) (0.205:0.206:0.206))
+    (IOPATH A Y (0.299:0.299:0.299) (0.150:0.150:0.150))
+    (IOPATH B Y (0.192:0.195:0.199) (0.171:0.188:0.206))
+    (IOPATH B Y (0.258:0.273:0.288) (0.136:0.140:0.144))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5372_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.218:0.218:0.218) (0.198:0.198:0.198))
+    (IOPATH B X (0.135:0.140:0.145) (0.161:0.164:0.166))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5373_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.189:0.190:0.191) (0.185:0.185:0.185))
+    (IOPATH B X (0.130:0.142:0.154) (0.161:0.164:0.168))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5374_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.190:0.190:0.190) (0.064:0.064:0.064))
+    (IOPATH B Y (0.176:0.176:0.176) (0.060:0.061:0.061))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _5375_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.151:0.168:0.184) (0.175:0.180:0.185))
+    (IOPATH A Y (0.236:0.240:0.244) (0.104:0.122:0.140))
+    (IOPATH B Y (0.166:0.166:0.166) (0.152:0.153:0.154))
+    (IOPATH B Y (0.195:0.195:0.196) (0.114:0.114:0.114))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5376_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.133:0.145:0.157) (0.141:0.147:0.153))
+    (IOPATH B X (0.148:0.164:0.179) (0.175:0.178:0.181))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5377_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.189:0.191:0.193) (0.189:0.201:0.212))
+    (IOPATH A2 X (0.181:0.181:0.182) (0.219:0.219:0.220))
+    (IOPATH B1 X (0.132:0.133:0.133) (0.183:0.183:0.183))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+  (INSTANCE _5378_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.245:0.250:0.255) (0.104:0.121:0.138))
+    (IOPATH A X (0.216:0.228:0.241) (0.204:0.209:0.214))
+    (IOPATH B X (0.217:0.218:0.218) (0.108:0.108:0.109))
+    (IOPATH B X (0.231:0.232:0.232) (0.175:0.175:0.176))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5379_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.191:0.192:0.192) (0.155:0.163:0.170))
+    (IOPATH A Y (0.227:0.234:0.240) (0.145:0.146:0.147))
+    (IOPATH B Y (0.178:0.180:0.182) (0.146:0.154:0.161))
+    (IOPATH B Y (0.203:0.210:0.217) (0.118:0.120:0.123))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+  (INSTANCE _5380_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.453:0.458:0.463) (0.155:0.156:0.157))
+    (IOPATH A2 Y (0.438:0.443:0.448) (0.163:0.179:0.195))
+    (IOPATH B1 Y (0.406:0.411:0.417) (0.110:0.127:0.145))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5381_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.330:0.331:0.332) (0.256:0.262:0.268))
+    (IOPATH B X (0.338:0.352:0.366) (0.273:0.278:0.283))
+    (IOPATH C X (0.337:0.348:0.360) (0.284:0.291:0.298))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _5382_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.244:0.246:0.248) (0.228:0.239:0.251))
+    (IOPATH A2 X (0.196:0.196:0.196) (0.230:0.231:0.231))
+    (IOPATH B1 X (0.209:0.209:0.209) (0.146:0.146:0.147))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a311oi_4")
+  (INSTANCE _5383_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.688:0.688:0.688) (0.310:0.310:0.310))
+    (IOPATH A2 Y (0.722:0.723:0.723) (0.253:0.253:0.253))
+    (IOPATH A3 Y (0.746:0.749:0.751) (0.247:0.247:0.248))
+    (IOPATH B1 Y (0.716:0.717:0.718) (0.113:0.113:0.114))
+    (IOPATH C1 Y (0.692:0.692:0.692) (0.152:0.152:0.152))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5384_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.268:0.268:0.269) (0.200:0.207:0.214))
+    (IOPATH B X (0.248:0.251:0.253) (0.212:0.220:0.228))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _5385_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.151:0.155:0.159) (0.240:0.255:0.270))
+    (IOPATH B_N X (0.192:0.192:0.192) (0.268:0.268:0.268))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5386_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.144:0.144:0.144) (0.144:0.144:0.144))
+    (IOPATH B Y (0.144:0.144:0.144) (0.123:0.123:0.123))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5387_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.120:0.120:0.120) (0.107:0.107:0.107))
+    (IOPATH B Y (0.127:0.127:0.127) (0.119:0.119:0.119))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _5388_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.163:0.164:0.164) (0.324:0.324:0.324))
+    (IOPATH A1 X (0.209:0.209:0.209) (0.345:0.345:0.345))
+    (IOPATH S X (0.247:0.248:0.248) (0.372:0.372:0.372))
+    (IOPATH S X (0.195:0.196:0.196) (0.354:0.355:0.355))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5389_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.193:0.200:0.207) (0.085:0.085:0.085))
+    (IOPATH A X (0.176:0.176:0.176) (0.180:0.186:0.193))
+    (IOPATH B X (0.171:0.171:0.171) (0.078:0.079:0.080))
+    (IOPATH B X (0.179:0.179:0.180) (0.159:0.159:0.159))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5390_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.260:0.260:0.260) (0.221:0.221:0.221))
+    (IOPATH B X (0.256:0.256:0.256) (0.238:0.238:0.238))
+    (IOPATH C X (0.214:0.215:0.216) (0.201:0.204:0.207))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _5391_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.283:0.283:0.283) (0.234:0.234:0.234))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5392_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.147:0.147:0.147) (0.092:0.092:0.092))
+    (IOPATH A2 Y (0.192:0.192:0.192) (0.123:0.123:0.123))
+    (IOPATH B1 Y (0.122:0.124:0.127) (0.060:0.061:0.062))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5393_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.138:0.139:0.139) (0.068:0.068:0.069))
+    (IOPATH B Y (0.120:0.126:0.131) (0.062:0.065:0.068))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5394_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.108:0.109:0.109) (0.058:0.058:0.058))
+    (IOPATH B Y (0.087:0.088:0.089) (0.056:0.056:0.056))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5395_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.175:0.175:0.175) (0.164:0.165:0.166))
+    (IOPATH B X (0.183:0.183:0.183) (0.176:0.177:0.178))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5396_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.152:0.152:0.152) (0.069:0.069:0.069))
+    (IOPATH B Y (0.145:0.145:0.145) (0.070:0.070:0.070))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31oi_1")
+  (INSTANCE _5397_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.283:0.283:0.283) (0.205:0.205:0.205))
+    (IOPATH A2 Y (0.298:0.298:0.298) (0.167:0.167:0.167))
+    (IOPATH A3 Y (0.253:0.255:0.257) (0.149:0.149:0.149))
+    (IOPATH B1 Y (0.230:0.231:0.231) (0.069:0.069:0.070))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5398_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.234:0.234:0.234) (0.121:0.121:0.121))
+    (IOPATH A X (0.245:0.245:0.245) (0.182:0.182:0.182))
+    (IOPATH B X (0.219:0.240:0.260) (0.137:0.142:0.148))
+    (IOPATH B X (0.255:0.258:0.260) (0.166:0.188:0.209))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5399_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.174:0.181:0.188) (0.154:0.160:0.166))
+    (IOPATH B X (0.154:0.154:0.154) (0.169:0.169:0.169))
+    (IOPATH C X (0.190:0.191:0.192) (0.185:0.195:0.206))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5400_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.212:0.217:0.223) (0.116:0.123:0.131))
+    (IOPATH A2 Y (0.226:0.226:0.226) (0.093:0.093:0.094))
+    (IOPATH B1 Y (0.200:0.209:0.218) (0.100:0.101:0.102))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5401_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.229:0.230:0.231) (0.078:0.078:0.079))
+    (IOPATH B Y (0.229:0.233:0.237) (0.118:0.120:0.122))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5402_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.187:0.188:0.188) (0.206:0.206:0.206))
+    (IOPATH B X (0.132:0.150:0.168) (0.171:0.176:0.181))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+  (INSTANCE _5403_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.252:0.270:0.289) (0.281:0.286:0.291))
+    (IOPATH A2 X (0.266:0.266:0.266) (0.305:0.305:0.305))
+    (IOPATH B1 X (0.210:0.210:0.211) (0.270:0.270:0.270))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _5404_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.211:0.211:0.211) (0.193:0.199:0.205))
+    (IOPATH A Y (0.298:0.303:0.308) (0.170:0.170:0.170))
+    (IOPATH B Y (0.196:0.196:0.196) (0.208:0.208:0.209))
+    (IOPATH B Y (0.291:0.291:0.291) (0.148:0.148:0.148))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _5405_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.159:0.163:0.166) (0.248:0.263:0.277))
+    (IOPATH B_N X (0.182:0.182:0.182) (0.259:0.260:0.260))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5406_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.159:0.159:0.159) (0.140:0.140:0.140))
+    (IOPATH B Y (0.150:0.150:0.150) (0.129:0.129:0.129))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5407_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.132:0.132:0.132) (0.109:0.109:0.109))
+    (IOPATH B Y (0.122:0.122:0.122) (0.101:0.101:0.101))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _5408_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.164:0.165:0.167) (0.320:0.324:0.329))
+    (IOPATH A1 X (0.230:0.230:0.230) (0.388:0.388:0.388))
+    (IOPATH S X (0.241:0.243:0.244) (0.370:0.370:0.370))
+    (IOPATH S X (0.191:0.191:0.191) (0.351:0.351:0.352))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5409_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.203:0.208:0.213) (0.089:0.090:0.090))
+    (IOPATH A X (0.186:0.187:0.187) (0.184:0.189:0.194))
+    (IOPATH B X (0.180:0.181:0.181) (0.083:0.083:0.084))
+    (IOPATH B X (0.188:0.189:0.189) (0.162:0.162:0.163))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5410_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.266:0.266:0.266) (0.249:0.249:0.249))
+    (IOPATH B X (0.237:0.237:0.237) (0.215:0.215:0.215))
+    (IOPATH C X (0.225:0.227:0.228) (0.208:0.211:0.214))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _5411_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.265:0.265:0.265) (0.210:0.210:0.210))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5412_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.214:0.214:0.214) (0.128:0.128:0.128))
+    (IOPATH A2 Y (0.184:0.184:0.184) (0.107:0.107:0.107))
+    (IOPATH B1 Y (0.139:0.142:0.144) (0.068:0.069:0.070))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5413_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.155:0.155:0.156) (0.077:0.078:0.078))
+    (IOPATH B Y (0.137:0.145:0.153) (0.075:0.076:0.077))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5414_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.107:0.108:0.109) (0.058:0.058:0.058))
+    (IOPATH B Y (0.089:0.090:0.090) (0.058:0.058:0.058))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5415_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.180:0.180:0.180) (0.167:0.168:0.169))
+    (IOPATH B X (0.192:0.192:0.192) (0.183:0.183:0.184))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5416_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.156:0.157:0.158) (0.070:0.070:0.070))
+    (IOPATH B Y (0.151:0.151:0.151) (0.074:0.074:0.074))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _5417_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.180:0.180:0.180) (0.196:0.201:0.206))
+    (IOPATH A2 X (0.155:0.156:0.157) (0.201:0.207:0.213))
+    (IOPATH B1_N X (0.237:0.237:0.238) (0.164:0.164:0.164))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5418_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.228:0.228:0.229) (0.120:0.120:0.120))
+    (IOPATH A X (0.240:0.240:0.240) (0.180:0.181:0.181))
+    (IOPATH B X (0.211:0.212:0.213) (0.097:0.097:0.097))
+    (IOPATH B X (0.229:0.229:0.229) (0.163:0.164:0.164))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5419_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.174:0.182:0.190) (0.155:0.162:0.170))
+    (IOPATH B X (0.155:0.155:0.155) (0.170:0.170:0.170))
+    (IOPATH C X (0.184:0.185:0.187) (0.181:0.186:0.192))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5420_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.193:0.200:0.206) (0.111:0.119:0.126))
+    (IOPATH A2 Y (0.207:0.207:0.207) (0.090:0.090:0.090))
+    (IOPATH B1 Y (0.177:0.181:0.186) (0.090:0.091:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5421_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.163:0.163:0.164) (0.060:0.061:0.061))
+    (IOPATH B Y (0.159:0.164:0.169) (0.088:0.090:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5422_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.217:0.217:0.217) (0.207:0.210:0.213))
+    (IOPATH A2 X (0.202:0.202:0.203) (0.234:0.234:0.235))
+    (IOPATH B1 X (0.168:0.169:0.169) (0.206:0.207:0.208))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5423_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.214:0.214:0.214) (0.172:0.177:0.182))
+    (IOPATH A Y (0.299:0.303:0.308) (0.153:0.153:0.153))
+    (IOPATH B Y (0.212:0.212:0.212) (0.190:0.190:0.190))
+    (IOPATH B Y (0.299:0.299:0.300) (0.140:0.140:0.140))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5424_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.216:0.220:0.224) (0.097:0.117:0.136))
+    (IOPATH A X (0.198:0.211:0.225) (0.192:0.196:0.200))
+    (IOPATH B X (0.195:0.198:0.201) (0.105:0.122:0.138))
+    (IOPATH B X (0.207:0.215:0.224) (0.173:0.176:0.179))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _5425_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.232:0.232:0.232) (0.251:0.251:0.251))
+    (IOPATH A2 X (0.254:0.255:0.256) (0.239:0.252:0.265))
+    (IOPATH B1 X (0.226:0.228:0.230) (0.151:0.164:0.177))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_1")
+  (INSTANCE _5426_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.223:0.223:0.223) (0.087:0.087:0.087))
+    (IOPATH B Y (0.212:0.224:0.235) (0.114:0.115:0.116))
+    (IOPATH C Y (0.159:0.168:0.178) (0.072:0.073:0.074))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5427_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.121:0.122:0.123) (0.070:0.070:0.070))
+    (IOPATH B Y (0.093:0.104:0.115) (0.064:0.064:0.064))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _5428_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.325:0.325:0.326) (0.275:0.275:0.275))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _5429_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.129:0.129:0.129) (0.238:0.239:0.239))
+    (IOPATH B_N X (0.165:0.165:0.165) (0.244:0.244:0.244))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE _5430_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.355:0.355:0.355) (0.254:0.254:0.254))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5431_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.137:0.137:0.137) (0.124:0.124:0.124))
+    (IOPATH B Y (0.121:0.121:0.121) (0.117:0.117:0.117))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5432_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.109:0.109:0.109) (0.104:0.104:0.104))
+    (IOPATH B Y (0.099:0.099:0.099) (0.093:0.093:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _5433_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.160:0.161:0.161) (0.317:0.322:0.327))
+    (IOPATH A1 X (0.210:0.210:0.210) (0.346:0.346:0.346))
+    (IOPATH S X (0.249:0.250:0.250) (0.374:0.374:0.374))
+    (IOPATH S X (0.197:0.198:0.198) (0.356:0.357:0.357))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5434_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.218:0.221:0.225) (0.093:0.093:0.094))
+    (IOPATH A X (0.202:0.202:0.203) (0.187:0.190:0.193))
+    (IOPATH B X (0.198:0.199:0.199) (0.089:0.089:0.090))
+    (IOPATH B X (0.206:0.206:0.207) (0.167:0.168:0.168))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _5435_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.170:0.170:0.170) (0.198:0.198:0.198))
+    (IOPATH B Y (0.184:0.184:0.184) (0.195:0.195:0.195))
+    (IOPATH C Y (0.133:0.137:0.141) (0.158:0.160:0.161))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5436_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.157:0.157:0.157) (0.191:0.191:0.191))
+    (IOPATH A2 X (0.162:0.162:0.162) (0.208:0.208:0.208))
+    (IOPATH B1 X (0.102:0.103:0.104) (0.146:0.149:0.152))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5437_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.158:0.160:0.161) (0.180:0.187:0.195))
+    (IOPATH B X (0.131:0.132:0.132) (0.155:0.155:0.156))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5438_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.105:0.106:0.106) (0.056:0.057:0.057))
+    (IOPATH B Y (0.085:0.085:0.086) (0.043:0.043:0.043))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5439_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.185:0.185:0.185) (0.170:0.171:0.171))
+    (IOPATH B X (0.178:0.179:0.179) (0.183:0.183:0.184))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5440_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.153:0.154:0.156) (0.069:0.069:0.069))
+    (IOPATH B Y (0.152:0.152:0.152) (0.076:0.076:0.076))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31oi_2")
+  (INSTANCE _5441_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.230:0.230:0.230) (0.159:0.159:0.159))
+    (IOPATH A2 Y (0.270:0.270:0.270) (0.157:0.157:0.157))
+    (IOPATH A3 Y (0.247:0.249:0.250) (0.151:0.151:0.151))
+    (IOPATH B1 Y (0.210:0.211:0.211) (0.065:0.066:0.066))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5442_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.189:0.190:0.191) (0.105:0.105:0.105))
+    (IOPATH A X (0.201:0.201:0.201) (0.169:0.170:0.171))
+    (IOPATH B X (0.172:0.185:0.198) (0.106:0.111:0.117))
+    (IOPATH B X (0.204:0.207:0.210) (0.152:0.165:0.179))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5443_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.171:0.179:0.186) (0.152:0.156:0.160))
+    (IOPATH B X (0.148:0.148:0.148) (0.165:0.165:0.165))
+    (IOPATH C X (0.175:0.176:0.178) (0.176:0.184:0.193))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5444_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.190:0.193:0.197) (0.108:0.115:0.122))
+    (IOPATH A2 Y (0.202:0.202:0.202) (0.083:0.083:0.083))
+    (IOPATH B1 Y (0.173:0.180:0.186) (0.081:0.082:0.083))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5445_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.248:0.248:0.249) (0.082:0.082:0.082))
+    (IOPATH B Y (0.243:0.248:0.253) (0.116:0.119:0.121))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5446_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.190:0.190:0.191) (0.204:0.204:0.204))
+    (IOPATH B X (0.140:0.152:0.165) (0.168:0.170:0.172))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5447_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.176:0.189:0.201) (0.199:0.203:0.208))
+    (IOPATH A2 X (0.184:0.185:0.186) (0.222:0.222:0.223))
+    (IOPATH B1 X (0.136:0.136:0.137) (0.187:0.187:0.187))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _5448_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.212:0.212:0.212) (0.194:0.198:0.202))
+    (IOPATH A Y (0.294:0.297:0.301) (0.173:0.173:0.174))
+    (IOPATH B Y (0.176:0.176:0.177) (0.186:0.186:0.186))
+    (IOPATH B Y (0.266:0.266:0.267) (0.123:0.124:0.124))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _5449_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.130:0.130:0.130) (0.241:0.242:0.243))
+    (IOPATH B_N X (0.170:0.170:0.170) (0.248:0.248:0.248))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5450_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.160:0.161:0.161) (0.152:0.152:0.153))
+    (IOPATH B X (0.159:0.159:0.159) (0.167:0.167:0.167))
+    (IOPATH C X (0.180:0.191:0.202) (0.196:0.198:0.200))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+  (INSTANCE _5451_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.246:0.246:0.246) (0.201:0.201:0.201))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5452_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.117:0.117:0.117) (0.120:0.120:0.120))
+    (IOPATH B Y (0.169:0.169:0.169) (0.141:0.141:0.141))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5453_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.089:0.089:0.089) (0.091:0.091:0.091))
+    (IOPATH B Y (0.142:0.142:0.142) (0.111:0.111:0.111))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _5454_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.156:0.160:0.165) (0.318:0.319:0.321))
+    (IOPATH A1 X (0.226:0.226:0.226) (0.384:0.384:0.384))
+    (IOPATH S X (0.236:0.237:0.238) (0.365:0.365:0.366))
+    (IOPATH S X (0.185:0.185:0.185) (0.346:0.346:0.347))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5455_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.228:0.230:0.231) (0.094:0.097:0.100))
+    (IOPATH A X (0.206:0.209:0.211) (0.193:0.194:0.195))
+    (IOPATH B X (0.203:0.203:0.203) (0.089:0.090:0.091))
+    (IOPATH B X (0.210:0.211:0.212) (0.168:0.168:0.168))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _5456_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.159:0.159:0.159) (0.163:0.163:0.163))
+    (IOPATH B Y (0.223:0.223:0.223) (0.207:0.207:0.207))
+    (IOPATH C Y (0.142:0.145:0.149) (0.169:0.170:0.171))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5457_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.126:0.126:0.126) (0.172:0.172:0.172))
+    (IOPATH A2 X (0.161:0.161:0.161) (0.229:0.229:0.229))
+    (IOPATH B1 X (0.099:0.100:0.101) (0.143:0.147:0.150))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5458_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.158:0.161:0.163) (0.184:0.189:0.193))
+    (IOPATH B X (0.129:0.129:0.130) (0.153:0.153:0.154))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5459_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.097:0.097:0.098) (0.048:0.048:0.048))
+    (IOPATH B Y (0.081:0.082:0.082) (0.042:0.042:0.042))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5460_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.168:0.169:0.169) (0.160:0.161:0.162))
+    (IOPATH B X (0.170:0.170:0.171) (0.179:0.179:0.179))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5461_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.144:0.145:0.146) (0.065:0.065:0.065))
+    (IOPATH B Y (0.142:0.142:0.143) (0.070:0.070:0.070))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _5462_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.192:0.192:0.192) (0.206:0.207:0.208))
+    (IOPATH A2 X (0.169:0.171:0.174) (0.216:0.217:0.218))
+    (IOPATH B1_N X (0.253:0.253:0.253) (0.174:0.174:0.174))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5463_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.174:0.175:0.176) (0.097:0.097:0.097))
+    (IOPATH A X (0.186:0.186:0.186) (0.163:0.163:0.164))
+    (IOPATH B X (0.162:0.163:0.164) (0.085:0.085:0.085))
+    (IOPATH B X (0.182:0.182:0.182) (0.151:0.151:0.152))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5464_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.147:0.148:0.149) (0.147:0.151:0.155))
+    (IOPATH B X (0.147:0.147:0.147) (0.164:0.164:0.164))
+    (IOPATH C X (0.167:0.169:0.170) (0.171:0.175:0.179))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5465_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.176:0.179:0.183) (0.083:0.084:0.085))
+    (IOPATH A2 Y (0.191:0.191:0.191) (0.081:0.081:0.081))
+    (IOPATH B1 Y (0.159:0.162:0.165) (0.073:0.074:0.075))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5466_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.211:0.212:0.213) (0.071:0.071:0.071))
+    (IOPATH B Y (0.205:0.206:0.208) (0.100:0.102:0.104))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5467_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.173:0.173:0.174) (0.195:0.196:0.196))
+    (IOPATH A2 X (0.192:0.192:0.192) (0.211:0.214:0.217))
+    (IOPATH B1 X (0.141:0.141:0.141) (0.189:0.190:0.190))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _5468_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.202:0.202:0.202) (0.186:0.190:0.193))
+    (IOPATH A Y (0.285:0.288:0.291) (0.160:0.160:0.160))
+    (IOPATH B Y (0.174:0.174:0.174) (0.183:0.184:0.184))
+    (IOPATH B Y (0.262:0.262:0.262) (0.121:0.121:0.121))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5469_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.263:0.268:0.273) (0.113:0.135:0.157))
+    (IOPATH A X (0.246:0.259:0.273) (0.204:0.209:0.214))
+    (IOPATH B X (0.243:0.246:0.250) (0.111:0.135:0.159))
+    (IOPATH B X (0.249:0.260:0.271) (0.185:0.188:0.192))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5470_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.127:0.127:0.127) (0.070:0.070:0.070))
+    (IOPATH B Y (0.118:0.118:0.118) (0.063:0.063:0.063))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211o_1")
+  (INSTANCE _5471_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.175:0.175:0.176) (0.308:0.308:0.308))
+    (IOPATH A2 X (0.173:0.188:0.203) (0.331:0.336:0.341))
+    (IOPATH B1 X (0.135:0.135:0.135) (0.292:0.292:0.292))
+    (IOPATH C1 X (0.132:0.133:0.133) (0.252:0.252:0.252))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5472_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.179:0.179:0.179) (0.168:0.168:0.169))
+    (IOPATH B X (0.202:0.203:0.205) (0.173:0.186:0.198))
+    (IOPATH C X (0.168:0.168:0.169) (0.191:0.192:0.193))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5473_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.175:0.175:0.175) (0.097:0.097:0.097))
+    (IOPATH A2 Y (0.181:0.182:0.183) (0.081:0.082:0.082))
+    (IOPATH B1 Y (0.153:0.163:0.173) (0.082:0.083:0.084))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o31ai_1")
+  (INSTANCE _5474_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.517:0.517:0.517) (0.201:0.201:0.201))
+    (IOPATH A2 Y (0.453:0.454:0.454) (0.130:0.130:0.130))
+    (IOPATH A3 Y (0.428:0.432:0.435) (0.139:0.141:0.143))
+    (IOPATH B1 Y (0.158:0.158:0.158) (0.153:0.153:0.153))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21bo_1")
+  (INSTANCE _5475_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.154:0.159:0.164) (0.192:0.197:0.203))
+    (IOPATH A2 X (0.152:0.162:0.173) (0.226:0.228:0.230))
+    (IOPATH B1_N X (0.200:0.201:0.201) (0.225:0.225:0.225))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5476_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.170:0.173:0.175) (0.094:0.109:0.124))
+    (IOPATH A2 Y (0.184:0.184:0.185) (0.085:0.085:0.086))
+    (IOPATH B1 Y (0.146:0.146:0.147) (0.051:0.051:0.051))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5477_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.282:0.282:0.282) (0.254:0.254:0.254))
+    (IOPATH B X (0.275:0.275:0.275) (0.267:0.267:0.267))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5478_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.219:0.219:0.219) (0.133:0.133:0.133))
+    (IOPATH A2 Y (0.220:0.220:0.220) (0.141:0.141:0.141))
+    (IOPATH B1 Y (0.166:0.166:0.166) (0.078:0.078:0.078))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5479_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.249:0.249:0.249) (0.236:0.236:0.236))
+    (IOPATH B X (0.254:0.254:0.254) (0.241:0.241:0.241))
+    (IOPATH C X (0.219:0.219:0.219) (0.222:0.222:0.222))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5480_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.202:0.209:0.215) (0.097:0.099:0.100))
+    (IOPATH B Y (0.183:0.184:0.184) (0.078:0.079:0.079))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5481_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.125:0.125:0.125) (0.111:0.111:0.111))
+    (IOPATH B Y (0.132:0.132:0.132) (0.122:0.122:0.122))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5482_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.158:0.158:0.158) (0.135:0.138:0.141))
+    (IOPATH A Y (0.181:0.183:0.185) (0.114:0.114:0.114))
+    (IOPATH B Y (0.131:0.131:0.131) (0.145:0.146:0.146))
+    (IOPATH B Y (0.174:0.174:0.174) (0.075:0.076:0.076))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5483_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.254:0.254:0.255) (0.144:0.144:0.144))
+    (IOPATH A2 Y (0.239:0.240:0.241) (0.125:0.126:0.126))
+    (IOPATH B1 Y (0.151:0.156:0.160) (0.113:0.128:0.142))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _5484_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.131:0.131:0.131) (0.369:0.370:0.371))
+    (IOPATH B X (0.125:0.125:0.125) (0.344:0.345:0.346))
+    (IOPATH C X (0.099:0.111:0.124) (0.299:0.302:0.305))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5485_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.124:0.129:0.133) (0.125:0.134:0.143))
+    (IOPATH B Y (0.118:0.118:0.118) (0.082:0.082:0.083))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _5486_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.109:0.110:0.111) (0.239:0.241:0.243))
+    (IOPATH B_N X (0.157:0.159:0.161) (0.234:0.242:0.251))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o31a_1")
+  (INSTANCE _5487_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.227:0.229:0.230) (0.340:0.347:0.354))
+    (IOPATH A2 X (0.205:0.205:0.206) (0.320:0.321:0.322))
+    (IOPATH A3 X (0.180:0.180:0.181) (0.276:0.276:0.277))
+    (IOPATH B1 X (0.175:0.175:0.175) (0.130:0.130:0.130))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5488_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.244:0.251:0.258) (0.109:0.109:0.110))
+    (IOPATH A X (0.234:0.234:0.235) (0.194:0.200:0.207))
+    (IOPATH B X (0.220:0.223:0.226) (0.112:0.112:0.113))
+    (IOPATH B X (0.241:0.241:0.242) (0.169:0.173:0.176))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2b_1")
+  (INSTANCE _5489_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.226:0.235:0.245) (0.100:0.102:0.104))
+    (IOPATH B_N Y (0.286:0.287:0.288) (0.169:0.174:0.179))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5490_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.183:0.189:0.194) (0.202:0.203:0.204))
+    (IOPATH B X (0.131:0.133:0.134) (0.142:0.154:0.165))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5491_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.171:0.171:0.171) (0.252:0.253:0.254))
+    (IOPATH B X (0.113:0.113:0.113) (0.221:0.221:0.221))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5492_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.067:0.067:0.067) (0.065:0.065:0.065))
+    (IOPATH B Y (0.071:0.071:0.071) (0.056:0.056:0.056))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5493_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.153:0.154:0.154) (0.262:0.262:0.263))
+    (IOPATH B X (0.135:0.135:0.135) (0.241:0.241:0.241))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5494_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.073:0.076:0.078) (0.060:0.061:0.062))
+    (IOPATH B Y (0.097:0.097:0.097) (0.077:0.077:0.077))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5495_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.163:0.165:0.167) (0.206:0.207:0.207))
+    (IOPATH A2 X (0.157:0.157:0.157) (0.207:0.207:0.208))
+    (IOPATH B1 X (0.127:0.128:0.129) (0.183:0.184:0.184))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _5496_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.081:0.081:0.082) (0.068:0.070:0.072))
+    (IOPATH B Y (0.072:0.072:0.072) (0.067:0.067:0.067))
+    (IOPATH C Y (0.072:0.073:0.074) (0.069:0.070:0.071))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5497_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.247:0.248:0.248) (0.207:0.207:0.207))
+    (IOPATH B X (0.236:0.236:0.237) (0.218:0.220:0.223))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _5498_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.137:0.137:0.137) (0.245:0.246:0.247))
+    (IOPATH B_N X (0.165:0.165:0.165) (0.244:0.244:0.244))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5499_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.133:0.133:0.133) (0.119:0.119:0.119))
+    (IOPATH B Y (0.136:0.136:0.136) (0.131:0.131:0.131))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5500_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.120:0.120:0.120) (0.107:0.107:0.107))
+    (IOPATH B Y (0.120:0.120:0.120) (0.117:0.117:0.117))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _5501_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.156:0.157:0.157) (0.317:0.318:0.319))
+    (IOPATH A1 X (0.219:0.219:0.219) (0.351:0.351:0.351))
+    (IOPATH S X (0.234:0.235:0.237) (0.363:0.363:0.363))
+    (IOPATH S X (0.184:0.184:0.184) (0.344:0.345:0.346))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5502_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.221:0.221:0.222) (0.091:0.091:0.091))
+    (IOPATH A X (0.200:0.200:0.200) (0.191:0.191:0.191))
+    (IOPATH B X (0.195:0.195:0.195) (0.085:0.086:0.087))
+    (IOPATH B X (0.202:0.203:0.204) (0.165:0.165:0.165))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _5503_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.144:0.144:0.144) (0.164:0.164:0.164))
+    (IOPATH B Y (0.184:0.184:0.184) (0.197:0.197:0.197))
+    (IOPATH C Y (0.136:0.139:0.143) (0.161:0.162:0.164))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5504_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.134:0.134:0.134) (0.166:0.166:0.166))
+    (IOPATH A2 X (0.161:0.161:0.161) (0.205:0.205:0.205))
+    (IOPATH B1 X (0.101:0.102:0.103) (0.145:0.148:0.151))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5505_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.154:0.155:0.157) (0.179:0.183:0.187))
+    (IOPATH B X (0.127:0.127:0.128) (0.152:0.152:0.152))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5506_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.123:0.123:0.124) (0.062:0.062:0.062))
+    (IOPATH B Y (0.103:0.103:0.103) (0.048:0.048:0.048))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5507_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.172:0.172:0.172) (0.162:0.163:0.164))
+    (IOPATH B X (0.165:0.165:0.165) (0.175:0.176:0.176))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5508_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.150:0.151:0.153) (0.076:0.076:0.076))
+    (IOPATH B Y (0.145:0.145:0.145) (0.070:0.070:0.070))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ba_1")
+  (INSTANCE _5509_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.182:0.182:0.182) (0.199:0.202:0.204))
+    (IOPATH A2 X (0.160:0.161:0.161) (0.210:0.211:0.211))
+    (IOPATH B1_N X (0.242:0.243:0.243) (0.167:0.167:0.167))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5510_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.180:0.181:0.181) (0.100:0.100:0.100))
+    (IOPATH A X (0.191:0.191:0.191) (0.166:0.166:0.167))
+    (IOPATH B X (0.165:0.166:0.167) (0.082:0.082:0.082))
+    (IOPATH B X (0.184:0.184:0.184) (0.150:0.151:0.152))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5511_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.148:0.149:0.150) (0.149:0.151:0.152))
+    (IOPATH B X (0.146:0.146:0.146) (0.163:0.163:0.163))
+    (IOPATH C X (0.170:0.171:0.173) (0.173:0.177:0.181))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5512_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.186:0.187:0.189) (0.086:0.087:0.088))
+    (IOPATH A2 Y (0.199:0.199:0.199) (0.082:0.082:0.082))
+    (IOPATH B1 Y (0.169:0.172:0.175) (0.078:0.079:0.080))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5513_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.163:0.164:0.165) (0.060:0.060:0.060))
+    (IOPATH B Y (0.158:0.159:0.160) (0.088:0.090:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5514_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.151:0.152:0.152) (0.183:0.183:0.183))
+    (IOPATH A2 X (0.176:0.176:0.176) (0.201:0.204:0.207))
+    (IOPATH B1 X (0.121:0.121:0.122) (0.176:0.177:0.178))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5515_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.210:0.210:0.210) (0.170:0.175:0.179))
+    (IOPATH A Y (0.290:0.294:0.299) (0.151:0.151:0.151))
+    (IOPATH B Y (0.190:0.191:0.191) (0.173:0.174:0.174))
+    (IOPATH B Y (0.277:0.278:0.278) (0.117:0.118:0.118))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5516_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.183:0.183:0.183) (0.175:0.176:0.176))
+    (IOPATH A Y (0.249:0.249:0.250) (0.135:0.135:0.135))
+    (IOPATH B Y (0.173:0.186:0.199) (0.168:0.172:0.175))
+    (IOPATH B Y (0.227:0.230:0.233) (0.112:0.129:0.146))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _5517_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.062:0.065:0.069) (0.053:0.053:0.053))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _5518_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.147:0.147:0.147) (0.196:0.197:0.197))
+    (IOPATH A2 X (0.126:0.126:0.126) (0.174:0.174:0.174))
+    (IOPATH B1 X (0.116:0.116:0.117) (0.103:0.103:0.103))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_1")
+  (INSTANCE _5519_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.216:0.216:0.216) (0.265:0.265:0.265))
+    (IOPATH A2 X (0.190:0.191:0.191) (0.241:0.242:0.242))
+    (IOPATH B1 X (0.185:0.185:0.186) (0.152:0.152:0.152))
+    (IOPATH C1 X (0.197:0.212:0.227) (0.148:0.154:0.159))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5520_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.152:0.152:0.152) (0.090:0.090:0.090))
+    (IOPATH B Y (0.094:0.095:0.097) (0.051:0.051:0.051))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _5521_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.204:0.218:0.231) (0.238:0.243:0.248))
+    (IOPATH A2 X (0.175:0.175:0.176) (0.209:0.210:0.211))
+    (IOPATH B1 X (0.194:0.195:0.197) (0.140:0.147:0.155))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_2")
+  (INSTANCE _5522_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.283:0.283:0.284) (0.440:0.442:0.445))
+    (IOPATH B_N X (0.325:0.326:0.326) (0.439:0.439:0.439))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _5523_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.137:0.142:0.147) (0.233:0.247:0.261))
+    (IOPATH B_N X (0.168:0.168:0.168) (0.247:0.247:0.247))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5524_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.142:0.142:0.142) (0.121:0.121:0.121))
+    (IOPATH B Y (0.106:0.106:0.106) (0.102:0.102:0.102))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5525_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.119:0.119:0.119) (0.104:0.104:0.104))
+    (IOPATH B Y (0.105:0.105:0.105) (0.100:0.100:0.100))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5526_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.209:0.209:0.209) (0.209:0.209:0.209))
+    (IOPATH B X (0.170:0.171:0.171) (0.178:0.179:0.180))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5527_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.126:0.126:0.126) (0.163:0.163:0.163))
+    (IOPATH A2 X (0.136:0.137:0.138) (0.197:0.200:0.203))
+    (IOPATH B1 X (0.116:0.116:0.116) (0.170:0.170:0.170))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5528_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.129:0.131:0.134) (0.147:0.154:0.161))
+    (IOPATH B X (0.130:0.130:0.131) (0.156:0.157:0.157))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5529_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.191:0.197:0.203) (0.071:0.074:0.077))
+    (IOPATH B Y (0.169:0.169:0.169) (0.064:0.065:0.065))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5530_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.162:0.162:0.162) (0.061:0.061:0.061))
+    (IOPATH B Y (0.150:0.151:0.153) (0.092:0.092:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _5531_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.209:0.209:0.209) (0.219:0.219:0.219))
+    (IOPATH B Y (0.216:0.216:0.216) (0.231:0.231:0.231))
+    (IOPATH C Y (0.159:0.165:0.170) (0.188:0.188:0.189))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5532_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.168:0.168:0.168) (0.213:0.213:0.213))
+    (IOPATH A2 X (0.159:0.159:0.159) (0.203:0.203:0.203))
+    (IOPATH B1 X (0.117:0.117:0.117) (0.158:0.162:0.166))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5533_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.209:0.210:0.210) (0.178:0.179:0.180))
+    (IOPATH B X (0.224:0.226:0.228) (0.244:0.249:0.253))
+    (IOPATH C X (0.197:0.198:0.198) (0.201:0.202:0.202))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5534_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.150:0.152:0.153) (0.186:0.190:0.194))
+    (IOPATH B X (0.120:0.120:0.120) (0.148:0.148:0.148))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5535_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.104:0.105:0.106) (0.061:0.061:0.061))
+    (IOPATH B Y (0.077:0.077:0.077) (0.036:0.036:0.036))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5536_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.170:0.171:0.171) (0.079:0.079:0.079))
+    (IOPATH B Y (0.142:0.145:0.148) (0.063:0.063:0.063))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5537_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.214:0.214:0.214) (0.201:0.201:0.201))
+    (IOPATH B X (0.204:0.204:0.204) (0.194:0.194:0.194))
+    (IOPATH C X (0.183:0.192:0.201) (0.195:0.200:0.204))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31oi_2")
+  (INSTANCE _5538_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.237:0.237:0.237) (0.169:0.169:0.169))
+    (IOPATH A2 Y (0.259:0.259:0.259) (0.152:0.152:0.152))
+    (IOPATH A3 Y (0.252:0.256:0.260) (0.133:0.147:0.161))
+    (IOPATH B1 Y (0.195:0.196:0.196) (0.054:0.055:0.055))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5539_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.190:0.192:0.194) (0.107:0.107:0.108))
+    (IOPATH A X (0.204:0.204:0.204) (0.168:0.170:0.172))
+    (IOPATH B X (0.171:0.187:0.203) (0.104:0.110:0.115))
+    (IOPATH B X (0.205:0.208:0.211) (0.150:0.166:0.182))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5540_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.159:0.165:0.172) (0.143:0.153:0.163))
+    (IOPATH B X (0.141:0.141:0.141) (0.159:0.159:0.159))
+    (IOPATH C X (0.166:0.168:0.169) (0.170:0.178:0.186))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5541_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.253:0.262:0.271) (0.131:0.138:0.146))
+    (IOPATH A2 Y (0.269:0.269:0.269) (0.109:0.109:0.109))
+    (IOPATH B1 Y (0.240:0.246:0.252) (0.102:0.104:0.105))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5542_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.104:0.105:0.105) (0.227:0.227:0.228))
+    (IOPATH B X (0.155:0.157:0.158) (0.226:0.232:0.238))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5543_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.256:0.262:0.268) (0.136:0.144:0.153))
+    (IOPATH A2 Y (0.277:0.279:0.281) (0.138:0.146:0.155))
+    (IOPATH B1 Y (0.241:0.241:0.241) (0.069:0.070:0.070))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5544_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.162:0.169:0.177) (0.146:0.153:0.160))
+    (IOPATH B X (0.166:0.173:0.180) (0.168:0.171:0.173))
+    (IOPATH C X (0.142:0.143:0.143) (0.171:0.172:0.172))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_2")
+  (INSTANCE _5545_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.243:0.245:0.248) (0.370:0.380:0.390))
+    (IOPATH B X (0.176:0.176:0.177) (0.339:0.340:0.340))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _5546_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.158:0.160:0.162) (0.243:0.251:0.259))
+    (IOPATH B_N X (0.184:0.186:0.188) (0.258:0.267:0.276))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _5547_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.133:0.138:0.143) (0.232:0.244:0.256))
+    (IOPATH B_N X (0.166:0.166:0.166) (0.245:0.245:0.245))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5548_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.109:0.109:0.109) (0.111:0.111:0.111))
+    (IOPATH B Y (0.151:0.151:0.151) (0.131:0.131:0.131))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5549_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.092:0.092:0.092) (0.094:0.094:0.094))
+    (IOPATH B Y (0.115:0.115:0.115) (0.110:0.110:0.110))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _5550_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.151:0.153:0.154) (0.314:0.314:0.315))
+    (IOPATH A1 X (0.215:0.215:0.215) (0.347:0.347:0.347))
+    (IOPATH S X (0.239:0.240:0.241) (0.365:0.365:0.365))
+    (IOPATH S X (0.187:0.187:0.188) (0.348:0.348:0.349))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5551_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.209:0.210:0.211) (0.085:0.087:0.090))
+    (IOPATH A X (0.188:0.190:0.192) (0.185:0.186:0.187))
+    (IOPATH B X (0.185:0.185:0.185) (0.081:0.082:0.083))
+    (IOPATH B X (0.193:0.193:0.194) (0.162:0.162:0.162))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_1")
+  (INSTANCE _5552_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.163:0.163:0.163) (0.183:0.183:0.183))
+    (IOPATH B Y (0.200:0.200:0.200) (0.214:0.214:0.214))
+    (IOPATH C Y (0.150:0.153:0.156) (0.173:0.175:0.176))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5553_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.136:0.136:0.136) (0.168:0.168:0.168))
+    (IOPATH A2 X (0.161:0.161:0.161) (0.205:0.205:0.205))
+    (IOPATH B1 X (0.099:0.100:0.101) (0.144:0.147:0.149))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5554_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.179:0.180:0.182) (0.200:0.204:0.208))
+    (IOPATH B X (0.146:0.147:0.147) (0.165:0.165:0.165))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5555_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.111:0.112:0.112) (0.061:0.061:0.061))
+    (IOPATH B Y (0.093:0.094:0.094) (0.049:0.050:0.050))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5556_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.183:0.183:0.183) (0.169:0.170:0.170))
+    (IOPATH B X (0.179:0.179:0.179) (0.185:0.185:0.185))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5557_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.151:0.152:0.154) (0.070:0.070:0.070))
+    (IOPATH B Y (0.147:0.148:0.148) (0.073:0.073:0.073))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5558_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.210:0.210:0.210) (0.179:0.179:0.179))
+    (IOPATH B X (0.232:0.232:0.232) (0.217:0.217:0.217))
+    (IOPATH C X (0.182:0.183:0.184) (0.193:0.194:0.194))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31oi_2")
+  (INSTANCE _5559_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.192:0.192:0.192) (0.144:0.144:0.144))
+    (IOPATH A2 Y (0.238:0.238:0.238) (0.156:0.156:0.156))
+    (IOPATH A3 Y (0.238:0.240:0.242) (0.126:0.140:0.153))
+    (IOPATH B1 Y (0.182:0.183:0.183) (0.053:0.053:0.053))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5560_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.183:0.183:0.184) (0.101:0.101:0.101))
+    (IOPATH A X (0.194:0.194:0.194) (0.166:0.167:0.167))
+    (IOPATH B X (0.162:0.175:0.187) (0.096:0.101:0.107))
+    (IOPATH B X (0.194:0.197:0.200) (0.146:0.159:0.171))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5561_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.155:0.161:0.167) (0.140:0.144:0.148))
+    (IOPATH B X (0.139:0.139:0.139) (0.158:0.158:0.158))
+    (IOPATH C X (0.163:0.164:0.166) (0.168:0.175:0.181))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5562_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.216:0.220:0.223) (0.114:0.121:0.127))
+    (IOPATH A2 Y (0.233:0.233:0.233) (0.095:0.095:0.095))
+    (IOPATH B1 Y (0.204:0.209:0.214) (0.089:0.090:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5563_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.105:0.105:0.105) (0.227:0.228:0.228))
+    (IOPATH B X (0.146:0.148:0.150) (0.222:0.227:0.232))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5564_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.205:0.205:0.205) (0.091:0.091:0.091))
+    (IOPATH A2 Y (0.224:0.229:0.233) (0.115:0.121:0.128))
+    (IOPATH B1 Y (0.194:0.194:0.194) (0.059:0.059:0.059))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5565_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.134:0.134:0.135) (0.140:0.140:0.140))
+    (IOPATH B X (0.159:0.165:0.171) (0.161:0.166:0.171))
+    (IOPATH C X (0.141:0.141:0.141) (0.170:0.170:0.170))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_2")
+  (INSTANCE _5566_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.215:0.217:0.220) (0.357:0.364:0.371))
+    (IOPATH B X (0.164:0.165:0.165) (0.331:0.332:0.332))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _5567_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.253:0.253:0.253) (0.271:0.272:0.272))
+    (IOPATH A Y (0.476:0.476:0.476) (0.201:0.201:0.201))
+    (IOPATH B Y (0.246:0.246:0.246) (0.261:0.261:0.261))
+    (IOPATH B Y (0.447:0.447:0.447) (0.187:0.187:0.187))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5568_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.289:0.289:0.289) (0.156:0.157:0.157))
+    (IOPATH A2 Y (0.284:0.301:0.317) (0.141:0.147:0.154))
+    (IOPATH B1 Y (0.294:0.296:0.298) (0.114:0.138:0.162))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _5569_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.179:0.179:0.179) (0.216:0.216:0.216))
+    (IOPATH A2 X (0.170:0.176:0.181) (0.221:0.239:0.257))
+    (IOPATH A3 X (0.177:0.199:0.220) (0.270:0.272:0.274))
+    (IOPATH B1 X (0.133:0.134:0.134) (0.229:0.230:0.231))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5570_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.166:0.168:0.170) (0.256:0.267:0.279))
+    (IOPATH B X (0.111:0.112:0.113) (0.219:0.219:0.220))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3b_1")
+  (INSTANCE _5571_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.188:0.217:0.246) (0.449:0.454:0.459))
+    (IOPATH B X (0.181:0.181:0.181) (0.416:0.417:0.417))
+    (IOPATH C_N X (0.218:0.218:0.218) (0.404:0.405:0.405))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _5572_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.390:0.390:0.390) (0.315:0.315:0.315))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+  (INSTANCE _5573_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.458:0.458:0.458) (0.427:0.427:0.427))
+    (IOPATH A2 X (0.401:0.401:0.402) (0.366:0.366:0.366))
+    (IOPATH B1 X (0.415:0.415:0.416) (0.273:0.273:0.273))
+    (IOPATH C1 X (0.470:0.470:0.470) (0.271:0.271:0.271))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5574_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.142:0.146:0.150) (0.068:0.084:0.100))
+    (IOPATH B Y (0.126:0.130:0.134) (0.060:0.073:0.086))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _5575_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.259:0.259:0.259) (0.256:0.256:0.256))
+    (IOPATH A2 X (0.283:0.284:0.286) (0.274:0.285:0.297))
+    (IOPATH A3 X (0.248:0.249:0.249) (0.287:0.287:0.288))
+    (IOPATH B1 X (0.212:0.212:0.213) (0.245:0.251:0.257))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5576_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.205:0.220:0.236) (0.211:0.216:0.220))
+    (IOPATH B X (0.162:0.162:0.163) (0.164:0.165:0.166))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _5577_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.182:0.182:0.182) (0.229:0.229:0.229))
+    (IOPATH A2 X (0.199:0.199:0.199) (0.268:0.268:0.268))
+    (IOPATH B1 X (0.164:0.164:0.164) (0.198:0.198:0.198))
+    (IOPATH B2 X (0.166:0.166:0.166) (0.235:0.235:0.235))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_1")
+  (INSTANCE _5578_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.134:0.134:0.134) (0.172:0.172:0.172))
+    (IOPATH B Y (0.170:0.170:0.170) (0.178:0.178:0.178))
+    (IOPATH C Y (0.178:0.178:0.178) (0.193:0.193:0.193))
+    (IOPATH D Y (0.149:0.149:0.149) (0.174:0.174:0.174))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5579_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.090:0.091:0.091) (0.074:0.074:0.075))
+    (IOPATH B Y (0.138:0.141:0.144) (0.094:0.098:0.101))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5580_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.206:0.212:0.219) (0.093:0.094:0.095))
+    (IOPATH A X (0.180:0.181:0.182) (0.196:0.202:0.208))
+    (IOPATH B X (0.162:0.163:0.164) (0.079:0.081:0.083))
+    (IOPATH B X (0.176:0.177:0.178) (0.153:0.154:0.155))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o2bb2ai_1")
+  (INSTANCE _5581_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1_N Y (0.208:0.208:0.208) (0.195:0.195:0.195))
+    (IOPATH A2_N Y (0.196:0.196:0.196) (0.183:0.183:0.184))
+    (IOPATH B1 Y (0.266:0.269:0.272) (0.124:0.124:0.125))
+    (IOPATH B2 Y (0.251:0.251:0.252) (0.108:0.109:0.109))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5582_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.178:0.180:0.182) (0.154:0.158:0.161))
+    (IOPATH B X (0.180:0.193:0.205) (0.192:0.195:0.199))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5583_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.108:0.111:0.114) (0.068:0.069:0.070))
+    (IOPATH B Y (0.109:0.112:0.114) (0.060:0.067:0.074))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5584_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.155:0.155:0.155) (0.071:0.071:0.072))
+    (IOPATH B Y (0.136:0.139:0.142) (0.065:0.065:0.066))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_1")
+  (INSTANCE _5585_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.198:0.198:0.199) (0.073:0.073:0.073))
+    (IOPATH B Y (0.177:0.177:0.177) (0.053:0.053:0.053))
+    (IOPATH C Y (0.142:0.142:0.143) (0.066:0.066:0.066))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _5586_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.158:0.158:0.158) (0.201:0.201:0.201))
+    (IOPATH A2 X (0.129:0.129:0.129) (0.177:0.177:0.177))
+    (IOPATH B1 X (0.161:0.161:0.161) (0.111:0.112:0.112))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5587_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.230:0.233:0.236) (0.116:0.116:0.116))
+    (IOPATH B Y (0.220:0.221:0.221) (0.079:0.079:0.079))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5588_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.215:0.215:0.215) (0.200:0.204:0.208))
+    (IOPATH A2 X (0.183:0.183:0.184) (0.220:0.221:0.221))
+    (IOPATH B1 X (0.162:0.163:0.164) (0.194:0.199:0.204))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+  (INSTANCE _5589_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.273:0.277:0.280) (0.165:0.165:0.165))
+    (IOPATH A X (0.278:0.279:0.279) (0.212:0.216:0.219))
+    (IOPATH B X (0.248:0.248:0.248) (0.120:0.121:0.121))
+    (IOPATH B X (0.262:0.262:0.262) (0.185:0.185:0.186))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5590_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.212:0.213:0.214) (0.201:0.201:0.201))
+    (IOPATH B X (0.163:0.163:0.163) (0.165:0.166:0.166))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _5591_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.166:0.166:0.166) (0.231:0.231:0.231))
+    (IOPATH A2 X (0.199:0.199:0.199) (0.289:0.289:0.289))
+    (IOPATH B1 X (0.182:0.182:0.182) (0.238:0.238:0.238))
+    (IOPATH B2 X (0.159:0.159:0.159) (0.214:0.214:0.214))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_1")
+  (INSTANCE _5592_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.127:0.127:0.127) (0.141:0.141:0.141))
+    (IOPATH B Y (0.135:0.135:0.135) (0.156:0.156:0.156))
+    (IOPATH C Y (0.193:0.193:0.193) (0.178:0.178:0.178))
+    (IOPATH D Y (0.185:0.185:0.185) (0.177:0.177:0.177))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5593_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.087:0.087:0.087) (0.071:0.072:0.072))
+    (IOPATH B Y (0.129:0.132:0.134) (0.087:0.092:0.096))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5594_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.218:0.222:0.226) (0.097:0.100:0.102))
+    (IOPATH A X (0.188:0.190:0.192) (0.202:0.206:0.210))
+    (IOPATH B X (0.168:0.169:0.170) (0.080:0.082:0.083))
+    (IOPATH B X (0.182:0.183:0.184) (0.154:0.155:0.156))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o2bb2ai_1")
+  (INSTANCE _5595_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1_N Y (0.201:0.201:0.201) (0.219:0.219:0.219))
+    (IOPATH A2_N Y (0.169:0.169:0.169) (0.157:0.158:0.159))
+    (IOPATH B1 Y (0.232:0.233:0.234) (0.107:0.110:0.113))
+    (IOPATH B2 Y (0.211:0.212:0.212) (0.093:0.094:0.094))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5596_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.187:0.189:0.190) (0.159:0.163:0.168))
+    (IOPATH B X (0.180:0.190:0.200) (0.188:0.191:0.194))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5597_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.097:0.101:0.105) (0.064:0.065:0.066))
+    (IOPATH B Y (0.091:0.093:0.096) (0.051:0.056:0.061))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5598_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.147:0.148:0.148) (0.071:0.071:0.071))
+    (IOPATH B Y (0.125:0.127:0.129) (0.057:0.058:0.058))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_1")
+  (INSTANCE _5599_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.197:0.197:0.197) (0.069:0.069:0.069))
+    (IOPATH B Y (0.178:0.178:0.178) (0.054:0.054:0.054))
+    (IOPATH C Y (0.141:0.142:0.143) (0.064:0.064:0.064))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _5600_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.157:0.157:0.157) (0.200:0.201:0.201))
+    (IOPATH A2 X (0.131:0.131:0.131) (0.179:0.179:0.179))
+    (IOPATH B1 X (0.160:0.160:0.160) (0.110:0.112:0.113))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5601_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.212:0.214:0.217) (0.110:0.110:0.110))
+    (IOPATH B Y (0.201:0.202:0.203) (0.074:0.075:0.075))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5602_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.204:0.204:0.204) (0.194:0.197:0.201))
+    (IOPATH A2 X (0.181:0.182:0.182) (0.219:0.220:0.220))
+    (IOPATH B1 X (0.158:0.159:0.160) (0.191:0.192:0.193))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+  (INSTANCE _5603_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.279:0.283:0.286) (0.161:0.161:0.161))
+    (IOPATH A X (0.283:0.283:0.283) (0.212:0.215:0.219))
+    (IOPATH B X (0.255:0.256:0.256) (0.122:0.123:0.123))
+    (IOPATH B X (0.269:0.269:0.270) (0.186:0.187:0.187))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5604_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.394:0.404:0.413) (0.202:0.205:0.208))
+    (IOPATH A X (0.411:0.412:0.414) (0.230:0.239:0.248))
+    (IOPATH B X (0.378:0.386:0.394) (0.209:0.212:0.216))
+    (IOPATH B X (0.411:0.412:0.413) (0.212:0.221:0.229))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5605_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.127:0.127:0.127) (0.237:0.238:0.238))
+    (IOPATH B X (0.157:0.158:0.158) (0.221:0.234:0.248))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5606_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.074:0.075:0.075) (0.068:0.068:0.068))
+    (IOPATH B Y (0.085:0.097:0.108) (0.094:0.094:0.094))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5607_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.201:0.201:0.202) (0.182:0.182:0.182))
+    (IOPATH B X (0.165:0.165:0.166) (0.175:0.175:0.175))
+    (IOPATH C X (0.172:0.174:0.176) (0.193:0.198:0.203))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5608_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.381:0.381:0.381) (0.308:0.308:0.308))
+    (IOPATH B X (0.305:0.305:0.305) (0.293:0.293:0.293))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _5609_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.063:0.063:0.063) (0.043:0.043:0.043))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5610_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.133:0.133:0.133) (0.046:0.046:0.046))
+    (IOPATH B Y (0.141:0.143:0.146) (0.073:0.085:0.096))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _5611_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.254:0.254:0.254) (0.267:0.267:0.267))
+    (IOPATH A2 X (0.235:0.235:0.235) (0.262:0.262:0.262))
+    (IOPATH A3 X (0.240:0.241:0.241) (0.259:0.262:0.264))
+    (IOPATH B1 X (0.173:0.173:0.173) (0.227:0.228:0.228))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _5612_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.224:0.224:0.224) (0.145:0.145:0.145))
+    (IOPATH A2 Y (0.228:0.228:0.228) (0.133:0.133:0.133))
+    (IOPATH B1 Y (0.159:0.159:0.159) (0.093:0.093:0.093))
+    (IOPATH B2 Y (0.205:0.205:0.205) (0.109:0.109:0.109))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _5613_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.232:0.232:0.232) (0.207:0.207:0.207))
+    (IOPATH B X (0.226:0.226:0.226) (0.220:0.220:0.220))
+    (IOPATH C X (0.224:0.224:0.224) (0.235:0.235:0.235))
+    (IOPATH D X (0.210:0.210:0.210) (0.211:0.211:0.211))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5614_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.224:0.228:0.232) (0.105:0.107:0.110))
+    (IOPATH B Y (0.194:0.195:0.196) (0.070:0.070:0.070))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _5615_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.173:0.174:0.174) (0.182:0.183:0.183))
+    (IOPATH A Y (0.268:0.268:0.269) (0.125:0.125:0.125))
+    (IOPATH B Y (0.186:0.186:0.186) (0.168:0.173:0.177))
+    (IOPATH B Y (0.235:0.239:0.242) (0.135:0.135:0.135))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5616_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.228:0.234:0.240) (0.119:0.119:0.120))
+    (IOPATH A2 Y (0.209:0.213:0.216) (0.109:0.109:0.110))
+    (IOPATH B1 Y (0.154:0.159:0.163) (0.140:0.150:0.160))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _5617_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.154:0.170:0.186) (0.178:0.181:0.184))
+    (IOPATH A Y (0.245:0.248:0.250) (0.108:0.126:0.143))
+    (IOPATH B Y (0.163:0.168:0.173) (0.169:0.178:0.186))
+    (IOPATH B Y (0.217:0.224:0.232) (0.112:0.117:0.123))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5618_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.182:0.182:0.182) (0.151:0.152:0.154))
+    (IOPATH A Y (0.217:0.218:0.219) (0.137:0.137:0.137))
+    (IOPATH B Y (0.148:0.163:0.178) (0.151:0.156:0.161))
+    (IOPATH B Y (0.201:0.205:0.210) (0.088:0.104:0.121))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5619_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.143:0.143:0.143) (0.146:0.146:0.146))
+    (IOPATH B X (0.150:0.150:0.150) (0.160:0.161:0.161))
+    (IOPATH C X (0.152:0.163:0.173) (0.175:0.181:0.187))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5620_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.215:0.215:0.215) (0.097:0.097:0.097))
+    (IOPATH A2 Y (0.228:0.229:0.229) (0.102:0.103:0.103))
+    (IOPATH B1 Y (0.203:0.207:0.212) (0.071:0.083:0.095))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5621_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.186:0.186:0.187) (0.288:0.289:0.289))
+    (IOPATH B X (0.226:0.228:0.230) (0.278:0.283:0.287))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5622_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.210:0.211:0.212) (0.197:0.197:0.197))
+    (IOPATH B X (0.164:0.164:0.164) (0.166:0.167:0.167))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _5623_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.169:0.169:0.169) (0.220:0.220:0.220))
+    (IOPATH A2 X (0.194:0.194:0.194) (0.261:0.261:0.261))
+    (IOPATH B1 X (0.175:0.175:0.175) (0.206:0.206:0.206))
+    (IOPATH B2 X (0.164:0.164:0.164) (0.234:0.234:0.234))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_1")
+  (INSTANCE _5624_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.108:0.108:0.108) (0.134:0.134:0.134))
+    (IOPATH B Y (0.151:0.151:0.151) (0.152:0.152:0.152))
+    (IOPATH C Y (0.152:0.152:0.152) (0.162:0.162:0.162))
+    (IOPATH D Y (0.143:0.143:0.143) (0.159:0.159:0.159))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5625_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.089:0.089:0.089) (0.072:0.073:0.073))
+    (IOPATH B Y (0.126:0.128:0.130) (0.086:0.089:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5626_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.241:0.245:0.249) (0.105:0.107:0.109))
+    (IOPATH A X (0.214:0.216:0.217) (0.207:0.211:0.214))
+    (IOPATH B X (0.196:0.197:0.197) (0.091:0.092:0.093))
+    (IOPATH B X (0.210:0.210:0.211) (0.163:0.163:0.164))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o2bb2a_1")
+  (INSTANCE _5627_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1_N X (0.317:0.317:0.317) (0.289:0.289:0.289))
+    (IOPATH A2_N X (0.273:0.274:0.276) (0.263:0.263:0.263))
+    (IOPATH B1 X (0.190:0.190:0.190) (0.336:0.336:0.337))
+    (IOPATH B2 X (0.176:0.176:0.177) (0.319:0.319:0.319))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5628_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.176:0.177:0.178) (0.149:0.153:0.158))
+    (IOPATH A Y (0.224:0.228:0.232) (0.126:0.127:0.129))
+    (IOPATH B Y (0.158:0.158:0.158) (0.152:0.154:0.157))
+    (IOPATH B Y (0.210:0.213:0.215) (0.095:0.095:0.095))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_1")
+  (INSTANCE _5629_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.209:0.210:0.210) (0.070:0.070:0.070))
+    (IOPATH B Y (0.191:0.192:0.192) (0.056:0.056:0.056))
+    (IOPATH C Y (0.163:0.166:0.169) (0.060:0.070:0.079))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _5630_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.151:0.151:0.151) (0.196:0.196:0.197))
+    (IOPATH A2 X (0.127:0.127:0.127) (0.175:0.175:0.176))
+    (IOPATH B1 X (0.145:0.159:0.173) (0.119:0.123:0.128))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5631_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.160:0.165:0.169) (0.098:0.098:0.098))
+    (IOPATH B Y (0.147:0.148:0.149) (0.060:0.060:0.060))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5632_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.173:0.173:0.173) (0.177:0.182:0.186))
+    (IOPATH A2 X (0.155:0.156:0.156) (0.204:0.204:0.205))
+    (IOPATH B1 X (0.142:0.143:0.144) (0.181:0.182:0.184))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5633_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.235:0.240:0.245) (0.126:0.126:0.126))
+    (IOPATH A X (0.249:0.249:0.249) (0.181:0.186:0.191))
+    (IOPATH B X (0.223:0.223:0.223) (0.102:0.102:0.102))
+    (IOPATH B X (0.238:0.239:0.239) (0.168:0.169:0.169))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5634_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.153:0.153:0.153) (0.162:0.162:0.162))
+    (IOPATH A Y (0.206:0.206:0.206) (0.108:0.108:0.108))
+    (IOPATH B Y (0.163:0.164:0.165) (0.136:0.142:0.148))
+    (IOPATH B Y (0.167:0.172:0.178) (0.108:0.109:0.110))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _5635_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.183:0.183:0.183) (0.424:0.431:0.438))
+    (IOPATH B X (0.172:0.172:0.173) (0.409:0.410:0.412))
+    (IOPATH C X (0.159:0.172:0.184) (0.368:0.371:0.373))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5636_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.298:0.306:0.315) (0.163:0.163:0.163))
+    (IOPATH A2 Y (0.296:0.298:0.300) (0.137:0.137:0.138))
+    (IOPATH B1 Y (0.195:0.199:0.203) (0.141:0.156:0.171))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5637_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.194:0.194:0.194) (0.176:0.176:0.176))
+    (IOPATH B X (0.167:0.167:0.167) (0.190:0.190:0.190))
+    (IOPATH C X (0.193:0.200:0.207) (0.209:0.215:0.221))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+  (INSTANCE _5638_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.430:0.430:0.430) (0.135:0.135:0.135))
+    (IOPATH B Y (0.406:0.406:0.406) (0.126:0.126:0.126))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5639_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.206:0.225:0.243) (0.213:0.217:0.222))
+    (IOPATH B X (0.169:0.169:0.169) (0.167:0.169:0.170))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _5640_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.184:0.184:0.184) (0.245:0.245:0.245))
+    (IOPATH A2 X (0.197:0.197:0.197) (0.266:0.266:0.266))
+    (IOPATH B1 X (0.161:0.161:0.161) (0.196:0.196:0.196))
+    (IOPATH B2 X (0.181:0.181:0.181) (0.259:0.259:0.259))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_1")
+  (INSTANCE _5641_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.171:0.171:0.171) (0.191:0.191:0.191))
+    (IOPATH B Y (0.178:0.178:0.178) (0.183:0.183:0.183))
+    (IOPATH C Y (0.180:0.180:0.180) (0.195:0.195:0.195))
+    (IOPATH D Y (0.151:0.151:0.151) (0.175:0.175:0.175))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5642_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.087:0.087:0.087) (0.071:0.071:0.072))
+    (IOPATH B Y (0.136:0.141:0.145) (0.096:0.097:0.099))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5643_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.247:0.250:0.254) (0.111:0.113:0.114))
+    (IOPATH A X (0.211:0.212:0.213) (0.219:0.222:0.225))
+    (IOPATH B X (0.185:0.187:0.188) (0.086:0.088:0.091))
+    (IOPATH B X (0.199:0.201:0.202) (0.159:0.160:0.162))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _5644_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.184:0.184:0.185) (0.220:0.220:0.220))
+    (IOPATH A2 X (0.187:0.187:0.187) (0.200:0.201:0.202))
+    (IOPATH B1 X (0.197:0.199:0.200) (0.134:0.140:0.146))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_1")
+  (INSTANCE _5645_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.189:0.190:0.190) (0.065:0.065:0.065))
+    (IOPATH B Y (0.172:0.173:0.174) (0.077:0.077:0.077))
+    (IOPATH C Y (0.138:0.142:0.146) (0.066:0.067:0.068))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5646_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.160:0.160:0.161) (0.078:0.079:0.079))
+    (IOPATH B Y (0.137:0.139:0.141) (0.083:0.083:0.083))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _5647_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.111:0.112:0.112) (0.356:0.357:0.358))
+    (IOPATH B X (0.098:0.098:0.098) (0.330:0.330:0.330))
+    (IOPATH C X (0.118:0.118:0.118) (0.293:0.294:0.295))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5648_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.270:0.270:0.271) (0.140:0.140:0.140))
+    (IOPATH A2 Y (0.253:0.253:0.253) (0.114:0.114:0.114))
+    (IOPATH B1 Y (0.169:0.171:0.173) (0.151:0.151:0.151))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5649_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.123:0.124:0.124) (0.149:0.149:0.149))
+    (IOPATH B X (0.173:0.181:0.189) (0.187:0.192:0.198))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5650_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.270:0.276:0.282) (0.177:0.179:0.182))
+    (IOPATH A2 Y (0.250:0.261:0.272) (0.160:0.162:0.165))
+    (IOPATH B1 Y (0.161:0.161:0.161) (0.119:0.120:0.120))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _5651_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.151:0.153:0.156) (0.366:0.371:0.376))
+    (IOPATH B X (0.146:0.148:0.150) (0.336:0.345:0.355))
+    (IOPATH C X (0.095:0.095:0.096) (0.294:0.294:0.294))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5652_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.297:0.306:0.314) (0.242:0.245:0.249))
+    (IOPATH B X (0.253:0.254:0.254) (0.237:0.237:0.237))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5653_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.204:0.218:0.233) (0.208:0.213:0.217))
+    (IOPATH B X (0.164:0.164:0.164) (0.167:0.167:0.168))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5654_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.179:0.179:0.179) (0.169:0.169:0.169))
+    (IOPATH B X (0.198:0.198:0.198) (0.209:0.209:0.209))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _5655_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.195:0.195:0.195) (0.113:0.113:0.113))
+    (IOPATH A2 Y (0.218:0.218:0.218) (0.142:0.142:0.142))
+    (IOPATH B1 Y (0.180:0.180:0.180) (0.114:0.114:0.114))
+    (IOPATH B2 Y (0.176:0.176:0.176) (0.097:0.097:0.097))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _5656_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.223:0.223:0.223) (0.238:0.238:0.238))
+    (IOPATH A2 X (0.254:0.254:0.254) (0.275:0.275:0.275))
+    (IOPATH A3 X (0.206:0.206:0.206) (0.251:0.251:0.251))
+    (IOPATH B1 X (0.179:0.180:0.182) (0.229:0.236:0.243))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5657_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.256:0.260:0.263) (0.113:0.115:0.117))
+    (IOPATH A X (0.225:0.226:0.228) (0.216:0.220:0.223))
+    (IOPATH B X (0.205:0.206:0.206) (0.105:0.106:0.106))
+    (IOPATH B X (0.224:0.224:0.225) (0.167:0.167:0.168))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o2bb2a_1")
+  (INSTANCE _5658_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1_N X (0.314:0.314:0.314) (0.287:0.287:0.287))
+    (IOPATH A2_N X (0.276:0.276:0.277) (0.264:0.264:0.264))
+    (IOPATH B1 X (0.185:0.187:0.190) (0.332:0.333:0.334))
+    (IOPATH B2 X (0.173:0.174:0.174) (0.316:0.316:0.317))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5659_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.159:0.160:0.161) (0.137:0.143:0.148))
+    (IOPATH A Y (0.187:0.192:0.197) (0.114:0.115:0.117))
+    (IOPATH B Y (0.138:0.138:0.139) (0.139:0.142:0.144))
+    (IOPATH B Y (0.172:0.175:0.177) (0.082:0.082:0.082))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _5660_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.114:0.114:0.114) (0.357:0.358:0.358))
+    (IOPATH B X (0.097:0.097:0.097) (0.329:0.329:0.329))
+    (IOPATH C X (0.095:0.108:0.121) (0.293:0.296:0.299))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5661_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.156:0.156:0.157) (0.092:0.092:0.092))
+    (IOPATH A2 Y (0.137:0.137:0.138) (0.069:0.069:0.069))
+    (IOPATH B1 Y (0.089:0.094:0.098) (0.076:0.089:0.102))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5662_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.127:0.128:0.128) (0.152:0.152:0.152))
+    (IOPATH B X (0.152:0.156:0.161) (0.163:0.169:0.175))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5663_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.147:0.152:0.157) (0.107:0.108:0.110))
+    (IOPATH A2 Y (0.126:0.133:0.140) (0.088:0.089:0.090))
+    (IOPATH B1 Y (0.079:0.079:0.079) (0.070:0.070:0.070))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _5664_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.147:0.149:0.151) (0.372:0.376:0.381))
+    (IOPATH B X (0.139:0.141:0.143) (0.342:0.348:0.354))
+    (IOPATH C X (0.103:0.103:0.103) (0.305:0.305:0.305))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5665_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.251:0.256:0.261) (0.205:0.208:0.211))
+    (IOPATH B X (0.239:0.240:0.240) (0.231:0.231:0.231))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+  (INSTANCE _5666_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.448:0.448:0.449) (0.217:0.217:0.217))
+    (IOPATH A X (0.428:0.428:0.428) (0.270:0.271:0.271))
+    (IOPATH B X (0.416:0.417:0.417) (0.209:0.209:0.210))
+    (IOPATH B X (0.426:0.426:0.426) (0.239:0.239:0.239))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_2")
+  (INSTANCE _5667_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.271:0.272:0.273) (0.189:0.189:0.189))
+    (IOPATH A2 Y (0.243:0.256:0.268) (0.140:0.142:0.144))
+    (IOPATH B1 Y (0.140:0.156:0.172) (0.184:0.185:0.187))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _5668_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.235:0.236:0.236) (0.437:0.437:0.438))
+    (IOPATH B X (0.199:0.201:0.203) (0.408:0.418:0.429))
+    (IOPATH C X (0.220:0.221:0.222) (0.376:0.390:0.403))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE _5669_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.316:0.316:0.316) (0.232:0.233:0.233))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _5670_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.207:0.207:0.207) (0.236:0.236:0.236))
+    (IOPATH A2 X (0.158:0.172:0.186) (0.224:0.234:0.244))
+    (IOPATH A3 X (0.153:0.153:0.153) (0.235:0.235:0.235))
+    (IOPATH B1 X (0.138:0.138:0.138) (0.205:0.205:0.205))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5671_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.209:0.212:0.216) (0.090:0.112:0.134))
+    (IOPATH B Y (0.194:0.197:0.200) (0.093:0.110:0.126))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5672_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.208:0.231:0.255) (0.221:0.225:0.228))
+    (IOPATH B X (0.162:0.162:0.162) (0.165:0.165:0.165))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _5673_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.183:0.183:0.183) (0.233:0.233:0.233))
+    (IOPATH A2 X (0.196:0.196:0.196) (0.264:0.264:0.264))
+    (IOPATH B1 X (0.183:0.183:0.183) (0.228:0.228:0.228))
+    (IOPATH B2 X (0.186:0.186:0.186) (0.252:0.252:0.252))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_1")
+  (INSTANCE _5674_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.179:0.179:0.179) (0.204:0.204:0.204))
+    (IOPATH B Y (0.165:0.165:0.165) (0.188:0.188:0.188))
+    (IOPATH C Y (0.183:0.183:0.183) (0.202:0.202:0.202))
+    (IOPATH D Y (0.190:0.190:0.190) (0.202:0.202:0.202))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5675_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.196:0.197:0.197) (0.170:0.170:0.171))
+    (IOPATH B X (0.191:0.192:0.192) (0.187:0.188:0.188))
+    (IOPATH C X (0.212:0.214:0.216) (0.256:0.258:0.261))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5676_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.132:0.133:0.133) (0.065:0.065:0.065))
+    (IOPATH A2 Y (0.194:0.196:0.198) (0.088:0.090:0.092))
+    (IOPATH B1 Y (0.127:0.127:0.128) (0.053:0.053:0.054))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5677_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.130:0.131:0.131) (0.065:0.065:0.065))
+    (IOPATH B Y (0.109:0.112:0.114) (0.060:0.063:0.066))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o2bb2ai_1")
+  (INSTANCE _5678_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1_N Y (0.188:0.188:0.188) (0.180:0.180:0.180))
+    (IOPATH A2_N Y (0.176:0.176:0.176) (0.167:0.168:0.168))
+    (IOPATH B1 Y (0.229:0.236:0.242) (0.110:0.110:0.110))
+    (IOPATH B2 Y (0.212:0.213:0.213) (0.093:0.094:0.095))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5679_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.178:0.178:0.178) (0.156:0.157:0.158))
+    (IOPATH B X (0.179:0.190:0.200) (0.188:0.191:0.193))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5680_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.106:0.107:0.108) (0.063:0.063:0.063))
+    (IOPATH B Y (0.102:0.104:0.107) (0.056:0.062:0.068))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5681_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.151:0.152:0.152) (0.072:0.073:0.073))
+    (IOPATH B Y (0.130:0.132:0.135) (0.063:0.063:0.064))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_1")
+  (INSTANCE _5682_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.182:0.183:0.183) (0.064:0.064:0.065))
+    (IOPATH B Y (0.164:0.164:0.164) (0.051:0.051:0.051))
+    (IOPATH C Y (0.128:0.129:0.129) (0.061:0.061:0.061))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _5683_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.155:0.155:0.155) (0.199:0.200:0.200))
+    (IOPATH A2 X (0.131:0.131:0.131) (0.178:0.178:0.178))
+    (IOPATH B1 X (0.162:0.162:0.162) (0.112:0.113:0.114))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5684_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.256:0.258:0.261) (0.119:0.119:0.119))
+    (IOPATH B Y (0.246:0.247:0.248) (0.087:0.087:0.087))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5685_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.241:0.241:0.241) (0.216:0.221:0.227))
+    (IOPATH A2 X (0.236:0.236:0.236) (0.258:0.258:0.259))
+    (IOPATH B1 X (0.197:0.198:0.200) (0.218:0.222:0.226))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+  (INSTANCE _5686_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.284:0.286:0.289) (0.176:0.176:0.176))
+    (IOPATH A X (0.290:0.290:0.290) (0.217:0.220:0.222))
+    (IOPATH B X (0.263:0.263:0.264) (0.137:0.137:0.137))
+    (IOPATH B X (0.274:0.275:0.275) (0.195:0.196:0.196))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5687_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.220:0.221:0.222) (0.204:0.204:0.204))
+    (IOPATH B X (0.178:0.178:0.178) (0.175:0.176:0.176))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _5688_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.214:0.214:0.214) (0.273:0.273:0.273))
+    (IOPATH A2 X (0.184:0.184:0.184) (0.255:0.255:0.255))
+    (IOPATH B1 X (0.181:0.181:0.181) (0.227:0.227:0.227))
+    (IOPATH B2 X (0.194:0.194:0.194) (0.267:0.267:0.267))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand4_1")
+  (INSTANCE _5689_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.196:0.196:0.196) (0.218:0.218:0.218))
+    (IOPATH B Y (0.216:0.216:0.216) (0.221:0.221:0.221))
+    (IOPATH C Y (0.172:0.172:0.172) (0.190:0.190:0.190))
+    (IOPATH D Y (0.190:0.190:0.190) (0.200:0.200:0.200))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5690_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.200:0.201:0.201) (0.172:0.173:0.173))
+    (IOPATH B X (0.190:0.190:0.191) (0.186:0.186:0.186))
+    (IOPATH C X (0.212:0.213:0.215) (0.253:0.258:0.264))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5691_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.133:0.133:0.133) (0.064:0.064:0.065))
+    (IOPATH A2 Y (0.194:0.198:0.202) (0.089:0.091:0.092))
+    (IOPATH B1 Y (0.130:0.131:0.131) (0.056:0.057:0.057))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5692_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.128:0.128:0.129) (0.064:0.064:0.064))
+    (IOPATH B Y (0.108:0.110:0.112) (0.060:0.062:0.065))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o2bb2ai_1")
+  (INSTANCE _5693_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1_N Y (0.179:0.179:0.179) (0.202:0.202:0.202))
+    (IOPATH A2_N Y (0.149:0.149:0.149) (0.143:0.144:0.145))
+    (IOPATH B1 Y (0.190:0.194:0.199) (0.094:0.094:0.095))
+    (IOPATH B2 Y (0.171:0.171:0.172) (0.078:0.079:0.079))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5694_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.175:0.175:0.175) (0.155:0.155:0.156))
+    (IOPATH B X (0.169:0.177:0.186) (0.178:0.180:0.182))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5695_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.107:0.108:0.109) (0.063:0.063:0.063))
+    (IOPATH B Y (0.096:0.098:0.100) (0.049:0.056:0.062))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5696_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.139:0.139:0.139) (0.067:0.067:0.067))
+    (IOPATH B Y (0.116:0.118:0.120) (0.059:0.059:0.059))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_1")
+  (INSTANCE _5697_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.209:0.209:0.209) (0.073:0.073:0.073))
+    (IOPATH B Y (0.193:0.193:0.193) (0.061:0.061:0.061))
+    (IOPATH C Y (0.153:0.153:0.154) (0.066:0.066:0.066))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _5698_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.157:0.157:0.157) (0.200:0.200:0.201))
+    (IOPATH A2 X (0.135:0.135:0.135) (0.181:0.182:0.182))
+    (IOPATH B1 X (0.158:0.158:0.158) (0.110:0.111:0.111))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5699_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.161:0.162:0.164) (0.097:0.097:0.097))
+    (IOPATH B Y (0.148:0.149:0.150) (0.061:0.062:0.062))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5700_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.212:0.212:0.212) (0.202:0.206:0.211))
+    (IOPATH A2 X (0.213:0.213:0.213) (0.242:0.242:0.243))
+    (IOPATH B1 X (0.182:0.183:0.185) (0.209:0.214:0.219))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5701_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.274:0.279:0.284) (0.140:0.140:0.140))
+    (IOPATH A X (0.289:0.289:0.289) (0.192:0.197:0.201))
+    (IOPATH B X (0.272:0.272:0.273) (0.133:0.133:0.133))
+    (IOPATH B X (0.287:0.287:0.288) (0.189:0.190:0.190))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5702_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.198:0.208:0.218) (0.124:0.126:0.129))
+    (IOPATH A X (0.214:0.215:0.217) (0.177:0.187:0.197))
+    (IOPATH B X (0.180:0.186:0.192) (0.130:0.131:0.132))
+    (IOPATH B X (0.217:0.217:0.218) (0.160:0.166:0.173))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _5703_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.211:0.211:0.211) (0.223:0.230:0.237))
+    (IOPATH A2 X (0.185:0.185:0.185) (0.216:0.217:0.218))
+    (IOPATH B1 X (0.198:0.200:0.201) (0.135:0.147:0.159))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_1")
+  (INSTANCE _5704_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.188:0.195:0.201) (0.085:0.085:0.085))
+    (IOPATH B Y (0.182:0.183:0.184) (0.071:0.071:0.071))
+    (IOPATH C Y (0.134:0.143:0.151) (0.063:0.064:0.065))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _5705_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.147:0.147:0.148) (0.195:0.196:0.197))
+    (IOPATH A2 X (0.139:0.139:0.139) (0.171:0.174:0.176))
+    (IOPATH B1 X (0.176:0.176:0.176) (0.128:0.128:0.128))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5706_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.143:0.143:0.143) (0.088:0.088:0.088))
+    (IOPATH B Y (0.088:0.089:0.090) (0.042:0.042:0.042))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o31a_2")
+  (INSTANCE _5707_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.257:0.258:0.258) (0.429:0.430:0.431))
+    (IOPATH A2 X (0.247:0.247:0.248) (0.406:0.406:0.407))
+    (IOPATH A3 X (0.229:0.229:0.230) (0.369:0.369:0.370))
+    (IOPATH B1 X (0.256:0.257:0.258) (0.167:0.176:0.184))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _5708_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.166:0.166:0.166) (0.279:0.279:0.279))
+    (IOPATH B_N X (0.196:0.202:0.208) (0.290:0.291:0.291))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _5709_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.163:0.163:0.164) (0.424:0.429:0.434))
+    (IOPATH B X (0.166:0.166:0.167) (0.395:0.398:0.401))
+    (IOPATH C X (0.155:0.175:0.194) (0.365:0.367:0.369))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3b_1")
+  (INSTANCE _5710_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.246:0.246:0.246) (0.214:0.214:0.214))
+    (IOPATH B X (0.200:0.200:0.200) (0.190:0.190:0.190))
+    (IOPATH C X (0.222:0.222:0.222) (0.253:0.253:0.253))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5711_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.075:0.076:0.076) (0.076:0.076:0.076))
+    (IOPATH B Y (0.075:0.080:0.084) (0.095:0.095:0.095))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5712_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.227:0.231:0.234) (0.135:0.144:0.152))
+    (IOPATH A2 Y (0.211:0.213:0.216) (0.099:0.118:0.137))
+    (IOPATH B1 Y (0.131:0.133:0.135) (0.092:0.093:0.094))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5713_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.186:0.186:0.187) (0.168:0.169:0.170))
+    (IOPATH A Y (0.286:0.287:0.287) (0.122:0.123:0.123))
+    (IOPATH B Y (0.205:0.210:0.215) (0.178:0.185:0.191))
+    (IOPATH B Y (0.279:0.285:0.290) (0.135:0.143:0.150))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5714_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.191:0.191:0.191) (0.191:0.191:0.191))
+    (IOPATH A Y (0.306:0.306:0.306) (0.126:0.126:0.127))
+    (IOPATH B Y (0.202:0.215:0.229) (0.186:0.189:0.191))
+    (IOPATH B Y (0.286:0.288:0.290) (0.130:0.150:0.170))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+  (INSTANCE _5715_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.202:0.203:0.205) (0.122:0.122:0.122))
+    (IOPATH A2 Y (0.214:0.219:0.223) (0.084:0.101:0.118))
+    (IOPATH B1 Y (0.177:0.181:0.185) (0.080:0.082:0.083))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _5716_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.181:0.194:0.208) (0.197:0.203:0.208))
+    (IOPATH A Y (0.288:0.293:0.297) (0.135:0.152:0.169))
+    (IOPATH B Y (0.183:0.183:0.183) (0.177:0.183:0.190))
+    (IOPATH B Y (0.249:0.255:0.261) (0.133:0.134:0.134))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5717_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.187:0.189:0.192) (0.179:0.179:0.179))
+    (IOPATH B X (0.141:0.143:0.144) (0.150:0.154:0.159))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_1")
+  (INSTANCE _5718_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.265:0.269:0.272) (0.131:0.132:0.134))
+    (IOPATH A2 Y (0.226:0.227:0.228) (0.106:0.107:0.108))
+    (IOPATH B1_N Y (0.147:0.147:0.147) (0.183:0.183:0.183))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5719_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.229:0.229:0.229) (0.191:0.191:0.191))
+    (IOPATH B X (0.252:0.252:0.252) (0.229:0.229:0.229))
+    (IOPATH C X (0.203:0.203:0.204) (0.220:0.221:0.222))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5720_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.162:0.172:0.181) (0.159:0.162:0.166))
+    (IOPATH A Y (0.227:0.229:0.232) (0.110:0.123:0.136))
+    (IOPATH B Y (0.157:0.157:0.157) (0.146:0.147:0.147))
+    (IOPATH B Y (0.199:0.200:0.200) (0.095:0.096:0.096))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5721_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.218:0.223:0.228) (0.128:0.128:0.128))
+    (IOPATH A2 Y (0.242:0.243:0.243) (0.107:0.107:0.107))
+    (IOPATH B1 Y (0.211:0.212:0.213) (0.066:0.067:0.067))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5722_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.253:0.258:0.263) (0.109:0.124:0.138))
+    (IOPATH A X (0.246:0.256:0.265) (0.192:0.196:0.201))
+    (IOPATH B X (0.232:0.240:0.248) (0.144:0.146:0.149))
+    (IOPATH B X (0.269:0.270:0.271) (0.170:0.178:0.186))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5723_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.222:0.227:0.232) (0.097:0.117:0.137))
+    (IOPATH A X (0.204:0.218:0.232) (0.191:0.197:0.202))
+    (IOPATH B X (0.191:0.200:0.209) (0.128:0.130:0.131))
+    (IOPATH B X (0.226:0.227:0.228) (0.161:0.170:0.180))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5724_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.150:0.150:0.150) (0.150:0.150:0.151))
+    (IOPATH B X (0.192:0.200:0.208) (0.191:0.196:0.202))
+    (IOPATH C X (0.174:0.175:0.177) (0.175:0.185:0.195))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5725_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.239:0.239:0.239) (0.108:0.108:0.108))
+    (IOPATH A2 Y (0.276:0.280:0.285) (0.152:0.162:0.171))
+    (IOPATH B1 Y (0.223:0.231:0.240) (0.099:0.100:0.102))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _5726_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.163:0.163:0.163) (0.407:0.407:0.407))
+    (IOPATH B X (0.094:0.095:0.096) (0.332:0.333:0.333))
+    (IOPATH C X (0.141:0.143:0.144) (0.308:0.315:0.321))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+  (INSTANCE _5727_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.267:0.267:0.267) (0.250:0.250:0.250))
+    (IOPATH B Y (0.270:0.270:0.270) (0.242:0.242:0.242))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5728_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.167:0.170:0.173) (0.080:0.081:0.082))
+    (IOPATH B Y (0.114:0.116:0.117) (0.053:0.055:0.057))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5729_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.218:0.218:0.218) (0.211:0.211:0.211))
+    (IOPATH B X (0.222:0.222:0.222) (0.216:0.216:0.216))
+    (IOPATH C X (0.167:0.167:0.168) (0.192:0.195:0.198))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5730_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.199:0.203:0.207) (0.115:0.116:0.117))
+    (IOPATH A2 Y (0.197:0.198:0.199) (0.101:0.101:0.101))
+    (IOPATH B1 Y (0.122:0.123:0.123) (0.095:0.096:0.096))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _5731_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.129:0.130:0.131) (0.371:0.374:0.377))
+    (IOPATH B X (0.127:0.127:0.127) (0.356:0.357:0.358))
+    (IOPATH C X (0.107:0.108:0.109) (0.312:0.313:0.313))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5732_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.127:0.130:0.133) (0.124:0.131:0.138))
+    (IOPATH B Y (0.135:0.135:0.135) (0.095:0.095:0.095))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5733_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.117:0.122:0.127) (0.122:0.132:0.141))
+    (IOPATH B Y (0.130:0.134:0.137) (0.120:0.129:0.137))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _5734_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.195:0.195:0.196) (0.211:0.215:0.220))
+    (IOPATH A Y (0.331:0.335:0.339) (0.145:0.146:0.146))
+    (IOPATH B Y (0.182:0.182:0.183) (0.202:0.207:0.213))
+    (IOPATH B Y (0.303:0.308:0.313) (0.128:0.128:0.129))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5735_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.181:0.184:0.187) (0.174:0.174:0.174))
+    (IOPATH B X (0.138:0.140:0.141) (0.147:0.152:0.158))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21bai_2")
+  (INSTANCE _5736_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.244:0.248:0.251) (0.119:0.121:0.123))
+    (IOPATH A2 Y (0.190:0.191:0.191) (0.100:0.100:0.100))
+    (IOPATH B1_N Y (0.132:0.133:0.133) (0.206:0.206:0.206))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5737_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.224:0.224:0.224) (0.202:0.202:0.202))
+    (IOPATH B X (0.254:0.254:0.254) (0.230:0.230:0.230))
+    (IOPATH C X (0.202:0.203:0.204) (0.218:0.218:0.219))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _5738_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.150:0.160:0.171) (0.167:0.170:0.173))
+    (IOPATH A Y (0.232:0.235:0.238) (0.103:0.114:0.125))
+    (IOPATH B Y (0.150:0.150:0.151) (0.158:0.159:0.160))
+    (IOPATH B Y (0.203:0.204:0.205) (0.097:0.098:0.098))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5739_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.226:0.232:0.237) (0.187:0.192:0.198))
+    (IOPATH B X (0.225:0.229:0.234) (0.209:0.212:0.215))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+  (INSTANCE _5740_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.262:0.265:0.268) (0.110:0.128:0.146))
+    (IOPATH A X (0.233:0.245:0.258) (0.209:0.212:0.216))
+    (IOPATH B X (0.243:0.243:0.244) (0.133:0.133:0.133))
+    (IOPATH B X (0.256:0.256:0.256) (0.190:0.190:0.190))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _5741_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.259:0.276:0.293) (0.278:0.280:0.282))
+    (IOPATH A Y (0.496:0.498:0.500) (0.205:0.230:0.256))
+    (IOPATH B Y (0.272:0.273:0.275) (0.250:0.258:0.266))
+    (IOPATH B Y (0.452:0.459:0.466) (0.218:0.221:0.223))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5742_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.195:0.196:0.197) (0.110:0.110:0.110))
+    (IOPATH A2 Y (0.164:0.174:0.183) (0.083:0.095:0.107))
+    (IOPATH B1 Y (0.172:0.177:0.182) (0.067:0.075:0.083))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _5743_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.187:0.188:0.188) (0.243:0.244:0.245))
+    (IOPATH A2 X (0.156:0.170:0.184) (0.222:0.232:0.242))
+    (IOPATH A3 X (0.175:0.197:0.219) (0.268:0.273:0.278))
+    (IOPATH B1 X (0.129:0.130:0.130) (0.224:0.225:0.226))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5744_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.173:0.179:0.184) (0.080:0.081:0.082))
+    (IOPATH B Y (0.135:0.136:0.137) (0.061:0.063:0.065))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5745_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.237:0.237:0.237) (0.215:0.215:0.215))
+    (IOPATH B X (0.257:0.257:0.257) (0.238:0.238:0.238))
+    (IOPATH C X (0.199:0.199:0.200) (0.211:0.218:0.224))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5746_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.156:0.158:0.161) (0.102:0.102:0.102))
+    (IOPATH A2 Y (0.148:0.148:0.148) (0.078:0.078:0.079))
+    (IOPATH B1 Y (0.098:0.099:0.100) (0.090:0.090:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _5747_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.127:0.127:0.128) (0.359:0.361:0.363))
+    (IOPATH B X (0.111:0.111:0.112) (0.340:0.340:0.340))
+    (IOPATH C X (0.112:0.112:0.112) (0.304:0.304:0.305))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5748_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.114:0.117:0.120) (0.108:0.114:0.120))
+    (IOPATH B Y (0.127:0.127:0.127) (0.090:0.090:0.090))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5749_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.247:0.251:0.254) (0.164:0.165:0.165))
+    (IOPATH A2 Y (0.267:0.268:0.268) (0.123:0.124:0.124))
+    (IOPATH B1 Y (0.233:0.234:0.234) (0.073:0.073:0.073))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _5750_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.171:0.171:0.172) (0.190:0.192:0.194))
+    (IOPATH A Y (0.274:0.275:0.277) (0.124:0.125:0.125))
+    (IOPATH B Y (0.189:0.191:0.193) (0.173:0.186:0.199))
+    (IOPATH B Y (0.238:0.249:0.261) (0.142:0.144:0.146))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5751_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.170:0.174:0.178) (0.078:0.081:0.083))
+    (IOPATH B Y (0.127:0.128:0.129) (0.058:0.059:0.060))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5752_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.204:0.204:0.204) (0.190:0.190:0.190))
+    (IOPATH B X (0.239:0.239:0.239) (0.252:0.252:0.252))
+    (IOPATH C X (0.181:0.184:0.187) (0.204:0.206:0.208))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5753_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.155:0.158:0.161) (0.100:0.100:0.100))
+    (IOPATH A2 Y (0.149:0.149:0.149) (0.080:0.081:0.081))
+    (IOPATH B1 Y (0.094:0.095:0.095) (0.083:0.083:0.084))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _5754_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.129:0.130:0.130) (0.365:0.367:0.370))
+    (IOPATH B X (0.118:0.118:0.119) (0.347:0.348:0.348))
+    (IOPATH C X (0.109:0.110:0.110) (0.308:0.308:0.308))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5755_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.114:0.117:0.119) (0.108:0.113:0.119))
+    (IOPATH B Y (0.129:0.129:0.129) (0.091:0.091:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5756_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.244:0.248:0.251) (0.155:0.155:0.155))
+    (IOPATH A2 Y (0.266:0.266:0.267) (0.121:0.121:0.121))
+    (IOPATH B1 Y (0.232:0.233:0.234) (0.072:0.072:0.073))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+  (INSTANCE _5757_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.314:0.315:0.317) (0.138:0.138:0.139))
+    (IOPATH A X (0.287:0.288:0.288) (0.229:0.230:0.232))
+    (IOPATH B X (0.276:0.287:0.297) (0.174:0.177:0.180))
+    (IOPATH B X (0.309:0.310:0.311) (0.190:0.201:0.212))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5758_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.167:0.182:0.197) (0.169:0.173:0.177))
+    (IOPATH A Y (0.252:0.255:0.258) (0.110:0.131:0.151))
+    (IOPATH B Y (0.202:0.203:0.204) (0.161:0.174:0.187))
+    (IOPATH B Y (0.228:0.241:0.253) (0.147:0.148:0.149))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5759_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.170:0.172:0.174) (0.145:0.155:0.165))
+    (IOPATH B X (0.171:0.173:0.175) (0.164:0.174:0.184))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5760_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.175:0.175:0.175) (0.200:0.201:0.202))
+    (IOPATH A2 X (0.219:0.219:0.220) (0.223:0.235:0.247))
+    (IOPATH B1 X (0.117:0.117:0.117) (0.174:0.174:0.174))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5761_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.169:0.180:0.192) (0.164:0.170:0.176))
+    (IOPATH A Y (0.245:0.250:0.255) (0.115:0.130:0.145))
+    (IOPATH B Y (0.162:0.163:0.163) (0.154:0.155:0.155))
+    (IOPATH B Y (0.221:0.221:0.221) (0.099:0.099:0.100))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o221a_1")
+  (INSTANCE _5762_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.304:0.307:0.310) (0.326:0.339:0.351))
+    (IOPATH A2 X (0.284:0.285:0.286) (0.304:0.304:0.305))
+    (IOPATH B1 X (0.301:0.318:0.335) (0.311:0.315:0.319))
+    (IOPATH B2 X (0.345:0.345:0.345) (0.342:0.342:0.342))
+    (IOPATH C1 X (0.301:0.301:0.301) (0.204:0.204:0.204))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5763_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.205:0.205:0.205) (0.177:0.177:0.177))
+    (IOPATH B X (0.222:0.222:0.222) (0.226:0.226:0.226))
+    (IOPATH C X (0.186:0.187:0.187) (0.211:0.211:0.211))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5764_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.193:0.194:0.195) (0.105:0.105:0.106))
+    (IOPATH A2 Y (0.181:0.181:0.181) (0.093:0.093:0.093))
+    (IOPATH B1 Y (0.116:0.117:0.118) (0.097:0.097:0.097))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _5765_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.113:0.113:0.114) (0.362:0.362:0.363))
+    (IOPATH B X (0.112:0.112:0.112) (0.339:0.339:0.339))
+    (IOPATH C X (0.103:0.104:0.104) (0.299:0.299:0.300))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5766_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.208:0.214:0.219) (0.179:0.182:0.185))
+    (IOPATH B X (0.181:0.181:0.181) (0.198:0.198:0.198))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5767_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.215:0.215:0.215) (0.201:0.203:0.206))
+    (IOPATH A2 X (0.193:0.193:0.193) (0.229:0.229:0.230))
+    (IOPATH B1 X (0.140:0.140:0.140) (0.188:0.189:0.190))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _5768_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.189:0.189:0.189) (0.197:0.198:0.198))
+    (IOPATH A Y (0.304:0.305:0.305) (0.142:0.142:0.142))
+    (IOPATH B Y (0.180:0.180:0.180) (0.189:0.190:0.190))
+    (IOPATH B Y (0.277:0.277:0.277) (0.127:0.127:0.128))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5769_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.225:0.225:0.225) (0.221:0.221:0.221))
+    (IOPATH B X (0.199:0.199:0.199) (0.192:0.192:0.192))
+    (IOPATH C X (0.171:0.172:0.173) (0.194:0.200:0.205))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5770_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.184:0.185:0.186) (0.101:0.101:0.101))
+    (IOPATH A2 Y (0.171:0.172:0.172) (0.089:0.089:0.089))
+    (IOPATH B1 Y (0.107:0.107:0.108) (0.087:0.087:0.088))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _5771_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.110:0.110:0.110) (0.357:0.358:0.358))
+    (IOPATH B X (0.108:0.108:0.108) (0.334:0.334:0.334))
+    (IOPATH C X (0.095:0.095:0.096) (0.293:0.293:0.293))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5772_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.175:0.180:0.185) (0.161:0.163:0.166))
+    (IOPATH B X (0.148:0.149:0.149) (0.180:0.180:0.180))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5773_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.173:0.173:0.173) (0.178:0.183:0.188))
+    (IOPATH A2 X (0.172:0.172:0.172) (0.216:0.217:0.217))
+    (IOPATH B1 X (0.123:0.123:0.123) (0.177:0.178:0.179))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5774_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.174:0.175:0.175) (0.161:0.161:0.162))
+    (IOPATH A Y (0.258:0.258:0.258) (0.115:0.116:0.116))
+    (IOPATH B Y (0.173:0.173:0.173) (0.160:0.160:0.161))
+    (IOPATH B Y (0.241:0.241:0.241) (0.105:0.105:0.105))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5775_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.155:0.157:0.159) (0.074:0.090:0.107))
+    (IOPATH B Y (0.132:0.134:0.136) (0.068:0.078:0.088))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5776_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.095:0.097:0.100) (0.079:0.095:0.111))
+    (IOPATH B Y (0.093:0.095:0.097) (0.081:0.094:0.106))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _5777_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.131:0.131:0.131) (0.231:0.237:0.243))
+    (IOPATH B_N X (0.166:0.174:0.183) (0.239:0.239:0.240))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2_1")
+  (INSTANCE _5778_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.196:0.199:0.201) (0.161:0.172:0.183))
+    (IOPATH B X (0.199:0.200:0.201) (0.181:0.188:0.196))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5779_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.134:0.134:0.135) (0.063:0.063:0.063))
+    (IOPATH B Y (0.125:0.126:0.127) (0.067:0.067:0.067))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5780_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.143:0.143:0.143) (0.147:0.147:0.147))
+    (IOPATH A Y (0.213:0.213:0.213) (0.091:0.091:0.091))
+    (IOPATH B Y (0.160:0.160:0.160) (0.138:0.139:0.140))
+    (IOPATH B Y (0.189:0.190:0.191) (0.100:0.100:0.100))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _5781_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.128:0.129:0.130) (0.214:0.214:0.214))
+    (IOPATH A2 X (0.177:0.177:0.178) (0.252:0.255:0.258))
+    (IOPATH B1 X (0.136:0.150:0.163) (0.186:0.187:0.189))
+    (IOPATH B2 X (0.172:0.172:0.172) (0.222:0.222:0.222))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5782_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.329:0.329:0.329) (0.167:0.167:0.167))
+    (IOPATH B Y (0.275:0.275:0.276) (0.090:0.090:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5783_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.255:0.258:0.260) (0.213:0.248:0.283))
+    (IOPATH B Y (0.239:0.248:0.257) (0.244:0.247:0.251))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5784_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.174:0.175:0.175) (0.235:0.236:0.237))
+    (IOPATH A2 X (0.145:0.159:0.172) (0.207:0.216:0.225))
+    (IOPATH B1 X (0.129:0.142:0.155) (0.218:0.223:0.228))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5785_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.156:0.164:0.171) (0.183:0.188:0.193))
+    (IOPATH A2 X (0.159:0.167:0.175) (0.209:0.212:0.214))
+    (IOPATH B1 X (0.113:0.113:0.113) (0.175:0.179:0.183))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_2")
+  (INSTANCE _5786_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.252:0.257:0.262) (0.260:0.262:0.264))
+    (IOPATH B Y (0.238:0.241:0.244) (0.280:0.288:0.296))
+    (IOPATH C Y (0.215:0.215:0.215) (0.254:0.254:0.255))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5787_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.102:0.105:0.108) (0.049:0.061:0.073))
+    (IOPATH B Y (0.096:0.096:0.096) (0.057:0.057:0.057))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_1")
+  (INSTANCE _5788_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.146:0.146:0.146) (0.208:0.208:0.209))
+    (IOPATH A2 X (0.131:0.141:0.151) (0.199:0.202:0.205))
+    (IOPATH B1 X (0.162:0.162:0.162) (0.145:0.145:0.145))
+    (IOPATH C1 X (0.185:0.185:0.185) (0.135:0.135:0.135))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_2")
+  (INSTANCE _5789_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.265:0.266:0.266) (0.412:0.416:0.420))
+    (IOPATH B X (0.249:0.250:0.251) (0.391:0.392:0.394))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5790_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.174:0.175:0.177) (0.242:0.242:0.242))
+    (IOPATH A Y (0.290:0.290:0.291) (0.131:0.132:0.133))
+    (IOPATH B Y (0.174:0.174:0.174) (0.179:0.179:0.179))
+    (IOPATH B Y (0.218:0.218:0.218) (0.118:0.118:0.118))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5791_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.200:0.201:0.201) (0.213:0.218:0.223))
+    (IOPATH B X (0.145:0.146:0.147) (0.157:0.157:0.157))
+    (IOPATH C X (0.155:0.165:0.176) (0.177:0.183:0.188))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5792_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.219:0.223:0.227) (0.123:0.123:0.124))
+    (IOPATH A2 Y (0.169:0.169:0.169) (0.073:0.074:0.075))
+    (IOPATH B1 Y (0.148:0.152:0.157) (0.054:0.063:0.073))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3_1")
+  (INSTANCE _5793_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.163:0.163:0.164) (0.423:0.423:0.424))
+    (IOPATH B X (0.115:0.115:0.116) (0.358:0.359:0.359))
+    (IOPATH C X (0.140:0.141:0.142) (0.323:0.331:0.338))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5794_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.226:0.232:0.238) (0.201:0.217:0.233))
+    (IOPATH B X (0.179:0.180:0.182) (0.174:0.184:0.195))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5795_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.126:0.141:0.156) (0.241:0.246:0.250))
+    (IOPATH B X (0.130:0.130:0.130) (0.211:0.217:0.223))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211ai_1")
+  (INSTANCE _5796_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.269:0.269:0.269) (0.167:0.167:0.167))
+    (IOPATH A2 Y (0.244:0.249:0.255) (0.139:0.145:0.151))
+    (IOPATH B1 Y (0.199:0.199:0.199) (0.191:0.191:0.191))
+    (IOPATH C1 Y (0.141:0.141:0.141) (0.155:0.155:0.155))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_1")
+  (INSTANCE _5797_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.253:0.253:0.253) (0.306:0.306:0.306))
+    (IOPATH A2 X (0.243:0.257:0.271) (0.288:0.290:0.293))
+    (IOPATH B1 X (0.230:0.230:0.231) (0.185:0.185:0.185))
+    (IOPATH C1 X (0.250:0.264:0.278) (0.192:0.199:0.206))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5798_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.068:0.071:0.074) (0.063:0.070:0.077))
+    (IOPATH B Y (0.067:0.067:0.068) (0.062:0.062:0.062))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5799_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.108:0.121:0.134) (0.227:0.231:0.235))
+    (IOPATH B X (0.134:0.136:0.138) (0.205:0.213:0.221))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5800_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.210:0.214:0.217) (0.204:0.206:0.208))
+    (IOPATH B X (0.204:0.205:0.205) (0.194:0.199:0.205))
+    (IOPATH C X (0.210:0.210:0.210) (0.214:0.214:0.214))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5801_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.209:0.210:0.212) (0.104:0.105:0.105))
+    (IOPATH A X (0.201:0.201:0.201) (0.187:0.189:0.191))
+    (IOPATH B X (0.179:0.180:0.181) (0.097:0.097:0.097))
+    (IOPATH B X (0.199:0.199:0.199) (0.159:0.159:0.160))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _5802_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.197:0.198:0.198) (0.230:0.230:0.230))
+    (IOPATH A2 X (0.217:0.219:0.220) (0.226:0.234:0.241))
+    (IOPATH B1 X (0.217:0.218:0.220) (0.147:0.152:0.156))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor3_1")
+  (INSTANCE _5803_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.416:0.416:0.416) (0.095:0.095:0.096))
+    (IOPATH B Y (0.414:0.420:0.427) (0.142:0.145:0.148))
+    (IOPATH C Y (0.367:0.371:0.374) (0.118:0.120:0.122))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5804_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.206:0.209:0.213) (0.186:0.202:0.218))
+    (IOPATH B X (0.171:0.172:0.173) (0.166:0.180:0.194))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5805_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.147:0.160:0.173) (0.181:0.186:0.191))
+    (IOPATH A2 X (0.143:0.144:0.144) (0.196:0.196:0.197))
+    (IOPATH B1 X (0.103:0.103:0.104) (0.165:0.165:0.165))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5806_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.113:0.114:0.114) (0.232:0.233:0.235))
+    (IOPATH B X (0.136:0.138:0.140) (0.203:0.215:0.227))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5807_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.294:0.297:0.301) (0.258:0.260:0.263))
+    (IOPATH B X (0.298:0.303:0.308) (0.242:0.245:0.249))
+    (IOPATH C X (0.284:0.284:0.284) (0.256:0.256:0.256))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5808_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.113:0.114:0.115) (0.232:0.233:0.235))
+    (IOPATH B X (0.136:0.138:0.140) (0.203:0.214:0.225))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5809_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.400:0.405:0.410) (0.308:0.310:0.312))
+    (IOPATH B X (0.408:0.413:0.418) (0.298:0.301:0.304))
+    (IOPATH C X (0.395:0.395:0.395) (0.312:0.312:0.312))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5810_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.216:0.216:0.217) (0.119:0.119:0.119))
+    (IOPATH A X (0.209:0.209:0.209) (0.195:0.196:0.196))
+    (IOPATH B X (0.222:0.222:0.223) (0.142:0.142:0.142))
+    (IOPATH B X (0.222:0.222:0.222) (0.205:0.205:0.206))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5811_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.161:0.161:0.162) (0.078:0.079:0.079))
+    (IOPATH A2 Y (0.178:0.191:0.205) (0.105:0.107:0.109))
+    (IOPATH B1 Y (0.210:0.210:0.210) (0.097:0.097:0.097))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5812_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.174:0.174:0.174) (0.090:0.091:0.091))
+    (IOPATH A2 Y (0.160:0.172:0.185) (0.099:0.101:0.102))
+    (IOPATH B1 Y (0.108:0.115:0.121) (0.108:0.111:0.114))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o311a_1")
+  (INSTANCE _5813_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.234:0.234:0.234) (0.411:0.411:0.411))
+    (IOPATH A2 X (0.199:0.199:0.199) (0.352:0.353:0.354))
+    (IOPATH A3 X (0.225:0.225:0.225) (0.312:0.316:0.320))
+    (IOPATH B1 X (0.195:0.200:0.206) (0.138:0.142:0.146))
+    (IOPATH C1 X (0.247:0.247:0.247) (0.159:0.159:0.159))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5814_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.080:0.080:0.080) (0.079:0.079:0.079))
+    (IOPATH B Y (0.086:0.086:0.087) (0.076:0.077:0.077))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_2")
+  (INSTANCE _5815_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.166:0.169:0.171) (0.148:0.151:0.154))
+    (IOPATH B Y (0.134:0.137:0.140) (0.157:0.164:0.170))
+    (IOPATH C Y (0.125:0.127:0.129) (0.137:0.138:0.138))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5816_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.074:0.075:0.075) (0.070:0.070:0.071))
+    (IOPATH B Y (0.083:0.084:0.084) (0.072:0.072:0.072))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand3_2")
+  (INSTANCE _5817_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.167:0.173:0.178) (0.153:0.155:0.157))
+    (IOPATH B Y (0.135:0.138:0.141) (0.159:0.165:0.171))
+    (IOPATH C Y (0.128:0.129:0.129) (0.141:0.142:0.142))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5818_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.157:0.157:0.157) (0.179:0.181:0.182))
+    (IOPATH A Y (0.237:0.239:0.240) (0.106:0.107:0.107))
+    (IOPATH B Y (0.158:0.158:0.159) (0.176:0.178:0.179))
+    (IOPATH B Y (0.221:0.223:0.224) (0.099:0.099:0.100))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o31a_1")
+  (INSTANCE _5819_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.250:0.251:0.251) (0.364:0.365:0.365))
+    (IOPATH A2 X (0.255:0.256:0.256) (0.352:0.353:0.354))
+    (IOPATH A3 X (0.243:0.243:0.243) (0.307:0.313:0.319))
+    (IOPATH B1 X (0.239:0.240:0.240) (0.163:0.171:0.180))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5820_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.134:0.136:0.138) (0.071:0.083:0.095))
+    (IOPATH A2 Y (0.167:0.171:0.174) (0.101:0.101:0.101))
+    (IOPATH B1 Y (0.141:0.141:0.141) (0.061:0.061:0.061))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _5821_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.146:0.158:0.170) (0.196:0.198:0.200))
+    (IOPATH A2 X (0.154:0.154:0.155) (0.195:0.199:0.202))
+    (IOPATH B1 X (0.145:0.148:0.151) (0.111:0.115:0.119))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211oi_2")
+  (INSTANCE _5822_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.360:0.360:0.360) (0.106:0.106:0.106))
+    (IOPATH A2 Y (0.381:0.385:0.389) (0.114:0.114:0.115))
+    (IOPATH B1 Y (0.361:0.362:0.363) (0.072:0.072:0.072))
+    (IOPATH C1 Y (0.351:0.351:0.351) (0.115:0.115:0.115))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5823_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.177:0.177:0.177) (0.284:0.285:0.287))
+    (IOPATH B X (0.167:0.167:0.167) (0.256:0.256:0.257))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3b_1")
+  (INSTANCE _5824_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.236:0.238:0.239) (0.206:0.206:0.206))
+    (IOPATH B X (0.214:0.214:0.214) (0.208:0.208:0.208))
+    (IOPATH C X (0.188:0.188:0.188) (0.204:0.204:0.204))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _5825_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.136:0.137:0.137) (0.210:0.212:0.213))
+    (IOPATH A2 X (0.122:0.122:0.123) (0.199:0.200:0.202))
+    (IOPATH B1 X (0.149:0.149:0.149) (0.121:0.124:0.128))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5826_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.137:0.137:0.137) (0.194:0.196:0.197))
+    (IOPATH A2 X (0.140:0.141:0.141) (0.216:0.217:0.219))
+    (IOPATH B1 X (0.113:0.113:0.113) (0.177:0.177:0.177))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _5827_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.109:0.109:0.110) (0.169:0.170:0.171))
+    (IOPATH A2 X (0.099:0.099:0.099) (0.157:0.157:0.158))
+    (IOPATH B1 X (0.169:0.169:0.169) (0.141:0.141:0.141))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211o_1")
+  (INSTANCE _5828_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.167:0.169:0.170) (0.342:0.342:0.343))
+    (IOPATH A2 X (0.164:0.164:0.164) (0.324:0.324:0.324))
+    (IOPATH B1 X (0.119:0.120:0.121) (0.259:0.268:0.277))
+    (IOPATH C1 X (0.126:0.126:0.127) (0.261:0.262:0.263))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5829_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.142:0.143:0.144) (0.098:0.098:0.098))
+    (IOPATH A2 Y (0.159:0.160:0.160) (0.089:0.089:0.089))
+    (IOPATH B1 Y (0.069:0.075:0.082) (0.078:0.080:0.082))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4b_2")
+  (INSTANCE _5830_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.403:0.404:0.405) (0.309:0.310:0.310))
+    (IOPATH B X (0.342:0.342:0.343) (0.280:0.281:0.282))
+    (IOPATH C X (0.349:0.350:0.350) (0.302:0.303:0.303))
+    (IOPATH D X (0.363:0.367:0.370) (0.324:0.327:0.330))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _5831_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.107:0.107:0.107) (0.110:0.111:0.112))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _5832_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.193:0.193:0.193) (0.259:0.259:0.259))
+    (IOPATH A2 X (0.192:0.192:0.192) (0.287:0.287:0.287))
+    (IOPATH B1 X (0.171:0.171:0.171) (0.230:0.230:0.230))
+    (IOPATH B2 X (0.147:0.147:0.147) (0.204:0.204:0.204))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3b_1")
+  (INSTANCE _5833_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.177:0.177:0.177) (0.431:0.432:0.433))
+    (IOPATH B X (0.218:0.218:0.218) (0.455:0.455:0.455))
+    (IOPATH C_N X (0.208:0.208:0.208) (0.396:0.397:0.398))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _5834_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.276:0.276:0.276) (0.176:0.176:0.176))
+    (IOPATH A2 Y (0.284:0.284:0.284) (0.167:0.167:0.167))
+    (IOPATH B1 Y (0.239:0.239:0.239) (0.137:0.137:0.137))
+    (IOPATH B2 Y (0.213:0.213:0.213) (0.117:0.117:0.117))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22o_1")
+  (INSTANCE _5835_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.195:0.195:0.195) (0.258:0.258:0.258))
+    (IOPATH A2 X (0.202:0.202:0.202) (0.298:0.298:0.298))
+    (IOPATH B1 X (0.156:0.156:0.156) (0.192:0.192:0.192))
+    (IOPATH B2 X (0.173:0.173:0.173) (0.249:0.249:0.249))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or3b_1")
+  (INSTANCE _5836_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.127:0.128:0.128) (0.373:0.374:0.375))
+    (IOPATH B X (0.160:0.160:0.160) (0.392:0.392:0.392))
+    (IOPATH C_N X (0.152:0.152:0.153) (0.337:0.337:0.337))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o311a_1")
+  (INSTANCE _5837_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.246:0.247:0.247) (0.401:0.402:0.402))
+    (IOPATH A2 X (0.242:0.243:0.243) (0.406:0.407:0.408))
+    (IOPATH A3 X (0.221:0.222:0.223) (0.336:0.343:0.350))
+    (IOPATH B1 X (0.204:0.205:0.205) (0.157:0.157:0.157))
+    (IOPATH C1 X (0.279:0.279:0.279) (0.177:0.177:0.177))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a22oi_1")
+  (INSTANCE _5838_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.240:0.240:0.240) (0.154:0.154:0.154))
+    (IOPATH A2 Y (0.260:0.260:0.260) (0.155:0.155:0.155))
+    (IOPATH B1 Y (0.173:0.173:0.173) (0.103:0.103:0.103))
+    (IOPATH B2 Y (0.229:0.229:0.229) (0.122:0.122:0.122))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _5839_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.188:0.188:0.188) (0.218:0.219:0.220))
+    (IOPATH A2 X (0.178:0.179:0.181) (0.210:0.214:0.218))
+    (IOPATH B1 X (0.213:0.213:0.213) (0.149:0.149:0.150))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211oi_4")
+  (INSTANCE _5840_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.775:0.775:0.776) (0.183:0.183:0.183))
+    (IOPATH A2 Y (0.764:0.769:0.773) (0.183:0.184:0.184))
+    (IOPATH B1 Y (0.741:0.742:0.743) (0.125:0.126:0.126))
+    (IOPATH C1 Y (0.725:0.725:0.725) (0.171:0.171:0.171))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5841_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.147:0.147:0.147) (0.167:0.168:0.168))
+    (IOPATH B X (0.192:0.192:0.193) (0.177:0.182:0.187))
+    (IOPATH C X (0.168:0.168:0.168) (0.183:0.184:0.185))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5842_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.156:0.156:0.157) (0.068:0.068:0.068))
+    (IOPATH A2 Y (0.164:0.169:0.174) (0.107:0.107:0.108))
+    (IOPATH B1 Y (0.130:0.130:0.131) (0.059:0.059:0.059))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5843_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.130:0.130:0.131) (0.051:0.051:0.052))
+    (IOPATH B Y (0.120:0.123:0.126) (0.061:0.064:0.066))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5844_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.152:0.154:0.157) (0.088:0.102:0.117))
+    (IOPATH A2 Y (0.160:0.161:0.162) (0.090:0.090:0.090))
+    (IOPATH B1 Y (0.182:0.182:0.182) (0.081:0.081:0.081))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5845_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.166:0.168:0.171) (0.098:0.113:0.128))
+    (IOPATH A2 Y (0.144:0.145:0.146) (0.084:0.084:0.084))
+    (IOPATH B1 Y (0.089:0.096:0.104) (0.087:0.091:0.095))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5846_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.140:0.140:0.140) (0.059:0.059:0.060))
+    (IOPATH A2 Y (0.167:0.167:0.167) (0.075:0.075:0.076))
+    (IOPATH B1 Y (0.143:0.144:0.144) (0.060:0.061:0.061))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5847_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.122:0.127:0.131) (0.100:0.100:0.101))
+    (IOPATH A2 Y (0.154:0.155:0.156) (0.094:0.095:0.095))
+    (IOPATH B1 Y (0.153:0.154:0.155) (0.062:0.063:0.063))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5848_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.134:0.138:0.142) (0.109:0.109:0.109))
+    (IOPATH A2 Y (0.133:0.134:0.135) (0.082:0.082:0.082))
+    (IOPATH B1 Y (0.075:0.079:0.083) (0.069:0.073:0.077))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o311a_1")
+  (INSTANCE _5849_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.189:0.189:0.189) (0.359:0.360:0.360))
+    (IOPATH A2 X (0.225:0.225:0.225) (0.394:0.394:0.394))
+    (IOPATH A3 X (0.174:0.175:0.177) (0.298:0.299:0.300))
+    (IOPATH B1 X (0.180:0.184:0.188) (0.130:0.134:0.137))
+    (IOPATH C1 X (0.195:0.195:0.195) (0.136:0.136:0.136))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5850_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.088:0.094:0.100) (0.086:0.091:0.096))
+    (IOPATH B Y (0.091:0.096:0.101) (0.080:0.080:0.081))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_4")
+  (INSTANCE _5851_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.371:0.371:0.371) (0.376:0.376:0.376))
+    (IOPATH A2 X (0.317:0.317:0.318) (0.311:0.313:0.315))
+    (IOPATH B1 X (0.313:0.314:0.314) (0.223:0.225:0.227))
+    (IOPATH C1 X (0.367:0.367:0.367) (0.263:0.263:0.263))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5852_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.192:0.203:0.214) (0.127:0.129:0.130))
+    (IOPATH A X (0.211:0.211:0.212) (0.177:0.188:0.198))
+    (IOPATH B X (0.167:0.168:0.168) (0.079:0.080:0.081))
+    (IOPATH B X (0.181:0.182:0.182) (0.153:0.153:0.153))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5853_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.186:0.188:0.190) (0.255:0.267:0.280))
+    (IOPATH B X (0.145:0.166:0.187) (0.275:0.277:0.279))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5854_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.176:0.189:0.201) (0.148:0.150:0.151))
+    (IOPATH A2 Y (0.226:0.228:0.229) (0.118:0.141:0.165))
+    (IOPATH B1 Y (0.179:0.180:0.181) (0.074:0.074:0.075))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5855_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.123:0.123:0.123) (0.156:0.160:0.163))
+    (IOPATH A2 X (0.111:0.123:0.136) (0.171:0.172:0.174))
+    (IOPATH B1 X (0.116:0.116:0.116) (0.199:0.199:0.199))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_1")
+  (INSTANCE _5856_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.272:0.272:0.272) (0.119:0.119:0.119))
+    (IOPATH A X (0.260:0.260:0.260) (0.203:0.203:0.203))
+    (IOPATH B X (0.243:0.243:0.243) (0.108:0.108:0.108))
+    (IOPATH B X (0.259:0.259:0.259) (0.174:0.174:0.174))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a2bb2o_1")
+  (INSTANCE _5857_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1_N X (0.251:0.254:0.258) (0.295:0.295:0.295))
+    (IOPATH A2_N X (0.236:0.236:0.237) (0.272:0.273:0.274))
+    (IOPATH B1 X (0.185:0.187:0.188) (0.285:0.289:0.294))
+    (IOPATH B2 X (0.167:0.167:0.168) (0.268:0.268:0.268))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211o_1")
+  (INSTANCE _5858_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.171:0.172:0.173) (0.303:0.304:0.304))
+    (IOPATH A2 X (0.195:0.198:0.200) (0.345:0.357:0.370))
+    (IOPATH B1 X (0.152:0.152:0.153) (0.317:0.317:0.318))
+    (IOPATH C1 X (0.192:0.192:0.192) (0.296:0.296:0.296))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_4")
+  (INSTANCE _5859_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.395:0.395:0.395) (0.387:0.387:0.387))
+    (IOPATH A2 X (0.345:0.346:0.348) (0.325:0.334:0.342))
+    (IOPATH B1 X (0.348:0.348:0.348) (0.244:0.245:0.246))
+    (IOPATH C1 X (0.391:0.391:0.391) (0.273:0.273:0.273))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5860_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.158:0.158:0.159) (0.175:0.176:0.176))
+    (IOPATH B X (0.202:0.203:0.204) (0.185:0.198:0.211))
+    (IOPATH C X (0.194:0.202:0.209) (0.207:0.216:0.225))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5861_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.147:0.147:0.148) (0.064:0.065:0.065))
+    (IOPATH A2 Y (0.155:0.166:0.176) (0.102:0.102:0.103))
+    (IOPATH B1 Y (0.134:0.142:0.150) (0.063:0.067:0.071))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5862_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.139:0.140:0.140) (0.057:0.057:0.058))
+    (IOPATH B Y (0.126:0.131:0.137) (0.060:0.064:0.067))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _5863_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.114:0.114:0.114) (0.228:0.229:0.230))
+    (IOPATH B_N X (0.162:0.162:0.162) (0.237:0.237:0.237))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5864_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.208:0.208:0.208) (0.193:0.193:0.193))
+    (IOPATH A Y (0.344:0.344:0.344) (0.138:0.138:0.138))
+    (IOPATH B Y (0.215:0.215:0.216) (0.192:0.192:0.193))
+    (IOPATH B Y (0.327:0.327:0.327) (0.136:0.136:0.136))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5865_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.149:0.153:0.158) (0.087:0.099:0.112))
+    (IOPATH A2 Y (0.131:0.132:0.133) (0.075:0.075:0.075))
+    (IOPATH B1 Y (0.113:0.114:0.114) (0.108:0.108:0.108))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5866_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.137:0.142:0.146) (0.078:0.089:0.101))
+    (IOPATH A2 Y (0.150:0.151:0.152) (0.081:0.081:0.082))
+    (IOPATH B1 Y (0.115:0.122:0.128) (0.049:0.051:0.054))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5867_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.153:0.153:0.153) (0.270:0.270:0.270))
+    (IOPATH B X (0.179:0.179:0.179) (0.305:0.306:0.307))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5868_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.105:0.105:0.105) (0.088:0.088:0.088))
+    (IOPATH B Y (0.157:0.157:0.158) (0.112:0.112:0.112))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _5869_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.133:0.134:0.135) (0.176:0.176:0.176))
+    (IOPATH A2 X (0.220:0.220:0.220) (0.270:0.270:0.271))
+    (IOPATH A3 X (0.149:0.153:0.156) (0.228:0.232:0.237))
+    (IOPATH B1 X (0.148:0.148:0.148) (0.203:0.203:0.203))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a211o_1")
+  (INSTANCE _5870_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.239:0.239:0.239) (0.346:0.346:0.346))
+    (IOPATH A2 X (0.239:0.256:0.273) (0.387:0.389:0.391))
+    (IOPATH B1 X (0.195:0.198:0.201) (0.333:0.339:0.345))
+    (IOPATH C1 X (0.178:0.178:0.179) (0.294:0.294:0.295))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_4")
+  (INSTANCE _5871_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.348:0.348:0.348) (0.367:0.367:0.367))
+    (IOPATH A2 X (0.297:0.297:0.297) (0.304:0.305:0.306))
+    (IOPATH B1 X (0.312:0.312:0.312) (0.236:0.237:0.237))
+    (IOPATH C1 X (0.344:0.344:0.344) (0.255:0.255:0.255))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5872_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.139:0.141:0.143) (0.083:0.095:0.107))
+    (IOPATH A2 Y (0.120:0.121:0.122) (0.069:0.069:0.069))
+    (IOPATH B1 Y (0.106:0.106:0.106) (0.101:0.101:0.101))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5873_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.191:0.194:0.196) (0.099:0.115:0.131))
+    (IOPATH A2 Y (0.204:0.205:0.206) (0.100:0.100:0.100))
+    (IOPATH B1 Y (0.168:0.174:0.180) (0.062:0.065:0.068))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5874_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.205:0.205:0.205) (0.306:0.307:0.308))
+    (IOPATH B X (0.200:0.200:0.200) (0.277:0.278:0.279))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5875_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.194:0.195:0.197) (0.169:0.169:0.169))
+    (IOPATH B Y (0.187:0.188:0.189) (0.167:0.168:0.168))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5876_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.143:0.144:0.145) (0.073:0.074:0.074))
+    (IOPATH A2 Y (0.157:0.162:0.166) (0.105:0.107:0.108))
+    (IOPATH B1 Y (0.185:0.185:0.185) (0.080:0.080:0.080))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5877_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.107:0.108:0.108) (0.227:0.228:0.229))
+    (IOPATH B X (0.133:0.134:0.136) (0.204:0.209:0.214))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a32o_1")
+  (INSTANCE _5878_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.321:0.321:0.321) (0.323:0.323:0.323))
+    (IOPATH A2 X (0.382:0.382:0.382) (0.392:0.392:0.392))
+    (IOPATH A3 X (0.334:0.334:0.334) (0.384:0.384:0.384))
+    (IOPATH B1 X (0.273:0.277:0.280) (0.284:0.290:0.295))
+    (IOPATH B2 X (0.256:0.257:0.257) (0.294:0.294:0.294))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5879_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.198:0.199:0.200) (0.188:0.188:0.189))
+    (IOPATH B X (0.136:0.136:0.136) (0.163:0.164:0.164))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5880_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.187:0.187:0.187) (0.172:0.172:0.172))
+    (IOPATH A Y (0.294:0.294:0.294) (0.123:0.123:0.123))
+    (IOPATH B Y (0.196:0.196:0.196) (0.179:0.180:0.180))
+    (IOPATH B Y (0.285:0.285:0.285) (0.124:0.124:0.124))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5881_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.180:0.180:0.180) (0.117:0.117:0.117))
+    (IOPATH A2 Y (0.194:0.197:0.199) (0.101:0.118:0.134))
+    (IOPATH B1 Y (0.187:0.187:0.187) (0.088:0.088:0.088))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o31a_4")
+  (INSTANCE _5882_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.302:0.302:0.302) (0.464:0.464:0.464))
+    (IOPATH A2 X (0.275:0.277:0.279) (0.420:0.429:0.438))
+    (IOPATH A3 X (0.277:0.277:0.277) (0.393:0.393:0.394))
+    (IOPATH B1 X (0.277:0.280:0.282) (0.184:0.189:0.194))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and3_1")
+  (INSTANCE _5883_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.146:0.147:0.147) (0.149:0.149:0.150))
+    (IOPATH B X (0.167:0.179:0.192) (0.182:0.184:0.187))
+    (IOPATH C X (0.156:0.157:0.157) (0.177:0.178:0.178))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5884_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.135:0.135:0.136) (0.066:0.067:0.068))
+    (IOPATH A2 Y (0.165:0.167:0.169) (0.085:0.095:0.106))
+    (IOPATH B1 Y (0.121:0.121:0.121) (0.047:0.048:0.048))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5885_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.151:0.152:0.152) (0.056:0.057:0.057))
+    (IOPATH B Y (0.138:0.142:0.147) (0.067:0.070:0.073))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5886_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.121:0.135:0.149) (0.237:0.242:0.247))
+    (IOPATH B X (0.112:0.112:0.113) (0.210:0.211:0.212))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5887_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.076:0.082:0.088) (0.070:0.080:0.090))
+    (IOPATH B Y (0.074:0.075:0.077) (0.068:0.068:0.068))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5888_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.070:0.080:0.091) (0.090:0.091:0.091))
+    (IOPATH B Y (0.092:0.093:0.094) (0.082:0.087:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5889_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.155:0.156:0.156) (0.234:0.243:0.252))
+    (IOPATH B X (0.133:0.141:0.148) (0.228:0.229:0.230))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _5890_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.191:0.191:0.191) (0.198:0.211:0.223))
+    (IOPATH A2 X (0.153:0.165:0.178) (0.228:0.229:0.230))
+    (IOPATH B1 X (0.186:0.186:0.186) (0.166:0.166:0.166))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5891_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.078:0.089:0.101) (0.092:0.093:0.093))
+    (IOPATH B Y (0.125:0.126:0.127) (0.091:0.100:0.110))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a32o_1")
+  (INSTANCE _5892_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.258:0.259:0.261) (0.285:0.290:0.295))
+    (IOPATH A2 X (0.303:0.303:0.303) (0.336:0.336:0.336))
+    (IOPATH A3 X (0.270:0.270:0.270) (0.322:0.322:0.322))
+    (IOPATH B1 X (0.225:0.226:0.227) (0.263:0.263:0.264))
+    (IOPATH B2 X (0.230:0.234:0.237) (0.285:0.291:0.298))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a311o_1")
+  (INSTANCE _5893_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.255:0.255:0.256) (0.334:0.334:0.334))
+    (IOPATH A2 X (0.315:0.315:0.315) (0.413:0.413:0.413))
+    (IOPATH A3 X (0.270:0.270:0.271) (0.418:0.424:0.431))
+    (IOPATH B1 X (0.255:0.255:0.255) (0.411:0.412:0.412))
+    (IOPATH C1 X (0.263:0.263:0.263) (0.359:0.359:0.360))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o211a_4")
+  (INSTANCE _5894_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.334:0.334:0.334) (0.361:0.361:0.361))
+    (IOPATH A2 X (0.287:0.287:0.287) (0.299:0.300:0.302))
+    (IOPATH B1 X (0.308:0.308:0.309) (0.233:0.234:0.236))
+    (IOPATH C1 X (0.331:0.331:0.331) (0.249:0.249:0.249))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _5895_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.074:0.075:0.075) (0.039:0.039:0.039))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5896_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.163:0.163:0.163) (0.058:0.058:0.058))
+    (IOPATH B Y (0.159:0.161:0.162) (0.066:0.066:0.067))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+  (INSTANCE _5897_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.381:0.391:0.401) (0.192:0.192:0.192))
+    (IOPATH A X (0.381:0.381:0.381) (0.236:0.246:0.256))
+    (IOPATH B X (0.351:0.351:0.352) (0.181:0.181:0.181))
+    (IOPATH B X (0.381:0.381:0.381) (0.205:0.205:0.205))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5898_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.182:0.182:0.182) (0.103:0.103:0.103))
+    (IOPATH B Y (0.135:0.146:0.158) (0.095:0.096:0.097))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _5899_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.122:0.122:0.122) (0.235:0.236:0.237))
+    (IOPATH B_N X (0.169:0.169:0.169) (0.244:0.244:0.244))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _5900_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.111:0.111:0.111) (0.237:0.237:0.237))
+    (IOPATH B_N X (0.172:0.178:0.185) (0.255:0.259:0.262))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _5901_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.129:0.134:0.140) (0.234:0.240:0.245))
+    (IOPATH B_N X (0.164:0.164:0.164) (0.235:0.235:0.235))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5902_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.140:0.141:0.141) (0.104:0.105:0.105))
+    (IOPATH B Y (0.126:0.129:0.133) (0.137:0.137:0.138))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+  (INSTANCE _5903_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.478:0.492:0.507) (0.228:0.228:0.228))
+    (IOPATH A X (0.476:0.477:0.477) (0.259:0.273:0.287))
+    (IOPATH B X (0.459:0.462:0.465) (0.192:0.192:0.192))
+    (IOPATH B X (0.465:0.465:0.465) (0.240:0.243:0.246))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a32o_1")
+  (INSTANCE _5904_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.211:0.211:0.211) (0.257:0.257:0.257))
+    (IOPATH A2 X (0.265:0.265:0.265) (0.313:0.313:0.313))
+    (IOPATH A3 X (0.221:0.221:0.222) (0.293:0.294:0.294))
+    (IOPATH B1 X (0.261:0.262:0.263) (0.269:0.285:0.301))
+    (IOPATH B2 X (0.256:0.256:0.256) (0.313:0.313:0.313))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and2b_1")
+  (INSTANCE _5905_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.200:0.202:0.203) (0.186:0.186:0.186))
+    (IOPATH B X (0.137:0.137:0.137) (0.189:0.189:0.189))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5906_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.206:0.208:0.210) (0.170:0.180:0.191))
+    (IOPATH A Y (0.276:0.285:0.294) (0.153:0.156:0.159))
+    (IOPATH B Y (0.173:0.173:0.173) (0.161:0.161:0.162))
+    (IOPATH B Y (0.252:0.252:0.252) (0.104:0.104:0.104))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _5907_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.198:0.198:0.198) (0.232:0.232:0.232))
+    (IOPATH A2 X (0.143:0.156:0.169) (0.194:0.198:0.202))
+    (IOPATH B1 X (0.192:0.192:0.192) (0.157:0.157:0.157))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o31a_4")
+  (INSTANCE _5908_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.316:0.316:0.316) (0.471:0.471:0.471))
+    (IOPATH A2 X (0.278:0.278:0.279) (0.438:0.441:0.443))
+    (IOPATH A3 X (0.267:0.268:0.268) (0.384:0.384:0.385))
+    (IOPATH B1 X (0.267:0.268:0.268) (0.182:0.183:0.184))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2b_1")
+  (INSTANCE _5909_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.112:0.112:0.113) (0.233:0.234:0.236))
+    (IOPATH B_N X (0.185:0.185:0.185) (0.238:0.238:0.238))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5910_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.180:0.181:0.181) (0.163:0.164:0.166))
+    (IOPATH A Y (0.263:0.264:0.264) (0.119:0.119:0.119))
+    (IOPATH B Y (0.165:0.165:0.165) (0.158:0.158:0.158))
+    (IOPATH B Y (0.242:0.242:0.242) (0.095:0.095:0.095))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__inv_2")
+  (INSTANCE _5911_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.048:0.050:0.051) (0.034:0.034:0.034))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5912_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.118:0.119:0.119) (0.082:0.082:0.082))
+    (IOPATH B Y (0.091:0.091:0.091) (0.074:0.074:0.074))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+  (INSTANCE _5913_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.224:0.224:0.225) (0.232:0.232:0.233))
+    (IOPATH A Y (0.399:0.399:0.399) (0.174:0.174:0.174))
+    (IOPATH B Y (0.213:0.214:0.214) (0.226:0.226:0.226))
+    (IOPATH B Y (0.373:0.373:0.374) (0.158:0.158:0.159))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5914_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.178:0.179:0.179) (0.083:0.083:0.083))
+    (IOPATH A2 Y (0.173:0.179:0.185) (0.104:0.105:0.105))
+    (IOPATH B1 Y (0.148:0.149:0.149) (0.065:0.065:0.065))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _5915_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.145:0.145:0.145) (0.204:0.204:0.204))
+    (IOPATH A2 X (0.169:0.170:0.170) (0.210:0.216:0.222))
+    (IOPATH A3 X (0.157:0.157:0.158) (0.222:0.223:0.223))
+    (IOPATH B1 X (0.147:0.147:0.147) (0.238:0.238:0.238))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o22a_1")
+  (INSTANCE _5916_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.198:0.198:0.198) (0.259:0.259:0.259))
+    (IOPATH A2 X (0.154:0.175:0.196) (0.234:0.235:0.237))
+    (IOPATH B1 X (0.151:0.153:0.155) (0.172:0.175:0.178))
+    (IOPATH B2 X (0.112:0.112:0.112) (0.149:0.150:0.150))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5917_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.173:0.173:0.173) (0.110:0.110:0.110))
+    (IOPATH A2 Y (0.222:0.222:0.222) (0.095:0.099:0.103))
+    (IOPATH B1 Y (0.157:0.167:0.177) (0.092:0.093:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _5918_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.178:0.178:0.179) (0.207:0.207:0.207))
+    (IOPATH A2 X (0.163:0.167:0.171) (0.271:0.272:0.272))
+    (IOPATH A3 X (0.215:0.217:0.218) (0.241:0.251:0.261))
+    (IOPATH B1 X (0.129:0.130:0.130) (0.225:0.226:0.227))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _5919_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.226:0.229:0.232) (0.245:0.256:0.268))
+    (IOPATH A2 X (0.193:0.194:0.195) (0.221:0.221:0.222))
+    (IOPATH B1 X (0.231:0.231:0.231) (0.180:0.180:0.180))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5920_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.247:0.248:0.249) (0.101:0.102:0.103))
+    (IOPATH A2 Y (0.288:0.289:0.290) (0.143:0.143:0.144))
+    (IOPATH B1 Y (0.284:0.284:0.284) (0.132:0.132:0.132))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21boi_4")
+  (INSTANCE _5921_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.434:0.434:0.434) (0.184:0.184:0.184))
+    (IOPATH A2 Y (0.426:0.429:0.431) (0.150:0.168:0.186))
+    (IOPATH B1_N Y (0.493:0.495:0.497) (0.221:0.223:0.224))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5922_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.140:0.146:0.151) (0.082:0.095:0.107))
+    (IOPATH A2 Y (0.151:0.151:0.152) (0.081:0.081:0.081))
+    (IOPATH B1 Y (0.173:0.173:0.173) (0.074:0.074:0.074))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _5923_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.337:0.353:0.368) (0.315:0.321:0.326))
+    (IOPATH A2 X (0.318:0.318:0.318) (0.293:0.293:0.294))
+    (IOPATH B1 X (0.322:0.327:0.331) (0.215:0.222:0.229))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5924_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.140:0.146:0.152) (0.081:0.093:0.106))
+    (IOPATH A2 Y (0.154:0.155:0.155) (0.083:0.083:0.083))
+    (IOPATH B1 Y (0.173:0.173:0.173) (0.075:0.075:0.075))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21a_1")
+  (INSTANCE _5925_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.152:0.166:0.181) (0.202:0.207:0.213))
+    (IOPATH A2 X (0.135:0.136:0.136) (0.183:0.183:0.184))
+    (IOPATH B1 X (0.139:0.144:0.148) (0.108:0.114:0.121))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nand2_1")
+  (INSTANCE _5926_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.241:0.246:0.250) (0.205:0.249:0.293))
+    (IOPATH B Y (0.186:0.186:0.187) (0.158:0.159:0.160))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or2_1")
+  (INSTANCE _5927_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.256:0.277:0.299) (0.364:0.368:0.372))
+    (IOPATH B X (0.219:0.219:0.220) (0.294:0.295:0.295))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a31o_1")
+  (INSTANCE _5928_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.253:0.253:0.253) (0.269:0.286:0.303))
+    (IOPATH A2 X (0.296:0.296:0.296) (0.318:0.318:0.318))
+    (IOPATH A3 X (0.254:0.254:0.254) (0.298:0.298:0.298))
+    (IOPATH B1 X (0.222:0.222:0.222) (0.251:0.251:0.251))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5929_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.192:0.199:0.206) (0.104:0.106:0.108))
+    (IOPATH B Y (0.178:0.179:0.181) (0.085:0.090:0.095))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5930_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.189:0.190:0.190) (0.116:0.116:0.116))
+    (IOPATH A2 Y (0.167:0.170:0.173) (0.109:0.109:0.109))
+    (IOPATH B1 Y (0.133:0.133:0.133) (0.134:0.134:0.134))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o311a_4")
+  (INSTANCE _5931_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.370:0.370:0.370) (0.508:0.509:0.509))
+    (IOPATH A2 X (0.300:0.300:0.300) (0.442:0.443:0.444))
+    (IOPATH A3 X (0.295:0.296:0.296) (0.412:0.413:0.413))
+    (IOPATH B1 X (0.327:0.333:0.339) (0.205:0.211:0.217))
+    (IOPATH C1 X (0.376:0.376:0.376) (0.229:0.229:0.229))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5932_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.128:0.134:0.140) (0.066:0.077:0.089))
+    (IOPATH A2 Y (0.140:0.151:0.163) (0.090:0.091:0.093))
+    (IOPATH B1 Y (0.173:0.173:0.173) (0.074:0.074:0.074))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_1")
+  (INSTANCE _5933_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.223:0.223:0.223) (0.096:0.096:0.096))
+    (IOPATH A2 Y (0.234:0.240:0.247) (0.108:0.112:0.116))
+    (IOPATH B1 Y (0.233:0.233:0.233) (0.109:0.109:0.109))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5934_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.128:0.135:0.143) (0.071:0.083:0.094))
+    (IOPATH B Y (0.101:0.102:0.103) (0.057:0.057:0.058))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5935_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.131:0.145:0.160) (0.171:0.179:0.187))
+    (IOPATH A2 X (0.127:0.127:0.127) (0.178:0.179:0.180))
+    (IOPATH B1 X (0.123:0.123:0.123) (0.206:0.206:0.206))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o21ai_1")
+  (INSTANCE _5936_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.163:0.176:0.190) (0.142:0.143:0.144))
+    (IOPATH A2 Y (0.121:0.121:0.122) (0.062:0.062:0.063))
+    (IOPATH B1 Y (0.142:0.142:0.142) (0.131:0.131:0.131))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__o22a_2")
+  (INSTANCE _5937_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.307:0.307:0.308) (0.330:0.337:0.344))
+    (IOPATH A2 X (0.278:0.278:0.278) (0.313:0.313:0.313))
+    (IOPATH B1 X (0.305:0.307:0.308) (0.305:0.319:0.333))
+    (IOPATH B2 X (0.289:0.289:0.290) (0.295:0.295:0.296))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__nor2_1")
+  (INSTANCE _5938_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.134:0.134:0.134) (0.062:0.062:0.062))
+    (IOPATH B Y (0.133:0.133:0.133) (0.076:0.076:0.076))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__xnor2_1")
+  (INSTANCE _5939_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A Y (0.173:0.174:0.175) (0.148:0.160:0.171))
+    (IOPATH A Y (0.213:0.224:0.234) (0.127:0.128:0.130))
+    (IOPATH B Y (0.160:0.160:0.160) (0.138:0.141:0.144))
+    (IOPATH B Y (0.189:0.191:0.194) (0.101:0.101:0.101))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21o_1")
+  (INSTANCE _5940_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 X (0.188:0.188:0.188) (0.202:0.202:0.202))
+    (IOPATH A2 X (0.159:0.172:0.184) (0.205:0.209:0.212))
+    (IOPATH B1 X (0.159:0.159:0.159) (0.216:0.216:0.216))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__a21oi_4")
+  (INSTANCE _5941_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A1 Y (0.391:0.393:0.394) (0.172:0.175:0.177))
+    (IOPATH A2 Y (0.424:0.426:0.428) (0.175:0.175:0.175))
+    (IOPATH B1 Y (0.365:0.365:0.365) (0.088:0.088:0.089))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4_1")
+  (INSTANCE _5942_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.223:0.223:0.223) (0.188:0.188:0.188))
+    (IOPATH B X (0.236:0.236:0.236) (0.212:0.212:0.212))
+    (IOPATH C X (0.232:0.232:0.232) (0.252:0.252:0.252))
+    (IOPATH D X (0.230:0.230:0.230) (0.237:0.237:0.237))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _5943_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.223:0.223:0.223) (0.199:0.200:0.201))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _5944_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.301:0.301:0.301) (0.449:0.449:0.449))
+    (IOPATH A1 X (0.304:0.304:0.304) (0.431:0.431:0.431))
+    (IOPATH S X (0.321:0.321:0.321) (0.426:0.426:0.426))
+    (IOPATH S X (0.257:0.257:0.257) (0.416:0.416:0.416))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _5945_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.106:0.106:0.106) (0.121:0.121:0.121))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _5946_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.271:0.271:0.271) (0.412:0.412:0.412))
+    (IOPATH A1 X (0.281:0.281:0.281) (0.414:0.414:0.414))
+    (IOPATH S X (0.302:0.302:0.302) (0.412:0.412:0.412))
+    (IOPATH S X (0.239:0.239:0.239) (0.402:0.402:0.402))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _5947_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.108:0.108:0.108) (0.119:0.119:0.119))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _5948_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.192:0.192:0.192) (0.322:0.322:0.322))
+    (IOPATH A1 X (0.194:0.194:0.194) (0.337:0.337:0.337))
+    (IOPATH S X (0.221:0.221:0.221) (0.337:0.337:0.337))
+    (IOPATH S X (0.158:0.158:0.158) (0.328:0.328:0.328))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _5949_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.093:0.094:0.096) (0.099:0.100:0.100))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _5950_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.211:0.211:0.211) (0.373:0.373:0.373))
+    (IOPATH A1 X (0.207:0.207:0.207) (0.351:0.351:0.351))
+    (IOPATH S X (0.235:0.235:0.235) (0.353:0.353:0.353))
+    (IOPATH S X (0.172:0.172:0.172) (0.344:0.344:0.344))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _5951_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.092:0.093:0.094) (0.100:0.101:0.101))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _5952_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.190:0.190:0.190) (0.347:0.347:0.347))
+    (IOPATH A1 X (0.197:0.197:0.197) (0.346:0.346:0.346))
+    (IOPATH S X (0.221:0.221:0.221) (0.337:0.337:0.337))
+    (IOPATH S X (0.157:0.157:0.157) (0.328:0.328:0.328))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _5953_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.082:0.084:0.085) (0.093:0.093:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _5954_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.180:0.180:0.180) (0.329:0.329:0.329))
+    (IOPATH A1 X (0.187:0.187:0.187) (0.338:0.338:0.338))
+    (IOPATH S X (0.219:0.219:0.219) (0.335:0.335:0.335))
+    (IOPATH S X (0.155:0.155:0.155) (0.326:0.325:0.325))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _5955_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.079:0.081:0.082) (0.091:0.091:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _5956_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.173:0.173:0.173) (0.321:0.321:0.321))
+    (IOPATH A1 X (0.190:0.190:0.190) (0.344:0.344:0.344))
+    (IOPATH S X (0.218:0.218:0.218) (0.334:0.334:0.334))
+    (IOPATH S X (0.155:0.155:0.155) (0.325:0.325:0.325))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _5957_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.084:0.085:0.086) (0.094:0.094:0.094))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _5958_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.191:0.191:0.191) (0.343:0.343:0.343))
+    (IOPATH A1 X (0.200:0.200:0.200) (0.360:0.360:0.360))
+    (IOPATH S X (0.226:0.226:0.226) (0.344:0.344:0.344))
+    (IOPATH S X (0.163:0.163:0.163) (0.335:0.335:0.335))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _5959_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.079:0.080:0.081) (0.091:0.092:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4bb_4")
+  (INSTANCE _5960_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.441:0.441:0.441) (0.299:0.299:0.299))
+    (IOPATH B_N X (0.470:0.470:0.470) (0.334:0.334:0.334))
+    (IOPATH C X (0.378:0.378:0.378) (0.314:0.314:0.314))
+    (IOPATH D X (0.376:0.376:0.376) (0.299:0.299:0.299))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _5961_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.189:0.189:0.189) (0.347:0.347:0.347))
+    (IOPATH A1 X (0.196:0.196:0.196) (0.339:0.339:0.339))
+    (IOPATH S X (0.202:0.203:0.205) (0.334:0.334:0.334))
+    (IOPATH S X (0.156:0.156:0.156) (0.312:0.313:0.315))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _5962_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.077:0.078:0.080) (0.089:0.089:0.089))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _5963_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.194:0.194:0.194) (0.357:0.357:0.357))
+    (IOPATH A1 X (0.193:0.193:0.193) (0.337:0.337:0.337))
+    (IOPATH S X (0.203:0.204:0.206) (0.335:0.336:0.336))
+    (IOPATH S X (0.157:0.157:0.157) (0.313:0.315:0.316))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _5964_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.081:0.082:0.084) (0.092:0.092:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _5965_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.196:0.196:0.196) (0.328:0.328:0.328))
+    (IOPATH A1 X (0.193:0.193:0.193) (0.336:0.336:0.336))
+    (IOPATH S X (0.204:0.205:0.207) (0.337:0.337:0.337))
+    (IOPATH S X (0.158:0.158:0.158) (0.315:0.316:0.317))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _5966_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.083:0.085:0.086) (0.094:0.094:0.094))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _5967_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.191:0.191:0.191) (0.345:0.345:0.345))
+    (IOPATH A1 X (0.196:0.196:0.196) (0.340:0.340:0.340))
+    (IOPATH S X (0.209:0.210:0.212) (0.343:0.343:0.343))
+    (IOPATH S X (0.163:0.163:0.163) (0.321:0.322:0.323))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _5968_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.085:0.087:0.088) (0.096:0.096:0.096))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _5969_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.192:0.192:0.192) (0.348:0.348:0.348))
+    (IOPATH A1 X (0.199:0.199:0.199) (0.349:0.349:0.349))
+    (IOPATH S X (0.206:0.208:0.210) (0.340:0.340:0.340))
+    (IOPATH S X (0.161:0.161:0.161) (0.318:0.319:0.321))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _5970_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.082:0.083:0.085) (0.093:0.093:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _5971_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.192:0.192:0.192) (0.324:0.324:0.324))
+    (IOPATH A1 X (0.191:0.191:0.191) (0.341:0.341:0.341))
+    (IOPATH S X (0.205:0.207:0.209) (0.339:0.339:0.339))
+    (IOPATH S X (0.159:0.159:0.159) (0.316:0.318:0.319))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _5972_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.080:0.081:0.083) (0.092:0.092:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _5973_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.167:0.167:0.167) (0.298:0.298:0.298))
+    (IOPATH A1 X (0.188:0.188:0.188) (0.342:0.342:0.342))
+    (IOPATH S X (0.201:0.202:0.204) (0.333:0.333:0.333))
+    (IOPATH S X (0.155:0.155:0.155) (0.311:0.312:0.313))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _5974_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.078:0.079:0.081) (0.090:0.090:0.090))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _5975_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.169:0.169:0.169) (0.316:0.316:0.316))
+    (IOPATH A1 X (0.191:0.191:0.191) (0.350:0.350:0.350))
+    (IOPATH S X (0.202:0.204:0.206) (0.335:0.335:0.335))
+    (IOPATH S X (0.156:0.156:0.157) (0.313:0.314:0.315))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _5976_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.081:0.082:0.084) (0.092:0.092:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4bb_4")
+  (INSTANCE _5977_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.601:0.601:0.601) (0.362:0.362:0.362))
+    (IOPATH B_N X (0.653:0.653:0.653) (0.400:0.400:0.400))
+    (IOPATH C X (0.539:0.539:0.539) (0.355:0.355:0.355))
+    (IOPATH D X (0.537:0.537:0.537) (0.366:0.366:0.366))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _5978_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.190:0.190:0.190) (0.323:0.323:0.323))
+    (IOPATH A1 X (0.223:0.223:0.223) (0.365:0.365:0.365))
+    (IOPATH S X (0.264:0.266:0.267) (0.386:0.386:0.386))
+    (IOPATH S X (0.224:0.224:0.224) (0.370:0.371:0.372))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _5979_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.094:0.095:0.096) (0.102:0.103:0.103))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _5980_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.193:0.193:0.193) (0.349:0.349:0.349))
+    (IOPATH A1 X (0.197:0.197:0.197) (0.338:0.338:0.338))
+    (IOPATH S X (0.245:0.246:0.247) (0.365:0.365:0.365))
+    (IOPATH S X (0.204:0.204:0.204) (0.349:0.350:0.352))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _5981_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.077:0.079:0.080) (0.090:0.090:0.090))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _5982_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.186:0.186:0.186) (0.315:0.315:0.315))
+    (IOPATH A1 X (0.191:0.191:0.191) (0.331:0.331:0.331))
+    (IOPATH S X (0.240:0.241:0.243) (0.359:0.359:0.359))
+    (IOPATH S X (0.199:0.199:0.199) (0.343:0.344:0.346))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _5983_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.075:0.077:0.078) (0.088:0.088:0.089))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _5984_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.191:0.191:0.191) (0.320:0.320:0.320))
+    (IOPATH A1 X (0.194:0.194:0.194) (0.336:0.336:0.336))
+    (IOPATH S X (0.244:0.246:0.247) (0.365:0.365:0.365))
+    (IOPATH S X (0.204:0.204:0.204) (0.349:0.350:0.351))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _5985_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.079:0.080:0.081) (0.091:0.091:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _5986_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.190:0.190:0.190) (0.320:0.320:0.320))
+    (IOPATH A1 X (0.194:0.194:0.194) (0.342:0.342:0.342))
+    (IOPATH S X (0.240:0.241:0.243) (0.360:0.360:0.360))
+    (IOPATH S X (0.199:0.199:0.199) (0.344:0.345:0.346))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _5987_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.078:0.080:0.081) (0.090:0.090:0.090))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _5988_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.183:0.183:0.183) (0.311:0.311:0.311))
+    (IOPATH A1 X (0.184:0.184:0.184) (0.332:0.332:0.332))
+    (IOPATH S X (0.238:0.239:0.241) (0.357:0.357:0.357))
+    (IOPATH S X (0.197:0.197:0.197) (0.341:0.342:0.343))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _5989_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.074:0.075:0.076) (0.087:0.087:0.087))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _5990_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.184:0.184:0.184) (0.312:0.312:0.312))
+    (IOPATH A1 X (0.189:0.189:0.189) (0.342:0.342:0.342))
+    (IOPATH S X (0.240:0.242:0.243) (0.360:0.360:0.360))
+    (IOPATH S X (0.199:0.199:0.199) (0.344:0.345:0.346))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _5991_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.079:0.080:0.081) (0.090:0.091:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _5992_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.206:0.206:0.206) (0.333:0.333:0.333))
+    (IOPATH A1 X (0.215:0.215:0.215) (0.370:0.370:0.370))
+    (IOPATH S X (0.263:0.265:0.266) (0.385:0.385:0.385))
+    (IOPATH S X (0.223:0.223:0.223) (0.369:0.370:0.371))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _5993_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.089:0.090:0.091) (0.099:0.100:0.100))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or4b_1")
+  (INSTANCE _5994_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.211:0.211:0.211) (0.616:0.616:0.616))
+    (IOPATH B X (0.205:0.205:0.205) (0.595:0.595:0.595))
+    (IOPATH C X (0.200:0.200:0.200) (0.543:0.543:0.543))
+    (IOPATH D_N X (0.235:0.235:0.235) (0.517:0.517:0.517))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _5995_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.217:0.218:0.218) (0.224:0.225:0.225))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _5996_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.228:0.228:0.228) (0.364:0.364:0.364))
+    (IOPATH A1 X (0.198:0.198:0.198) (0.339:0.339:0.339))
+    (IOPATH S X (0.243:0.243:0.243) (0.365:0.365:0.365))
+    (IOPATH S X (0.182:0.182:0.182) (0.354:0.354:0.354))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _5997_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.094:0.095:0.096) (0.104:0.104:0.104))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _5998_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.188:0.188:0.188) (0.324:0.324:0.324))
+    (IOPATH A1 X (0.188:0.188:0.188) (0.351:0.351:0.351))
+    (IOPATH S X (0.209:0.209:0.209) (0.329:0.329:0.329))
+    (IOPATH S X (0.148:0.148:0.148) (0.318:0.318:0.318))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _5999_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.081:0.082:0.084) (0.092:0.092:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6000_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.188:0.188:0.188) (0.324:0.324:0.324))
+    (IOPATH A1 X (0.186:0.186:0.186) (0.343:0.343:0.343))
+    (IOPATH S X (0.211:0.211:0.211) (0.331:0.331:0.331))
+    (IOPATH S X (0.149:0.149:0.149) (0.319:0.319:0.319))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6001_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.078:0.080:0.081) (0.090:0.090:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6002_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.189:0.189:0.189) (0.325:0.325:0.325))
+    (IOPATH A1 X (0.192:0.192:0.192) (0.352:0.352:0.352))
+    (IOPATH S X (0.214:0.214:0.214) (0.335:0.335:0.335))
+    (IOPATH S X (0.153:0.153:0.153) (0.323:0.323:0.323))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6003_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.078:0.080:0.081) (0.091:0.091:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6004_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.194:0.194:0.194) (0.337:0.337:0.337))
+    (IOPATH A1 X (0.195:0.195:0.195) (0.358:0.358:0.358))
+    (IOPATH S X (0.214:0.214:0.214) (0.335:0.335:0.335))
+    (IOPATH S X (0.153:0.153:0.153) (0.323:0.323:0.323))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6005_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.078:0.079:0.081) (0.090:0.091:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6006_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.182:0.182:0.182) (0.326:0.326:0.326))
+    (IOPATH A1 X (0.189:0.189:0.189) (0.353:0.353:0.353))
+    (IOPATH S X (0.210:0.210:0.210) (0.329:0.329:0.329))
+    (IOPATH S X (0.148:0.148:0.148) (0.318:0.318:0.318))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6007_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.080:0.081:0.083) (0.091:0.091:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6008_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.193:0.193:0.193) (0.341:0.341:0.341))
+    (IOPATH A1 X (0.195:0.195:0.195) (0.357:0.357:0.357))
+    (IOPATH S X (0.216:0.216:0.216) (0.338:0.338:0.338))
+    (IOPATH S X (0.155:0.155:0.155) (0.326:0.326:0.326))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6009_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.078:0.079:0.081) (0.091:0.091:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6010_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.190:0.190:0.190) (0.343:0.343:0.343))
+    (IOPATH A1 X (0.191:0.191:0.191) (0.351:0.351:0.351))
+    (IOPATH S X (0.213:0.213:0.213) (0.333:0.333:0.333))
+    (IOPATH S X (0.152:0.152:0.152) (0.322:0.322:0.322))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6011_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.080:0.082:0.083) (0.092:0.092:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__and4bb_4")
+  (INSTANCE _6012_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A_N X (0.468:0.468:0.468) (0.299:0.299:0.299))
+    (IOPATH B_N X (0.470:0.470:0.470) (0.335:0.335:0.335))
+    (IOPATH C X (0.379:0.379:0.379) (0.314:0.314:0.314))
+    (IOPATH D X (0.377:0.377:0.377) (0.299:0.299:0.299))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6013_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.190:0.190:0.190) (0.350:0.350:0.350))
+    (IOPATH A1 X (0.196:0.196:0.196) (0.337:0.337:0.337))
+    (IOPATH S X (0.202:0.204:0.205) (0.335:0.335:0.335))
+    (IOPATH S X (0.156:0.156:0.156) (0.312:0.314:0.315))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6014_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.076:0.078:0.079) (0.088:0.089:0.089))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6015_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.183:0.183:0.183) (0.333:0.333:0.333))
+    (IOPATH A1 X (0.194:0.194:0.194) (0.336:0.336:0.336))
+    (IOPATH S X (0.204:0.206:0.207) (0.337:0.337:0.337))
+    (IOPATH S X (0.158:0.158:0.158) (0.315:0.316:0.317))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6016_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.080:0.082:0.083) (0.092:0.092:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6017_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.197:0.197:0.197) (0.356:0.356:0.356))
+    (IOPATH A1 X (0.199:0.199:0.199) (0.342:0.342:0.342))
+    (IOPATH S X (0.209:0.211:0.212) (0.343:0.343:0.343))
+    (IOPATH S X (0.163:0.163:0.163) (0.321:0.322:0.324))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6018_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.081:0.082:0.084) (0.093:0.093:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6019_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.173:0.173:0.173) (0.321:0.321:0.321))
+    (IOPATH A1 X (0.190:0.190:0.190) (0.333:0.333:0.333))
+    (IOPATH S X (0.203:0.205:0.207) (0.336:0.336:0.336))
+    (IOPATH S X (0.157:0.158:0.158) (0.314:0.315:0.317))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6020_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.088:0.089:0.091) (0.096:0.096:0.097))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6021_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.171:0.171:0.171) (0.318:0.318:0.318))
+    (IOPATH A1 X (0.196:0.196:0.196) (0.344:0.344:0.344))
+    (IOPATH S X (0.205:0.206:0.208) (0.338:0.338:0.338))
+    (IOPATH S X (0.159:0.159:0.159) (0.316:0.317:0.318))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6022_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.081:0.082:0.084) (0.092:0.092:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6023_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.174:0.174:0.174) (0.308:0.308:0.308))
+    (IOPATH A1 X (0.200:0.200:0.200) (0.351:0.351:0.351))
+    (IOPATH S X (0.214:0.216:0.218) (0.349:0.349:0.349))
+    (IOPATH S X (0.168:0.168:0.168) (0.326:0.328:0.329))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6024_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.083:0.084:0.085) (0.094:0.094:0.095))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6025_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.164:0.164:0.164) (0.296:0.296:0.296))
+    (IOPATH A1 X (0.188:0.188:0.188) (0.342:0.342:0.342))
+    (IOPATH S X (0.201:0.203:0.204) (0.333:0.333:0.333))
+    (IOPATH S X (0.155:0.155:0.155) (0.311:0.313:0.314))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6026_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.078:0.079:0.081) (0.090:0.090:0.090))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6027_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.184:0.184:0.184) (0.315:0.315:0.315))
+    (IOPATH A1 X (0.189:0.189:0.189) (0.347:0.347:0.347))
+    (IOPATH S X (0.201:0.202:0.204) (0.333:0.333:0.333))
+    (IOPATH S X (0.155:0.155:0.155) (0.311:0.312:0.313))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6028_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.080:0.082:0.083) (0.091:0.092:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or4b_1")
+  (INSTANCE _6029_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.203:0.203:0.203) (0.605:0.605:0.605))
+    (IOPATH B X (0.198:0.198:0.198) (0.567:0.567:0.567))
+    (IOPATH C X (0.192:0.192:0.192) (0.532:0.532:0.532))
+    (IOPATH D_N X (0.246:0.246:0.246) (0.506:0.506:0.506))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _6030_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.308:0.309:0.309) (0.286:0.286:0.286))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6031_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.194:0.194:0.194) (0.330:0.330:0.330))
+    (IOPATH A1 X (0.191:0.191:0.191) (0.353:0.353:0.353))
+    (IOPATH S X (0.249:0.249:0.249) (0.352:0.352:0.352))
+    (IOPATH S X (0.183:0.183:0.183) (0.352:0.352:0.352))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6032_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.079:0.080:0.082) (0.090:0.091:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6033_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.187:0.187:0.187) (0.324:0.324:0.324))
+    (IOPATH A1 X (0.185:0.185:0.185) (0.345:0.345:0.345))
+    (IOPATH S X (0.246:0.246:0.246) (0.348:0.348:0.348))
+    (IOPATH S X (0.180:0.180:0.180) (0.348:0.348:0.348))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6034_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.078:0.080:0.081) (0.090:0.090:0.090))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6035_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.194:0.194:0.194) (0.329:0.329:0.329))
+    (IOPATH A1 X (0.191:0.191:0.191) (0.349:0.349:0.349))
+    (IOPATH S X (0.253:0.253:0.253) (0.356:0.356:0.356))
+    (IOPATH S X (0.187:0.187:0.187) (0.356:0.356:0.356))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6036_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.081:0.082:0.084) (0.093:0.093:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6037_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.183:0.183:0.183) (0.318:0.318:0.318))
+    (IOPATH A1 X (0.177:0.177:0.177) (0.331:0.331:0.331))
+    (IOPATH S X (0.246:0.246:0.246) (0.348:0.348:0.348))
+    (IOPATH S X (0.180:0.180:0.180) (0.348:0.348:0.348))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6038_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.076:0.077:0.079) (0.088:0.089:0.089))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6039_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.192:0.192:0.192) (0.333:0.333:0.333))
+    (IOPATH A1 X (0.172:0.172:0.172) (0.311:0.311:0.311))
+    (IOPATH S X (0.250:0.250:0.250) (0.353:0.353:0.353))
+    (IOPATH S X (0.184:0.184:0.184) (0.353:0.353:0.353))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6040_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.084:0.086:0.087) (0.094:0.095:0.095))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6041_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.184:0.184:0.184) (0.327:0.327:0.327))
+    (IOPATH A1 X (0.167:0.167:0.167) (0.307:0.307:0.307))
+    (IOPATH S X (0.248:0.248:0.248) (0.351:0.351:0.351))
+    (IOPATH S X (0.182:0.182:0.182) (0.351:0.351:0.351))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6042_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.085:0.086:0.087) (0.094:0.094:0.095))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6043_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.197:0.197:0.197) (0.343:0.343:0.343))
+    (IOPATH A1 X (0.175:0.175:0.175) (0.316:0.316:0.316))
+    (IOPATH S X (0.258:0.258:0.258) (0.362:0.362:0.362))
+    (IOPATH S X (0.192:0.192:0.192) (0.362:0.362:0.362))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6044_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.079:0.079:0.080) (0.091:0.091:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6045_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.247:0.247:0.247) (0.394:0.394:0.394))
+    (IOPATH A1 X (0.214:0.214:0.214) (0.367:0.367:0.367))
+    (IOPATH S X (0.304:0.304:0.304) (0.407:0.407:0.407))
+    (IOPATH S X (0.239:0.239:0.239) (0.406:0.406:0.406))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6046_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.105:0.106:0.106) (0.113:0.113:0.113))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or4b_1")
+  (INSTANCE _6047_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.180:0.180:0.180) (0.571:0.571:0.571))
+    (IOPATH B X (0.175:0.175:0.175) (0.533:0.533:0.533))
+    (IOPATH C X (0.168:0.168:0.168) (0.516:0.516:0.516))
+    (IOPATH D_N X (0.204:0.204:0.204) (0.472:0.472:0.472))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _6048_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.218:0.219:0.220) (0.223:0.223:0.223))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6049_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.197:0.197:0.197) (0.334:0.334:0.334))
+    (IOPATH A1 X (0.193:0.193:0.193) (0.353:0.353:0.353))
+    (IOPATH S X (0.221:0.221:0.221) (0.338:0.338:0.338))
+    (IOPATH S X (0.158:0.158:0.158) (0.328:0.328:0.328))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6050_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.077:0.079:0.080) (0.090:0.090:0.090))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6051_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.188:0.188:0.188) (0.325:0.325:0.325))
+    (IOPATH A1 X (0.188:0.188:0.188) (0.350:0.350:0.350))
+    (IOPATH S X (0.217:0.217:0.217) (0.332:0.332:0.332))
+    (IOPATH S X (0.154:0.154:0.154) (0.323:0.323:0.323))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6052_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.084:0.085:0.087) (0.094:0.094:0.094))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6053_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.189:0.189:0.189) (0.325:0.325:0.325))
+    (IOPATH A1 X (0.185:0.185:0.185) (0.341:0.341:0.341))
+    (IOPATH S X (0.219:0.219:0.219) (0.335:0.335:0.335))
+    (IOPATH S X (0.156:0.156:0.156) (0.326:0.326:0.326))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6054_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.080:0.081:0.083) (0.091:0.092:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6055_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.190:0.190:0.190) (0.327:0.327:0.327))
+    (IOPATH A1 X (0.189:0.189:0.189) (0.346:0.346:0.346))
+    (IOPATH S X (0.221:0.221:0.221) (0.339:0.339:0.339))
+    (IOPATH S X (0.159:0.159:0.159) (0.329:0.329:0.329))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6056_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.080:0.081:0.082) (0.091:0.092:0.092))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6057_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.191:0.191:0.191) (0.335:0.335:0.335))
+    (IOPATH A1 X (0.206:0.206:0.206) (0.352:0.352:0.352))
+    (IOPATH S X (0.218:0.218:0.218) (0.335:0.335:0.335))
+    (IOPATH S X (0.155:0.155:0.155) (0.325:0.325:0.325))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6058_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.086:0.088:0.090) (0.095:0.095:0.095))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6059_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.189:0.189:0.189) (0.333:0.333:0.333))
+    (IOPATH A1 X (0.199:0.199:0.199) (0.340:0.340:0.340))
+    (IOPATH S X (0.222:0.222:0.222) (0.339:0.339:0.339))
+    (IOPATH S X (0.159:0.159:0.159) (0.330:0.330:0.330))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6060_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.089:0.090:0.092) (0.097:0.097:0.098))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6061_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.202:0.202:0.202) (0.350:0.350:0.350))
+    (IOPATH A1 X (0.190:0.190:0.190) (0.345:0.345:0.345))
+    (IOPATH S X (0.232:0.232:0.232) (0.350:0.350:0.350))
+    (IOPATH S X (0.169:0.169:0.169) (0.340:0.340:0.340))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6062_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.095:0.096:0.097) (0.102:0.102:0.102))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6063_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.188:0.188:0.188) (0.340:0.340:0.340))
+    (IOPATH A1 X (0.156:0.156:0.156) (0.301:0.301:0.301))
+    (IOPATH S X (0.217:0.217:0.217) (0.333:0.333:0.333))
+    (IOPATH S X (0.154:0.154:0.154) (0.324:0.324:0.324))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6064_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.082:0.084:0.085) (0.093:0.093:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__or4_1")
+  (INSTANCE _6065_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.225:0.225:0.225) (0.633:0.633:0.633))
+    (IOPATH B X (0.220:0.220:0.220) (0.595:0.595:0.595))
+    (IOPATH C X (0.214:0.214:0.214) (0.579:0.579:0.579))
+    (IOPATH D X (0.210:0.210:0.210) (0.493:0.493:0.493))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE _6066_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.310:0.310:0.310) (0.288:0.288:0.288))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6067_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.208:0.208:0.208) (0.344:0.344:0.344))
+    (IOPATH A1 X (0.201:0.201:0.201) (0.360:0.360:0.360))
+    (IOPATH S X (0.256:0.256:0.256) (0.363:0.363:0.363))
+    (IOPATH S X (0.191:0.191:0.191) (0.361:0.361:0.361))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6068_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.084:0.085:0.087) (0.095:0.095:0.096))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6069_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.194:0.194:0.194) (0.331:0.331:0.331))
+    (IOPATH A1 X (0.192:0.192:0.192) (0.353:0.353:0.353))
+    (IOPATH S X (0.247:0.247:0.247) (0.353:0.353:0.353))
+    (IOPATH S X (0.182:0.182:0.182) (0.351:0.351:0.351))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6070_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.083:0.084:0.086) (0.094:0.094:0.094))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6071_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.188:0.188:0.188) (0.323:0.323:0.323))
+    (IOPATH A1 X (0.176:0.176:0.176) (0.331:0.331:0.331))
+    (IOPATH S X (0.243:0.243:0.243) (0.348:0.348:0.348))
+    (IOPATH S X (0.178:0.178:0.178) (0.346:0.346:0.346))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6072_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.076:0.077:0.079) (0.088:0.089:0.089))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6073_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.198:0.198:0.198) (0.335:0.335:0.335))
+    (IOPATH A1 X (0.182:0.182:0.182) (0.338:0.338:0.338))
+    (IOPATH S X (0.255:0.255:0.255) (0.362:0.362:0.362))
+    (IOPATH S X (0.190:0.190:0.190) (0.360:0.360:0.360))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6074_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.089:0.090:0.091) (0.098:0.098:0.098))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6075_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.191:0.191:0.191) (0.334:0.334:0.334))
+    (IOPATH A1 X (0.174:0.174:0.174) (0.329:0.329:0.329))
+    (IOPATH S X (0.244:0.244:0.244) (0.349:0.349:0.349))
+    (IOPATH S X (0.179:0.179:0.179) (0.347:0.347:0.347))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6076_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.076:0.078:0.079) (0.089:0.089:0.089))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6077_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.189:0.189:0.189) (0.333:0.333:0.333))
+    (IOPATH A1 X (0.182:0.182:0.182) (0.337:0.337:0.337))
+    (IOPATH S X (0.247:0.247:0.247) (0.353:0.353:0.353))
+    (IOPATH S X (0.182:0.182:0.182) (0.351:0.351:0.351))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6078_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.081:0.082:0.084) (0.093:0.093:0.093))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6079_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.186:0.186:0.186) (0.331:0.331:0.331))
+    (IOPATH A1 X (0.174:0.174:0.174) (0.327:0.327:0.327))
+    (IOPATH S X (0.243:0.243:0.243) (0.348:0.348:0.348))
+    (IOPATH S X (0.178:0.178:0.178) (0.346:0.346:0.346))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6080_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.079:0.080:0.082) (0.091:0.091:0.091))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+  (INSTANCE _6081_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A0 X (0.241:0.241:0.241) (0.391:0.391:0.391))
+    (IOPATH A1 X (0.214:0.214:0.214) (0.355:0.355:0.355))
+    (IOPATH S X (0.293:0.293:0.293) (0.399:0.399:0.399))
+    (IOPATH S X (0.228:0.228:0.228) (0.397:0.397:0.397))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+  (INSTANCE _6082_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.104:0.104:0.105) (0.111:0.111:0.112))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+  (INSTANCE _6083_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.489:0.489:0.489) (0.516:0.516:0.516))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.457:0.457:0.457))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.215:-0.215:-0.215))
+    (HOLD (posedge D) (posedge CLK) (-0.002:-0.002:-0.002))
+    (HOLD (negedge D) (posedge CLK) (0.020:0.020:0.019))
+    (SETUP (posedge D) (posedge CLK) (0.027:0.027:0.027))
+    (SETUP (negedge D) (posedge CLK) (0.053:0.053:0.053))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+  (INSTANCE _6084_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.511:0.511:0.511) (0.531:0.531:0.531))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.457:0.457:0.457))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.215:-0.215:-0.215))
+    (HOLD (posedge D) (posedge CLK) (-0.003:-0.003:-0.003))
+    (HOLD (negedge D) (posedge CLK) (0.018:0.018:0.018))
+    (SETUP (posedge D) (posedge CLK) (0.028:0.028:0.028))
+    (SETUP (negedge D) (posedge CLK) (0.054:0.054:0.054))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+  (INSTANCE _6085_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.611:0.611:0.611) (0.579:0.579:0.579))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.386:0.386:0.386))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.224:-0.224:-0.224))
+    (HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
+    (HOLD (negedge D) (posedge CLK) (-0.009:-0.009:-0.009))
+    (SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
+    (SETUP (negedge D) (posedge CLK) (0.082:0.082:0.082))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+  (INSTANCE _6086_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.472:0.472:0.472) (0.494:0.494:0.494))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.386:0.386:0.386))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.224:-0.224:-0.224))
+    (HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
+    (HOLD (negedge D) (posedge CLK) (-0.008:-0.008:-0.008))
+    (SETUP (posedge D) (posedge CLK) (0.046:0.046:0.046))
+    (SETUP (negedge D) (posedge CLK) (0.080:0.080:0.080))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6087_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.414:0.414:0.414) (0.434:0.434:0.434))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.381:0.381:0.381))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.224:-0.224:-0.224))
+    (HOLD (posedge D) (posedge CLK) (-0.023:-0.023:-0.023))
+    (HOLD (negedge D) (posedge CLK) (-0.018:-0.018:-0.018))
+    (SETUP (posedge D) (posedge CLK) (0.047:0.047:0.047))
+    (SETUP (negedge D) (posedge CLK) (0.086:0.086:0.086))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_4")
+  (INSTANCE _6088_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.630:0.630:0.630) (0.642:0.642:0.642))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.386:0.386:0.386))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.220:-0.220:-0.220))
+    (HOLD (posedge D) (posedge CLK) (-0.017:-0.017:-0.017))
+    (HOLD (negedge D) (posedge CLK) (-0.006:-0.006:-0.006))
+    (SETUP (posedge D) (posedge CLK) (0.044:0.044:0.044))
+    (SETUP (negedge D) (posedge CLK) (0.077:0.077:0.077))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6089_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.466:0.466:0.466) (0.468:0.468:0.468))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.381:0.381:0.381))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.224:-0.224:-0.224))
+    (HOLD (posedge D) (posedge CLK) (-0.023:-0.023:-0.023))
+    (HOLD (negedge D) (posedge CLK) (-0.019:-0.019:-0.019))
+    (SETUP (posedge D) (posedge CLK) (0.047:0.047:0.047))
+    (SETUP (negedge D) (posedge CLK) (0.087:0.087:0.087))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6090_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.429:0.429:0.429) (0.445:0.445:0.445))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.381:0.381:0.381))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.224:-0.224:-0.224))
+    (HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
+    (HOLD (negedge D) (posedge CLK) (-0.017:-0.017:-0.017))
+    (SETUP (posedge D) (posedge CLK) (0.045:0.045:0.045))
+    (SETUP (negedge D) (posedge CLK) (0.085:0.085:0.085))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_4")
+  (INSTANCE _6091_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.611:0.611:0.611) (0.634:0.634:0.634))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.447:0.447:0.447))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.166:-0.166:-0.166))
+    (HOLD (posedge D) (posedge CLK) (-0.013:-0.013:-0.013))
+    (HOLD (negedge D) (posedge CLK) (0.001:0.001:0.001))
+    (SETUP (posedge D) (posedge CLK) (0.039:0.039:0.039))
+    (SETUP (negedge D) (posedge CLK) (0.071:0.071:0.071))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_4")
+  (INSTANCE _6092_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.650:0.650:0.650) (0.653:0.653:0.653))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.386:0.386:0.386))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.220:-0.220:-0.220))
+    (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018))
+    (HOLD (negedge D) (posedge CLK) (-0.006:-0.006:-0.006))
+    (SETUP (posedge D) (posedge CLK) (0.044:0.044:0.044))
+    (SETUP (negedge D) (posedge CLK) (0.078:0.078:0.078))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+  (INSTANCE _6093_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.554:0.554:0.554) (0.546:0.546:0.546))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.386:0.386:0.386))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.224:-0.224:-0.224))
+    (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018))
+    (HOLD (negedge D) (posedge CLK) (-0.007:-0.007:-0.007))
+    (SETUP (posedge D) (posedge CLK) (0.045:0.045:0.045))
+    (SETUP (negedge D) (posedge CLK) (0.079:0.079:0.079))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+  (INSTANCE _6094_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.571:0.571:0.571) (0.560:0.560:0.560))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.447:0.447:0.447))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.175:-0.175:-0.175))
+    (HOLD (posedge D) (posedge CLK) (-0.015:-0.015:-0.015))
+    (HOLD (negedge D) (posedge CLK) (-0.001:-0.001:-0.001))
+    (SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041))
+    (SETUP (negedge D) (posedge CLK) (0.073:0.073:0.073))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6095_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.391:0.391:0.391) (0.420:0.420:0.420))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.386:0.386:0.386))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.240:-0.240:-0.240))
+    (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018))
+    (HOLD (negedge D) (posedge CLK) (-0.010:-0.010:-0.010))
+    (SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041))
+    (SETUP (negedge D) (posedge CLK) (0.078:0.078:0.078))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_4")
+  (INSTANCE _6096_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.648:0.648:0.648) (0.651:0.651:0.651))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.386:0.386:0.386))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.220:-0.220:-0.220))
+    (HOLD (posedge D) (posedge CLK) (-0.017:-0.017:-0.017))
+    (HOLD (negedge D) (posedge CLK) (-0.006:-0.006:-0.006))
+    (SETUP (posedge D) (posedge CLK) (0.044:0.044:0.044))
+    (SETUP (negedge D) (posedge CLK) (0.077:0.077:0.077))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+  (INSTANCE _6097_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.548:0.548:0.548) (0.544:0.544:0.544))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.386:0.386:0.386))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.224:-0.224:-0.224))
+    (HOLD (posedge D) (posedge CLK) (-0.017:-0.017:-0.017))
+    (HOLD (negedge D) (posedge CLK) (-0.006:-0.006:-0.006))
+    (SETUP (posedge D) (posedge CLK) (0.044:0.044:0.044))
+    (SETUP (negedge D) (posedge CLK) (0.078:0.078:0.078))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_4")
+  (INSTANCE _6098_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.595:0.595:0.595) (0.623:0.623:0.623))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.386:0.386:0.386))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.220:-0.220:-0.220))
+    (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018))
+    (HOLD (negedge D) (posedge CLK) (-0.006:-0.006:-0.006))
+    (SETUP (posedge D) (posedge CLK) (0.044:0.044:0.044))
+    (SETUP (negedge D) (posedge CLK) (0.078:0.078:0.078))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_4")
+  (INSTANCE _6099_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.556:0.556:0.556) (0.596:0.596:0.596))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.387:0.387:0.387))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.203:-0.203:-0.203))
+    (HOLD (posedge D) (posedge CLK) (-0.022:-0.022:-0.022))
+    (HOLD (negedge D) (posedge CLK) (-0.013:-0.013:-0.013))
+    (SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
+    (SETUP (negedge D) (posedge CLK) (0.085:0.085:0.085))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_4")
+  (INSTANCE _6100_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.583:0.583:0.583) (0.621:0.621:0.621))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.427:0.427:0.427))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.200:-0.200:-0.200))
+    (HOLD (posedge D) (posedge CLK) (-0.010:-0.010:-0.010))
+    (HOLD (negedge D) (posedge CLK) (0.005:0.005:0.005))
+    (SETUP (posedge D) (posedge CLK) (0.036:0.036:0.036))
+    (SETUP (negedge D) (posedge CLK) (0.066:0.066:0.066))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6101_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.408:0.408:0.408) (0.436:0.436:0.436))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.427:0.427:0.427))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.227:-0.227:-0.227))
+    (HOLD (posedge D) (posedge CLK) (-0.010:-0.010:-0.010))
+    (HOLD (negedge D) (posedge CLK) (0.002:0.002:0.002))
+    (SETUP (posedge D) (posedge CLK) (0.033:0.033:0.033))
+    (SETUP (negedge D) (posedge CLK) (0.066:0.066:0.066))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6102_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.428:0.428:0.428) (0.445:0.445:0.445))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.383:0.383:0.383))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.237:-0.237:-0.237))
+    (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018))
+    (HOLD (negedge D) (posedge CLK) (-0.011:-0.011:-0.011))
+    (SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
+    (SETUP (negedge D) (posedge CLK) (0.079:0.079:0.079))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6103_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.444:0.444:0.444) (0.455:0.455:0.455))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.383:0.383:0.383))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.237:-0.237:-0.237))
+    (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018))
+    (HOLD (negedge D) (posedge CLK) (-0.011:-0.011:-0.011))
+    (SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
+    (SETUP (negedge D) (posedge CLK) (0.079:0.079:0.079))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_4")
+  (INSTANCE _6104_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.668:0.668:0.668) (0.669:0.669:0.669))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.427:0.427:0.427))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.200:-0.200:-0.200))
+    (HOLD (posedge D) (posedge CLK) (-0.010:-0.010:-0.010))
+    (HOLD (negedge D) (posedge CLK) (0.006:0.006:0.006))
+    (SETUP (posedge D) (posedge CLK) (0.036:0.036:0.036))
+    (SETUP (negedge D) (posedge CLK) (0.066:0.066:0.066))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_4")
+  (INSTANCE _6105_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.622:0.622:0.622) (0.644:0.644:0.644))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.427:0.427:0.427))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.200:-0.200:-0.200))
+    (HOLD (posedge D) (posedge CLK) (-0.011:-0.011:-0.011))
+    (HOLD (negedge D) (posedge CLK) (0.005:0.005:0.005))
+    (SETUP (posedge D) (posedge CLK) (0.037:0.037:0.037))
+    (SETUP (negedge D) (posedge CLK) (0.067:0.067:0.067))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_4")
+  (INSTANCE _6106_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.672:0.672:0.672) (0.670:0.670:0.670))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.427:0.427:0.427))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.201:-0.201:-0.201))
+    (HOLD (posedge D) (posedge CLK) (-0.012:-0.012:-0.012))
+    (HOLD (negedge D) (posedge CLK) (0.004:0.004:0.004))
+    (SETUP (posedge D) (posedge CLK) (0.038:0.038:0.038))
+    (SETUP (negedge D) (posedge CLK) (0.068:0.068:0.068))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+  (INSTANCE _6107_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.465:0.465:0.465) (0.489:0.489:0.489))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.386:0.386:0.386))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.209:-0.209:-0.209))
+    (HOLD (posedge D) (posedge CLK) (-0.022:-0.022:-0.022))
+    (HOLD (negedge D) (posedge CLK) (-0.012:-0.012:-0.012))
+    (SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
+    (SETUP (negedge D) (posedge CLK) (0.085:0.085:0.085))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_4")
+  (INSTANCE _6108_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.503:0.503:0.503) (0.556:0.556:0.556))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.387:0.387:0.387))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.203:-0.203:-0.203))
+    (HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
+    (HOLD (negedge D) (posedge CLK) (-0.012:-0.012:-0.012))
+    (SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
+    (SETUP (negedge D) (posedge CLK) (0.084:0.084:0.084))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6109_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.505:0.505:0.505) (0.495:0.495:0.495))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.383:0.383:0.383))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.237:-0.237:-0.237))
+    (HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
+    (HOLD (negedge D) (posedge CLK) (-0.012:-0.012:-0.012))
+    (SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
+    (SETUP (negedge D) (posedge CLK) (0.079:0.079:0.079))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6110_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.420:0.420:0.420) (0.440:0.440:0.440))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.383:0.383:0.383))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.237:-0.237:-0.237))
+    (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018))
+    (HOLD (negedge D) (posedge CLK) (-0.011:-0.011:-0.011))
+    (SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
+    (SETUP (negedge D) (posedge CLK) (0.079:0.079:0.079))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_4")
+  (INSTANCE _6111_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.517:0.517:0.517) (0.568:0.568:0.568))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.383:0.383:0.383))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.216:-0.216:-0.216))
+    (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018))
+    (HOLD (negedge D) (posedge CLK) (-0.007:-0.007:-0.007))
+    (SETUP (posedge D) (posedge CLK) (0.045:0.045:0.045))
+    (SETUP (negedge D) (posedge CLK) (0.079:0.079:0.079))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_4")
+  (INSTANCE _6112_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.691:0.691:0.691) (0.691:0.691:0.691))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.468:0.468:0.468))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.201:-0.201:-0.201))
+    (HOLD (posedge D) (posedge CLK) (-0.001:-0.001:-0.001))
+    (HOLD (negedge D) (posedge CLK) (0.021:0.021:0.021))
+    (SETUP (posedge D) (posedge CLK) (0.026:0.026:0.026))
+    (SETUP (negedge D) (posedge CLK) (0.050:0.050:0.050))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_4")
+  (INSTANCE _6113_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.675:0.675:0.675) (0.681:0.681:0.681))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.468:0.468:0.468))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.201:-0.201:-0.201))
+    (HOLD (posedge D) (posedge CLK) (-0.000:-0.000:-0.000))
+    (HOLD (negedge D) (posedge CLK) (0.022:0.022:0.022))
+    (SETUP (posedge D) (posedge CLK) (0.025:0.025:0.025))
+    (SETUP (negedge D) (posedge CLK) (0.049:0.049:0.049))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_4")
+  (INSTANCE _6114_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.618:0.618:0.618) (0.650:0.650:0.650))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.468:0.468:0.468))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.201:-0.201:-0.201))
+    (HOLD (posedge D) (posedge CLK) (-0.001:-0.001:-0.001))
+    (HOLD (negedge D) (posedge CLK) (0.021:0.021:0.021))
+    (SETUP (posedge D) (posedge CLK) (0.026:0.026:0.026))
+    (SETUP (negedge D) (posedge CLK) (0.050:0.050:0.050))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_4")
+  (INSTANCE _6115_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.548:0.548:0.548) (0.600:0.600:0.600))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.458:0.458:0.458))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.206:-0.206:-0.206))
+    (HOLD (posedge D) (posedge CLK) (-0.002:-0.002:-0.002))
+    (HOLD (negedge D) (posedge CLK) (0.020:0.020:0.020))
+    (SETUP (posedge D) (posedge CLK) (0.026:0.026:0.026))
+    (SETUP (negedge D) (posedge CLK) (0.052:0.052:0.052))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6116_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.434:0.434:0.434) (0.462:0.462:0.462))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.457:0.457:0.457))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.236:-0.236:-0.236))
+    (HOLD (posedge D) (posedge CLK) (-0.003:-0.003:-0.003))
+    (HOLD (negedge D) (posedge CLK) (0.015:0.015:0.015))
+    (SETUP (posedge D) (posedge CLK) (0.024:0.024:0.024))
+    (SETUP (negedge D) (posedge CLK) (0.053:0.053:0.053))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6117_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.425:0.425:0.425) (0.455:0.455:0.455))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.457:0.457:0.457))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.236:-0.236:-0.236))
+    (HOLD (posedge D) (posedge CLK) (-0.002:-0.002:-0.002))
+    (HOLD (negedge D) (posedge CLK) (0.016:0.016:0.016))
+    (SETUP (posedge D) (posedge CLK) (0.024:0.024:0.024))
+    (SETUP (negedge D) (posedge CLK) (0.052:0.052:0.052))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6118_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.425:0.425:0.425) (0.453:0.453:0.453))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.457:0.457:0.457))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.236:-0.236:-0.236))
+    (HOLD (posedge D) (posedge CLK) (-0.005:-0.005:-0.005))
+    (HOLD (negedge D) (posedge CLK) (0.013:0.013:0.013))
+    (SETUP (posedge D) (posedge CLK) (0.027:0.027:0.027))
+    (SETUP (negedge D) (posedge CLK) (0.055:0.055:0.055))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6119_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.459:0.459:0.459) (0.478:0.478:0.478))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.457:0.457:0.457))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.236:-0.236:-0.236))
+    (HOLD (posedge D) (posedge CLK) (-0.003:-0.003:-0.003))
+    (HOLD (negedge D) (posedge CLK) (0.015:0.015:0.015))
+    (SETUP (posedge D) (posedge CLK) (0.025:0.025:0.025))
+    (SETUP (negedge D) (posedge CLK) (0.053:0.053:0.053))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6120_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.407:0.407:0.407) (0.441:0.441:0.441))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.457:0.457:0.457))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.236:-0.236:-0.236))
+    (HOLD (posedge D) (posedge CLK) (-0.002:-0.002:-0.002))
+    (HOLD (negedge D) (posedge CLK) (0.016:0.016:0.016))
+    (SETUP (posedge D) (posedge CLK) (0.024:0.024:0.024))
+    (SETUP (negedge D) (posedge CLK) (0.052:0.052:0.052))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_4")
+  (INSTANCE _6121_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.580:0.580:0.580) (0.629:0.629:0.629))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.521:0.521:0.521))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.223:-0.223:-0.223))
+    (HOLD (posedge D) (posedge CLK) (0.017:0.017:0.017))
+    (HOLD (negedge D) (posedge CLK) (0.051:0.051:0.051))
+    (SETUP (posedge D) (posedge CLK) (0.006:0.006:0.006))
+    (SETUP (negedge D) (posedge CLK) (0.020:0.020:0.020))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6122_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.430:0.430:0.430) (0.463:0.463:0.463))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.521:0.521:0.521))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.258:-0.258:-0.258))
+    (HOLD (posedge D) (posedge CLK) (0.016:0.016:0.016))
+    (HOLD (negedge D) (posedge CLK) (0.047:0.047:0.047))
+    (SETUP (posedge D) (posedge CLK) (0.004:0.004:0.004))
+    (SETUP (negedge D) (posedge CLK) (0.021:0.021:0.021))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_4")
+  (INSTANCE _6123_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.629:0.629:0.629) (0.646:0.646:0.646))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.427:0.427:0.427))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.200:-0.200:-0.200))
+    (HOLD (posedge D) (posedge CLK) (-0.011:-0.011:-0.011))
+    (HOLD (negedge D) (posedge CLK) (0.005:0.005:0.005))
+    (SETUP (posedge D) (posedge CLK) (0.037:0.037:0.037))
+    (SETUP (negedge D) (posedge CLK) (0.067:0.067:0.067))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6124_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.366:0.366:0.366) (0.400:0.400:0.400))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.386:0.386:0.386))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.225:-0.225:-0.225))
+    (HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
+    (HOLD (negedge D) (posedge CLK) (-0.015:-0.015:-0.015))
+    (SETUP (posedge D) (posedge CLK) (0.044:0.044:0.044))
+    (SETUP (negedge D) (posedge CLK) (0.083:0.083:0.083))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6125_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.394:0.394:0.394) (0.421:0.421:0.421))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.386:0.386:0.386))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.225:-0.225:-0.225))
+    (HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
+    (HOLD (negedge D) (posedge CLK) (-0.015:-0.015:-0.015))
+    (SETUP (posedge D) (posedge CLK) (0.045:0.045:0.045))
+    (SETUP (negedge D) (posedge CLK) (0.083:0.083:0.083))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6126_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.483:0.483:0.483) (0.488:0.488:0.488))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.427:0.427:0.427))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.227:-0.227:-0.227))
+    (HOLD (posedge D) (posedge CLK) (-0.011:-0.011:-0.011))
+    (HOLD (negedge D) (posedge CLK) (0.001:0.001:0.001))
+    (SETUP (posedge D) (posedge CLK) (0.033:0.033:0.033))
+    (SETUP (negedge D) (posedge CLK) (0.067:0.067:0.067))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6127_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.503:0.503:0.503) (0.501:0.501:0.501))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.427:0.427:0.427))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.227:-0.227:-0.227))
+    (HOLD (posedge D) (posedge CLK) (-0.012:-0.012:-0.012))
+    (HOLD (negedge D) (posedge CLK) (-0.000:-0.000:-0.000))
+    (SETUP (posedge D) (posedge CLK) (0.035:0.035:0.035))
+    (SETUP (negedge D) (posedge CLK) (0.068:0.068:0.068))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_4")
+  (INSTANCE _6128_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.594:0.594:0.594) (0.626:0.626:0.626))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.427:0.427:0.427))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.200:-0.200:-0.200))
+    (HOLD (posedge D) (posedge CLK) (-0.013:-0.013:-0.013))
+    (HOLD (negedge D) (posedge CLK) (0.003:0.003:0.003))
+    (SETUP (posedge D) (posedge CLK) (0.039:0.039:0.039))
+    (SETUP (negedge D) (posedge CLK) (0.069:0.069:0.069))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6129_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.463:0.463:0.463) (0.473:0.473:0.473))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.427:0.427:0.427))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.227:-0.227:-0.227))
+    (HOLD (posedge D) (posedge CLK) (-0.010:-0.010:-0.010))
+    (HOLD (negedge D) (posedge CLK) (0.002:0.002:0.002))
+    (SETUP (posedge D) (posedge CLK) (0.033:0.033:0.033))
+    (SETUP (negedge D) (posedge CLK) (0.066:0.066:0.066))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6130_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.442:0.442:0.442) (0.467:0.467:0.467))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.457:0.457:0.457))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.236:-0.236:-0.236))
+    (HOLD (posedge D) (posedge CLK) (-0.004:-0.004:-0.004))
+    (HOLD (negedge D) (posedge CLK) (0.013:0.013:0.013))
+    (SETUP (posedge D) (posedge CLK) (0.026:0.026:0.026))
+    (SETUP (negedge D) (posedge CLK) (0.055:0.055:0.055))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_4")
+  (INSTANCE _6131_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.628:0.628:0.628) (0.643:0.643:0.643))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.447:0.447:0.447))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.166:-0.166:-0.166))
+    (HOLD (posedge D) (posedge CLK) (-0.013:-0.013:-0.013))
+    (HOLD (negedge D) (posedge CLK) (0.001:0.001:0.001))
+    (SETUP (posedge D) (posedge CLK) (0.039:0.039:0.039))
+    (SETUP (negedge D) (posedge CLK) (0.070:0.070:0.070))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6132_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.463:0.463:0.463) (0.472:0.472:0.472))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.447:0.447:0.447))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.198:-0.198:-0.198))
+    (HOLD (posedge D) (posedge CLK) (-0.015:-0.015:-0.015))
+    (HOLD (negedge D) (posedge CLK) (-0.005:-0.005:-0.005))
+    (SETUP (posedge D) (posedge CLK) (0.038:0.038:0.038))
+    (SETUP (negedge D) (posedge CLK) (0.073:0.073:0.073))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6133_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.456:0.456:0.456) (0.468:0.468:0.468))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.447:0.447:0.447))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.198:-0.198:-0.198))
+    (HOLD (posedge D) (posedge CLK) (-0.014:-0.014:-0.014))
+    (HOLD (negedge D) (posedge CLK) (-0.003:-0.003:-0.003))
+    (SETUP (posedge D) (posedge CLK) (0.037:0.037:0.037))
+    (SETUP (negedge D) (posedge CLK) (0.071:0.071:0.071))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6134_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.396:0.396:0.396) (0.423:0.423:0.423))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.381:0.381:0.381))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.224:-0.224:-0.224))
+    (HOLD (posedge D) (posedge CLK) (-0.022:-0.022:-0.022))
+    (HOLD (negedge D) (posedge CLK) (-0.017:-0.017:-0.017))
+    (SETUP (posedge D) (posedge CLK) (0.046:0.046:0.046))
+    (SETUP (negedge D) (posedge CLK) (0.085:0.085:0.085))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+  (INSTANCE _6135_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.453:0.453:0.453) (0.479:0.479:0.479))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.381:0.381:0.381))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.208:-0.208:-0.208))
+    (HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024))
+    (HOLD (negedge D) (posedge CLK) (-0.016:-0.016:-0.016))
+    (SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
+    (SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6136_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.481:0.481:0.481) (0.479:0.479:0.479))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.381:0.381:0.381))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.224:-0.224:-0.224))
+    (HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024))
+    (HOLD (negedge D) (posedge CLK) (-0.020:-0.020:-0.020))
+    (SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
+    (SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_4")
+  (INSTANCE _6137_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.606:0.606:0.606) (0.627:0.627:0.627))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.386:0.386:0.386))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.220:-0.220:-0.220))
+    (HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
+    (HOLD (negedge D) (posedge CLK) (-0.009:-0.009:-0.009))
+    (SETUP (posedge D) (posedge CLK) (0.047:0.047:0.047))
+    (SETUP (negedge D) (posedge CLK) (0.080:0.080:0.080))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_4")
+  (INSTANCE _6138_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.598:0.598:0.598) (0.619:0.619:0.619))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.381:0.381:0.381))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.203:-0.203:-0.203))
+    (HOLD (posedge D) (posedge CLK) (-0.023:-0.023:-0.023))
+    (HOLD (negedge D) (posedge CLK) (-0.015:-0.015:-0.015))
+    (SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
+    (SETUP (negedge D) (posedge CLK) (0.086:0.086:0.086))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6139_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.512:0.512:0.512) (0.512:0.512:0.512))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.468:0.468:0.468))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.232:-0.232:-0.232))
+    (HOLD (posedge D) (posedge CLK) (-0.001:-0.001:-0.001))
+    (HOLD (negedge D) (posedge CLK) (0.018:0.018:0.018))
+    (SETUP (posedge D) (posedge CLK) (0.023:0.023:0.023))
+    (SETUP (negedge D) (posedge CLK) (0.050:0.050:0.050))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_4")
+  (INSTANCE _6140_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.502:0.502:0.502) (0.556:0.556:0.556))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.383:0.383:0.383))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.216:-0.216:-0.216))
+    (HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
+    (HOLD (negedge D) (posedge CLK) (-0.009:-0.009:-0.009))
+    (SETUP (posedge D) (posedge CLK) (0.046:0.046:0.046))
+    (SETUP (negedge D) (posedge CLK) (0.080:0.080:0.080))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6141_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.427:0.427:0.427) (0.445:0.445:0.445))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.383:0.383:0.383))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.237:-0.237:-0.237))
+    (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018))
+    (HOLD (negedge D) (posedge CLK) (-0.011:-0.011:-0.011))
+    (SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041))
+    (SETUP (negedge D) (posedge CLK) (0.079:0.079:0.079))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6142_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.373:0.373:0.373) (0.405:0.405:0.405))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.383:0.383:0.383))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.237:-0.237:-0.237))
+    (HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
+    (HOLD (negedge D) (posedge CLK) (-0.013:-0.013:-0.013))
+    (SETUP (posedge D) (posedge CLK) (0.044:0.044:0.044))
+    (SETUP (negedge D) (posedge CLK) (0.081:0.081:0.081))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6143_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.374:0.374:0.374) (0.407:0.407:0.407))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.383:0.383:0.383))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.237:-0.237:-0.237))
+    (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018))
+    (HOLD (negedge D) (posedge CLK) (-0.011:-0.011:-0.011))
+    (SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041))
+    (SETUP (negedge D) (posedge CLK) (0.079:0.079:0.079))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+  (INSTANCE _6144_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.474:0.474:0.474) (0.496:0.496:0.496))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.383:0.383:0.383))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.221:-0.221:-0.221))
+    (HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
+    (HOLD (negedge D) (posedge CLK) (-0.008:-0.008:-0.008))
+    (SETUP (posedge D) (posedge CLK) (0.046:0.046:0.046))
+    (SETUP (negedge D) (posedge CLK) (0.081:0.081:0.081))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+  (INSTANCE _6145_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.490:0.490:0.490) (0.517:0.517:0.517))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.468:0.468:0.468))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.210:-0.210:-0.210))
+    (HOLD (posedge D) (posedge CLK) (-0.001:-0.001:-0.001))
+    (HOLD (negedge D) (posedge CLK) (0.022:0.022:0.022))
+    (SETUP (posedge D) (posedge CLK) (0.026:0.026:0.026))
+    (SETUP (negedge D) (posedge CLK) (0.050:0.050:0.050))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__dfrtp_1")
+  (INSTANCE _6146_)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH CLK Q (0.434:0.434:0.434) (0.461:0.461:0.461))
+    (IOPATH RESET_B Q () (0.000:0.000:0.000))
+   )
+  )
+  (TIMINGCHECK
+    (REMOVAL (posedge RESET_B) (posedge CLK) (0.468:0.468:0.468))
+    (RECOVERY (posedge RESET_B) (posedge CLK) (-0.232:-0.232:-0.232))
+    (HOLD (posedge D) (posedge CLK) (-0.003:-0.003:-0.003))
+    (HOLD (negedge D) (posedge CLK) (0.016:0.016:0.016))
+    (SETUP (posedge D) (posedge CLK) (0.025:0.025:0.025))
+    (SETUP (negedge D) (posedge CLK) (0.052:0.052:0.052))
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE input1)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.315:0.315:0.315) (0.256:0.256:0.256))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+  (INSTANCE input2)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.179:0.179:0.179) (0.157:0.157:0.157))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE input3)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.212:0.212:0.212) (0.176:0.176:0.176))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE input4)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.270:0.270:0.270) (0.205:0.205:0.205))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE input5)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.293:0.293:0.293) (0.241:0.241:0.241))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE input6)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.273:0.273:0.273) (0.207:0.207:0.207))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE input7)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.321:0.321:0.321) (0.226:0.226:0.226))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_6")
+  (INSTANCE input8)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.274:0.274:0.274) (0.177:0.177:0.177))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_6")
+  (INSTANCE input9)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.269:0.269:0.269) (0.175:0.175:0.175))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_6")
+  (INSTANCE input10)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.250:0.250:0.250) (0.167:0.167:0.167))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_6")
+  (INSTANCE input11)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.263:0.263:0.263) (0.169:0.169:0.169))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_8")
+  (INSTANCE input12)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.223:0.223:0.223) (0.155:0.155:0.155))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_8")
+  (INSTANCE input13)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.231:0.231:0.231) (0.157:0.157:0.157))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_8")
+  (INSTANCE input14)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.225:0.225:0.225) (0.153:0.153:0.153))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_8")
+  (INSTANCE input15)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.192:0.192:0.192) (0.146:0.146:0.146))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_8")
+  (INSTANCE input16)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.195:0.195:0.195) (0.146:0.146:0.146))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE output17)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.245:0.245:0.245) (0.204:0.206:0.208))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE output18)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.253:0.253:0.254) (0.213:0.218:0.223))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE output19)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.298:0.299:0.300) (0.206:0.219:0.232))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE output20)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.244:0.245:0.246) (0.207:0.213:0.218))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE output21)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.294:0.294:0.295) (0.200:0.215:0.230))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE output22)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.336:0.339:0.342) (0.219:0.250:0.280))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE output23)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.336:0.338:0.341) (0.211:0.249:0.286))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE output24)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.284:0.284:0.284) (0.230:0.234:0.237))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE output25)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.244:0.244:0.245) (0.202:0.209:0.215))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE output26)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.280:0.280:0.280) (0.199:0.206:0.214))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE output27)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.281:0.283:0.285) (0.187:0.196:0.205))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE output28)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.197:0.197:0.197) (0.171:0.171:0.171))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE output29)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.345:0.346:0.346) (0.213:0.229:0.246))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE output30)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.264:0.264:0.264) (0.219:0.221:0.223))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE output31)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.271:0.271:0.271) (0.225:0.227:0.229))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE output32)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.251:0.251:0.251) (0.208:0.210:0.212))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE output33)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.248:0.248:0.248) (0.210:0.215:0.220))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE fanout34)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.338:0.338:0.338) (0.290:0.290:0.290))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE fanout35)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.414:0.414:0.414) (0.330:0.330:0.330))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE fanout36)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.263:0.263:0.263) (0.240:0.240:0.240))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+  (INSTANCE fanout37)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.309:0.309:0.309) (0.259:0.259:0.259))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+  (INSTANCE fanout38)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.266:0.266:0.266) (0.225:0.225:0.225))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE fanout39)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.246:0.246:0.246) (0.216:0.216:0.216))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+  (INSTANCE fanout40)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.216:0.216:0.216) (0.195:0.195:0.195))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+  (INSTANCE fanout41)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.303:0.303:0.303) (0.253:0.253:0.253))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE fanout42)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.422:0.422:0.422) (0.290:0.290:0.290))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE fanout43)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.338:0.338:0.338) (0.260:0.260:0.260))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_4")
+  (INSTANCE fanout44)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.393:0.393:0.393) (0.304:0.304:0.304))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE fanout45)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.314:0.314:0.314) (0.258:0.258:0.258))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE fanout46)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.361:0.361:0.361) (0.274:0.274:0.274))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+  (INSTANCE fanout47)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.292:0.292:0.292) (0.245:0.245:0.245))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
+  (INSTANCE fanout48)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.316:0.316:0.316) (0.261:0.261:0.261))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE fanout49)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.286:0.286:0.286) (0.237:0.237:0.237))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE fanout50)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.418:0.418:0.418) (0.307:0.307:0.307))
+   )
+  )
+ )
+ (CELL
+  (CELLTYPE "sky130_fd_sc_hd__buf_2")
+  (INSTANCE fanout51)
+  (DELAY
+   (ABSOLUTE
+    (IOPATH A X (0.398:0.398:0.398) (0.289:0.289:0.289))
+   )
+  )
+ )
+)
diff --git a/sdf/user_project_wrapper.sdf b/sdf/user_project_wrapper.sdf
new file mode 100644
index 0000000..eb95373
--- /dev/null
+++ b/sdf/user_project_wrapper.sdf
@@ -0,0 +1,38 @@
+(DELAYFILE
+ (SDFVERSION "3.0")
+ (DESIGN "user_project_wrapper")
+ (DATE "Thu Dec 22 05:45:04 2022")
+ (VENDOR "Parallax")
+ (PROGRAM "STA")
+ (VERSION "2.3.2")
+ (DIVIDER .)
+ (VOLTAGE 1.800::1.800)
+ (PROCESS "1.000::1.000")
+ (TEMPERATURE 25.000::25.000)
+ (TIMESCALE 1ns)
+ (CELL
+  (CELLTYPE "user_project_wrapper")
+  (INSTANCE)
+  (DELAY
+   (ABSOLUTE
+    (INTERCONNECT io_in[22] puf1.ipulse (0.828:0.828:0.828) (0.479:0.479:0.479))
+    (INTERCONNECT io_in[23] puf1.ichallenge[0] (1.149:1.149:1.149) (0.703:0.703:0.703))
+    (INTERCONNECT io_in[24] puf1.ichallenge[1] (1.452:1.452:1.452) (0.901:0.901:0.901))
+    (INTERCONNECT io_in[25] puf1.ichallenge[2] (1.505:1.505:1.505) (0.906:0.906:0.906))
+    (INTERCONNECT io_in[26] puf1.ichallenge[3] (1.359:1.359:1.359) (0.804:0.804:0.804))
+    (INTERCONNECT io_in[27] puf1.ichallenge[4] (1.207:1.207:1.207) (0.700:0.700:0.700))
+    (INTERCONNECT io_in[28] puf1.ichallenge[5] (1.049:1.049:1.049) (0.599:0.599:0.599))
+    (INTERCONNECT io_in[29] puf1.ichallenge[6] (0.696:0.696:0.696) (0.383:0.383:0.383))
+    (INTERCONNECT io_in[30] puf1.ichallenge[7] (0.788:0.788:0.788) (0.444:0.444:0.444))
+    (INTERCONNECT io_in[31] puf1.ichallenge[8] (0.637:0.637:0.637) (0.339:0.339:0.339))
+    (INTERCONNECT io_in[32] puf1.ichallenge[9] (0.728:0.728:0.728) (0.389:0.389:0.389))
+    (INTERCONNECT io_in[33] puf1.ichallenge[10] (0.788:0.788:0.788) (0.422:0.422:0.422))
+    (INTERCONNECT io_in[34] puf1.ichallenge[11] (0.999:0.999:0.999) (0.537:0.537:0.537))
+    (INTERCONNECT io_in[35] puf1.ichallenge[12] (1.024:1.024:1.024) (0.551:0.551:0.551))
+    (INTERCONNECT io_in[36] puf1.ichallenge[13] (1.120:1.120:1.120) (0.604:0.604:0.604))
+    (INTERCONNECT io_in[37] puf1.ichallenge[14] (1.505:1.505:1.505) (0.979:0.979:0.979))
+    (INTERCONNECT puf1.oresponse io_out[20] (0.144:0.144:0.144))
+   )
+  )
+ )
+)
diff --git a/signoff/arbiterpuf/metrics.csv b/signoff/arbiterpuf/metrics.csv
index ffe2e0f..afbbe32 100644
--- a/signoff/arbiterpuf/metrics.csv
+++ b/signoff/arbiterpuf/metrics.csv
@@ -1,2 +1,2 @@
 design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,CoreArea_um^2,power_slowest_internal_uW,power_slowest_switching_uW,power_slowest_leakage_uW,power_typical_internal_uW,power_typical_switching_uW,power_typical_leakage_uW,power_fastest_internal_uW,power_fastest_switching_uW,power_fastest_leakage_uW,critical_path_ns,suggested_clock_period,suggested_clock_frequency,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GRT_ADJUSTMENT,STD_CELL_LIBRARY,DIODE_INSERTION_STRATEGY

-/home/radhe/project/matrix_multiply_mpw8/openlane/arbiterpuf,arbiterpuf,22_12_21_10_47,flow completed,0h10m57s0ms,0h7m23s0ms,13.88888888888889,0.16,6.25,0.01,506.78,1,0,0,0,0,0,0,0,-1,0,-1,-1,410,19,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,404746.0,0.0,0.14,0.01,0.0,0.0,-1,141,204,141,204,0,0,0,1,0,1,0,0,0,0,0,0,-1,-1,-1,278,2115,0,2393,146959.69600000003,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,10.0,100.0,10,AREA 0,10,45,1,153.6,153.18,0.45,0.3,sky130_fd_sc_hd,4

+/home/radhe/project/matrix_multiply_mpw8/openlane/arbiterpuf,arbiterpuf,22_12_22_10_48,flow completed,0h10m33s0ms,0h6m6s0ms,13.88888888888889,0.16,6.25,0.01,506.91,1,0,0,0,0,0,0,0,-1,0,-1,-1,410,19,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,404746.0,0.0,0.14,0.01,0.0,0.0,-1,141,204,141,204,0,0,0,1,0,1,0,0,0,0,0,0,-1,-1,-1,278,2115,0,2393,146959.69600000003,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,10.0,100.0,10,AREA 0,10,45,1,153.6,153.18,0.45,0.3,sky130_fd_sc_hd,4

diff --git a/signoff/user_project_wrapper/metrics.csv b/signoff/user_project_wrapper/metrics.csv
index c413d00..254d608 100644
--- a/signoff/user_project_wrapper/metrics.csv
+++ b/signoff/user_project_wrapper/metrics.csv
@@ -1,2 +1,2 @@
 design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,CoreArea_um^2,power_slowest_internal_uW,power_slowest_switching_uW,power_slowest_leakage_uW,power_typical_internal_uW,power_typical_switching_uW,power_typical_leakage_uW,power_fastest_internal_uW,power_fastest_switching_uW,power_fastest_leakage_uW,critical_path_ns,suggested_clock_period,suggested_clock_frequency,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GRT_ADJUSTMENT,STD_CELL_LIBRARY,DIODE_INSERTION_STRATEGY

-/home/radhe/project/matrix_multiply_mpw8/openlane/user_project_wrapper,user_project_wrapper,22_12_21_10_59,flow completed,0h19m58s0ms,0h14m49s0ms,-2.0,-1,-1,-1,649.63,1,0,0,0,0,0,0,0,-1,0,-1,-1,38783,48,0.0,-1,0.0,0.0,0.0,0.0,-1,0.0,0.0,0.0,-1,0.0,0.06,0.07,0.08,0.13,-1,22,655,22,655,0,0,0,1,0,0,0,0,0,0,0,0,-1,-1,-1,0,0,0,0,10173980.1536,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,10.0,100.0,10,AREA 0,10,50,1,180,180,0.55,0.3,sky130_fd_sc_hd,0

+/home/radhe/project/matrix_multiply_mpw8/openlane/user_project_wrapper,user_project_wrapper,22_12_22_10_59,flow completed,0h18m47s0ms,0h14m37s0ms,-2.0,-1,-1,-1,649.76,1,0,0,0,0,0,0,0,-1,0,-1,-1,38783,48,0.0,-1,0.0,0.0,0.0,0.0,-1,0.0,0.0,0.0,-1,0.0,0.06,0.07,0.08,0.13,-1,22,655,22,655,0,0,0,1,0,0,0,0,0,0,0,0,-1,-1,-1,0,0,0,0,10173980.1536,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,10.0,100.0,10,AREA 0,10,50,1,180,180,0.55,0.3,sky130_fd_sc_hd,0

diff --git a/spi/lvs/arbiterpuf.spice b/spi/lvs/arbiterpuf.spice
new file mode 100644
index 0000000..b850a91
--- /dev/null
+++ b/spi/lvs/arbiterpuf.spice
@@ -0,0 +1,15107 @@
+* NGSPICE file created from arbiterpuf.ext - technology: sky130A
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__fill_1 abstract view
+.subckt sky130_fd_sc_hd__fill_1 VGND VNB VPB VPWR
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__decap_6 abstract view
+.subckt sky130_fd_sc_hd__decap_6 VGND VNB VPB VPWR
+.ends
+
+* Black-box entry subcircuit for sky130_ef_sc_hd__decap_12 abstract view
+.subckt sky130_ef_sc_hd__decap_12 VGND VNB VPB VPWR
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__tapvpwrvgnd_1 abstract view
+.subckt sky130_fd_sc_hd__tapvpwrvgnd_1 VGND VPWR
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__decap_4 abstract view
+.subckt sky130_fd_sc_hd__decap_4 VGND VNB VPB VPWR
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__decap_3 abstract view
+.subckt sky130_fd_sc_hd__decap_3 VGND VNB VPB VPWR
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__decap_8 abstract view
+.subckt sky130_fd_sc_hd__decap_8 VGND VNB VPB VPWR
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__diode_2 abstract view
+.subckt sky130_fd_sc_hd__diode_2 DIODE VGND VNB VPB VPWR
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__fill_2 abstract view
+.subckt sky130_fd_sc_hd__fill_2 VGND VNB VPB VPWR
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__clkbuf_2 abstract view
+.subckt sky130_fd_sc_hd__clkbuf_2 A VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__dfxtp_1 abstract view
+.subckt sky130_fd_sc_hd__dfxtp_1 CLK D VGND VNB VPB VPWR Q
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__buf_2 abstract view
+.subckt sky130_fd_sc_hd__buf_2 A VGND VNB VPB VPWR X
+.ends
+
+.subckt arbiterpuf ichallenge[0] ichallenge[10] ichallenge[11] ichallenge[12] ichallenge[13]
++ ichallenge[14] ichallenge[15] ichallenge[1] ichallenge[2] ichallenge[3] ichallenge[4]
++ ichallenge[5] ichallenge[6] ichallenge[7] ichallenge[8] ichallenge[9] ipulse oresponse
++ vccd1 vssd1
+XFILLER_79_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_67_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_82_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_27_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_82_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_67_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_82_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_52_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_22_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_136_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_89_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_117_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_104_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_104_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_92_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_85_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1800 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_26_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1833 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1822 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1811 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_14_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_54_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1866 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1855 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1844 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_14_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_26_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1899 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1877 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1888 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_9_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_41_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_127_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_6_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_115_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_95_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_95_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_67_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_64_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_49_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_49_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_64_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_64_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_32_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_20_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_9_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_118_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_133_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_87_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_59_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_74_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_67_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_83_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_27_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_63_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_43_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1107 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1118 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1129 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_23_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_109_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_136_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_12_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_128_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_120_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_77_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_93_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_92_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_86_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XTAP_2320 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_46_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2353 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2342 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2331 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_33_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_46_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2386 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2375 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2364 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1641 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1630 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1685 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1674 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1663 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1652 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1696 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_41_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_10_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_110_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_65_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_49_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_80_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_60_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_20_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_118_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_74_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_68_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_74_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_28_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_83_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_70_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_15_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_28_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_70_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_43_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_130_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_90_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_30_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_129_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_70_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_23_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_135_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_78_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_38_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_80_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_73_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_62_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2161 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2150 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2194 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2172 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2183 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1460 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1482 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1493 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1471 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_9_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_116_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_50_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_97_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_111_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_97_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_111_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_65_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_80_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_25_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_12_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_21_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_52_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_417 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_21_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_60_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_134_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_69_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_121_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_125_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_85_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_669 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_29_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_62_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_55_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_18_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_71_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_70_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_24_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_31_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_129_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_70_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_8_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_8_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_124_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_507 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_3_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_124_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_3_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_518 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_529 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_105_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_79_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_39_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_93_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_38_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_81_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_62_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_34_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_34_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_98_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1290 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_116_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_131_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_89_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_111_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_97_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_84_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_84_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_26_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_52_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_111_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_71_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_21_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_106_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_134_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_20_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_122_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_121_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_106_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_121_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_76_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_76_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_91_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_29_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_63_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_16_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_32_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_44_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_45_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_71_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_129_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_8_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_781 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_113_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_125_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_315 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_304 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_348 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_326 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_337 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_3_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_100_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_359 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_66_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_13_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_26_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_82_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_81_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_66_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_35_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_90_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_135_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_116_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_104_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_89_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_112_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_860 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_871 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_58_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_893 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_882 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_45_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_82_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_14_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_26_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_53_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_127_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_122_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_122_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_1_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_122_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_95_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_1_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_76_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_48_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_64_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_63_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_17_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_72_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_32_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_71_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_32_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_170 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_31_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XPHY_192 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_181 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_117_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_8_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_132_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_86_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_100_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_95_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_39_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_82_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_27_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_55_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_82_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_10_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_22_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_109_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_104_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_104_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_104_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_77_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_86_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_133_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_93_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_690 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_46_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_45_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1834 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1823 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1812 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1801 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_26_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1867 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1856 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1845 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_26_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_54_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1878 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1889 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_9_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_9_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_42_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_10_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_42_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_114_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_107_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_122_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_1_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_95_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_3_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_92_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_67_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_64_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_64_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_83_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_32_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_80_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_68_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_59_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_95_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_83_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_67_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_82_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_27_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_82_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1108 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1119 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_35_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_51_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_136_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_136_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_12_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_136_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_128_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_105_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_88_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_104_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_86_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_74_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_19_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_46_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2310 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2343 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2332 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2321 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2387 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2376 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2365 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2354 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1642 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1631 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1620 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1675 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1664 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1653 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_53_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XTAP_1686 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1697 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_41_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_128_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_10_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_115_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_108_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_123_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_110_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_96_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_1_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_110_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_65_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_49_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_64_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_80_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_64_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_33_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_32_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_33_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_134_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_118_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_99_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_59_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_56_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_28_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_83_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_67_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_83_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_43_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_82_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_90_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_70_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_23_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_128_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_128_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_136_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_105_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_2_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_93_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_59_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_47_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_93_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_62_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2162 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2151 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2140 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_2195 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2173 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2184 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1450 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_64_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_15_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1483 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1472 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1461 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_15_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1494 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_128_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_116_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_115_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_43_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_97_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_96_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_38_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_65_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_49_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_65_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_64_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_52_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_100_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_80_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_20_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_21_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_119_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_134_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_121_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_130_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_88_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_102_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_85_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_29_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_90_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_18_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_43_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_70_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_70_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_12_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_34_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_129_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_8_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_112_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_124_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_508 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_3_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_519 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_79_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_78_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_93_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_75_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_46_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_62_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_91_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1291 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1280 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_116_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_103_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_112_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_97_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_111_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_97_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_72_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_26_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_52_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_111_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_41_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_52_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_21_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_21_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_8
+XFILLER_20_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_136_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_106_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_96_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_121_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_0_445 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_76_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_76_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_29_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_29_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_71_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_16_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_28_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_71_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_31_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_61_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_8_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_129_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_8_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_8_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_305 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_3_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_349 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_316 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_327 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_338 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_79_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_39_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_39_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_54_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_81_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_81_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_23_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_34_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_100_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_58_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_850 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_861 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_111_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_97_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_66_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_872 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_894 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_883 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_58_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_53_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_81_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_13_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_26_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_53_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_15_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_21_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_135_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_135_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_122_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_122_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_1_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_103_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_0_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_1_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_76_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_63_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_63_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_71_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_32_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_160 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_72_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_32_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_193 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_9_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_87_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_79_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_82_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_39_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_82_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_63_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_35_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_104_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_89_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_117_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_104_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_680 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_691 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_85_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_73_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_18_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_45_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_61_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1824 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1813 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1802 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_26_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1857 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1846 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1835 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_14_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1879 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1868 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_42_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_6_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_42_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_122_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_122_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_95_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_1_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_3_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_95_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_76_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_64_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_64_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_45_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_83_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_32_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_32_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_73_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_9_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_101_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_67_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_27_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_55_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_82_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_27_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_43_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_82_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1109 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_35_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_6_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_88_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_104_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_104_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_120_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_93_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_77_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_46_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_2311 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2300 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2344 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2333 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2322 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2377 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2366 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2355 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1632 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1621 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1610 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_2388 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1676 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1665 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1654 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1643 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1687 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1698 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_128_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_6_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_123_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_37_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_64_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_49_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_64_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_80_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_17_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_33_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_33_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_118_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_133_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_102_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_75_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_59_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_74_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_67_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_83_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_82_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_43_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_83_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_23_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_7_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_99_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_105_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_3_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_120_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_48_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_93_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_48_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2152 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2141 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2130 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_62_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_46_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2174 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2185 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2163 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1451 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1440 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2196 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1484 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1473 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1462 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_30_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1495 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_9_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_80_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_128_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_115_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_57_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_96_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_49_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_80_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_65_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_64_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_65_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_80_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_100_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_33_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_134_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_85_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_83_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_28_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_70_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_70_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_12_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_137_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_8_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_7_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_113_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XTAP_509 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_105_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_39_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_120_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_94_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_93_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_62_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_62_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_61_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_15_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1292 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1281 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1270 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_116_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_97_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_57_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_111_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_97_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_65_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_26_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_111_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_80_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_52_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_33_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_21_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_138_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_21_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_134_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_121_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_121_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_88_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_102_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_76_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_29_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_84_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_29_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_43_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_44_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_71_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_129_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_12_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_8_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_129_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_8_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_113_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_306 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_317 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_328 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_339 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_3_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_94_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_39_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_81_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_47_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_62_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_34_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_15_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_129_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_116_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_89_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_106_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_97_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_840 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_851 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_862 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_58_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_873 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_895 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_884 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_111_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_73_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_66_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_26_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_82_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_26_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_41_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_13_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_21_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_135_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_135_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_122_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_76_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_1_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_0_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_76_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_76_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_63_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_29_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_56_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_44_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_71_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_32_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XPHY_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_150 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_183 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_172 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_9_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_8_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_8_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_113_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_101_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_97_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_86_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_95_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_39_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_55_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_23_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_90_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_35_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_50_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_10_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_104_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_89_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_670 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_133_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_681 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_692 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_73_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1825 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1814 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1803 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1858 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1847 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1836 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_26_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_26_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_53_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1869 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_42_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_6_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_135_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_122_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_1_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_3_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_122_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_67_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_1_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_92_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_72_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_17_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_32_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_32_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_32_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_9_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_9_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_66_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_8_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_114_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_86_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_95_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_82_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_27_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_103_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_82_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_55_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_42_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_82_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_51_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_10_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_109_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_3_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_104_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_104_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_92_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_85_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_74_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2301 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2334 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2323 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2312 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1600 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2378 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2367 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2356 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2345 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1633 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1622 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1611 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_14_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_54_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_2389 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1666 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1655 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1644 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1699 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1677 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1688 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_128_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_6_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_135_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_123_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_123_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_69_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_1_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_64_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_92_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_80_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_64_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_24_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_64_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_33_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_33_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_32_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_0_809 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_102_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_74_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_67_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_83_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_82_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_43_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_82_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_90_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_99_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_105_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_120_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_93_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_74_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_46_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_48_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_62_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_0_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_19_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_46_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2153 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2142 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2131 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2120 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_64_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_15_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_46_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2175 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2186 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2164 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1441 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1430 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_64_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_61_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2197 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1474 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1463 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1452 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_15_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_30_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1485 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1496 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_9_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_30_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_128_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_128_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_115_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_29_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_38_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_49_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_65_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_80_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_64_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_80_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_64_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_33_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_20_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_109_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_133_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_125_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_28_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_56_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_83_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_43_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_12_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_70_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_11_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_34_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_133_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_12_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_125_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_7_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_121_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_112_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_38_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_93_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_47_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_62_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_62_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_61_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1293 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1282 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1271 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1260 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_30_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_128_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_116_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_7_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_115_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_124_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_85_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_57_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_26_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_38_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_65_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_1_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_111_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_80_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_52_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_5_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_401 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_119_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_107_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_134_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_76_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_75_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_29_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_29_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_84_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_83_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_43_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_31_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_129_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_101_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_12_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_8_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_8_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_6_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_113_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_112_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_106_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_318 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_307 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_329 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_86_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_67_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_39_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_62_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_96_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_31_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1090 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_116_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_106_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_98_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_830 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_841 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_852 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_111_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_100_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_97_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_863 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_874 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_885 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_57_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_896 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_26_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_81_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_66_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_65_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_26_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_81_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_80_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_25_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_13_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_15_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_21_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_135_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_126_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_135_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_103_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_76_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_277 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_49_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_76_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_29_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_72_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_71_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_16_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XPHY_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_72_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_140 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_13_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_31_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_184 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_173 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_162 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_8_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_8_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_100_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_97_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_95_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_79_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_39_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_63_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_62_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_63_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_50_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_117_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_108_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_89_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_86_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_58_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_660 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_97_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_671 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_682 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_693 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_133_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_73_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_26_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1815 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1804 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1848 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1837 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1826 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_53_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_1859 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_10_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_21_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_42_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_127_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_6_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_135_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_122_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_1_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_3_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_122_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_77_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_76_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_1_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_92_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_76_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_29_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_83_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_45_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_32_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_44_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_45_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_60_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_32_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_9_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_8_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_9_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_113_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_114_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_95_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_95_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_55_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_82_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_35_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_50_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_109_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_104_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_132_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_104_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_59_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_58_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_74_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_490 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2302 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_37_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_2335 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2324 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2313 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2368 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2357 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2346 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1623 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1612 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1601 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_26_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_27_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2379 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1667 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1656 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1645 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1634 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1678 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1689 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_128_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_6_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_6_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_111_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_1_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_76_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_64_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_92_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_17_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_32_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_32_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_33_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_9_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_114_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_87_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_101_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_110_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_82_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_55_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_130_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_82_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_71_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_24_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_51_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_23_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_124_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_99_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_3_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_133_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_120_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2110 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_58_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_46_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_2143 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2132 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2121 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_74_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_64_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_61_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2176 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2165 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2154 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1442 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1431 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1420 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_64_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_61_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_14_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2198 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2187 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1475 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1464 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1453 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_9_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_15_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_1486 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1497 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_80_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_30_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_128_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_30_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_80_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_128_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_115_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_6_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_124_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_123_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_84_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_38_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_64_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_80_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_64_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_80_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_33_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_100_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_33_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_106_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_133_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_130_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_125_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_83_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_28_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_83_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_43_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_43_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_12_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_12_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_7_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_109_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_50_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_106_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_133_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_121_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_120_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_66_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_115_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_93_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_93_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_46_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_62_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_46_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_61_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XTAP_1250 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_62_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_15_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1283 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1272 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1261 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1294 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_128_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_112_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_41_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_124_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_123_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_65_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_93_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_25_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_38_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_80_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_65_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_1_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_111_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_80_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_41_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_33_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_103_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_102_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_91_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_28_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_83_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_43_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_44_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_12_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_6_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_99_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_106_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_308 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_319 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_121_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_120_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_94_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_75_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_62_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_23_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_62_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_15_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1091 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1080 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_89_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_30_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_129_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_129_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_128_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_116_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_131_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_820 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_831 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_842 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_853 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_97_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_85_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_57_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_864 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_875 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_886 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_897 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_65_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_26_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_81_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_26_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_81_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_41_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_15_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_21_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_119_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_5_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_135_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_134_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_76_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_102_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_76_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_29_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_56_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_29_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_72_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_44_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_152 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_141 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_130 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_31_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_185 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_72_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_12_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_8_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XPHY_196 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_8_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_8_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_113_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_113_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_67_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_39_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_39_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_55_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_63_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_63_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_23_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_116_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_117_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_132_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_58_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_650 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_661 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_133_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_97_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_672 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_683 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_694 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_100_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_39_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1816 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1805 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_26_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1849 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1838 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1827 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_26_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_41_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_6_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_21_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_135_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_108_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_135_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_107_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_3_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_49_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_76_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_76_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_92_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_123_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_29_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_60_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_32_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_9_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_8_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_8_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_87_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_113_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_79_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_95_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_55_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_95_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_63_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_23_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_50_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_50_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_12_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_128_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_132_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_491 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_480 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_74_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_58_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2325 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2314 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2303 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2369 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2358 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2347 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2336 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1624 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1613 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1602 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_42_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1657 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1646 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1635 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1679 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1668 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_53_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_127_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_6_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_6_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_135_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_2_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_78_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_1_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_92_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_76_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_77_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_92_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_60_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_32_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_32_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_71_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_9_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_95_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_82_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_130_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_82_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_24_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_63_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_51_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_11_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_23_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XANTENNA__0__CLK net1 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__diode_2
+XFILLER_125_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_120_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_120_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_74_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_2100 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_74_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_73_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_0_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2144 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2133 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2122 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2111 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_104_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_61_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_27_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2177 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2166 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2155 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1432 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1421 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1410 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_64_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_42_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_2199 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2188 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1465 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1454 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1443 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_9_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1487 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1498 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1476 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_30_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_80_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_128_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_80_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_128_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_6_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_111_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_69_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_2_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_1_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_38_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_64_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_92_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_64_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_80_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_33_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_45_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_33_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_9_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_109_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_125_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_88_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_55_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_71_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_43_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_83_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_24_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_12_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_1_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_120_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_93_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_59_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_74_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_62_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_61_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1240 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_15_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_27_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_70_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1284 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1273 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1262 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1251 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_30_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1295 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_30_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_128_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_128_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_7_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_6_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_115_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_69_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_85_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_38_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_38_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_80_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_65_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_25_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_80_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_80_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_33_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_88_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_29_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_90_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_56_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_83_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_43_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_101_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_12_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_43_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_12_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_61_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_12_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_753 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_138_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_113_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_98_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_309 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_121_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_86_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_67_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_86_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_62_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_47_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_62_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_62_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_15_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1070 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1092 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1081 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_129_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_129_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_131_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_810 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_821 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_832 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_843 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_57_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_854 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_865 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_876 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_85_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_898 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_887 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_72_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_81_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_65_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_26_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_38_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_81_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_80_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_15_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_41_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_107_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_31_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_49_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_84_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_17_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_29_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_44_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_44_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_112_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_44_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_72_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_142 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_131 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_120 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_13_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_175 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_164 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_153 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_197 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_186 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_138_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_8_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_113_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_97_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_121_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_67_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_39_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_94_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_54_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_63_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_47_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_63_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_62_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_0 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_23_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_31_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_129_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_100_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_97_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_86_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_640 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_651 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_133_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_100_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_662 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_673 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_684 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_695 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_66_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_26_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1806 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_26_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1839 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1828 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1817 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_26_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_10_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_21_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_108_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_135_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_5_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_135_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_76_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_92_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_76_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_49_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_76_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_29_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_123_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_60_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_60_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_8_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_8_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_114_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_113_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_86_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_122_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_95_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_94_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_55_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_63_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_10_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_12_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_128_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_129_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_117_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_3_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_86_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_74_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_58_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_492 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_481 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_470 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_58_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_27_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_37_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2326 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2315 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2304 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2359 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2348 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2337 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1614 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1603 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_26_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_27_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_42_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1658 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1647 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1636 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1625 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_41_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1669 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_10_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_127_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_6_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_108_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_6_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_135_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_111_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_104_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_1_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_77_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_94_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_92_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_76_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_92_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_76_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_45_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_32_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_60_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_32_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_9_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_9_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_64_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_114_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_87_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_114_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_56_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_95_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_55_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_70_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_24_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_82_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_23_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_24_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_23_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_109_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_124_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_3_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_74_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2101 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_74_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2134 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2123 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2112 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_2167 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2156 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2145 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1433 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1422 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1411 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1400 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_64_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_61_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_27_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2178 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2189 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1466 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1455 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1444 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_70_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_14_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_120_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1488 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1499 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1477 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_9_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_42_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_80_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_30_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_128_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_127_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_6_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_129_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_6_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_97_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_69_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_111_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_1_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_38_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_77_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_92_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_64_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_61_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_21_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_119_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_9_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_106_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_87_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_96_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_95_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_55_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_43_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_52_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_24_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_51_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_12_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_11_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_124_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_118_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_3_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_133_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_79_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_59_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_120_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_74_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_46_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_131_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1241 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1230 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_43_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1274 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1263 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1252 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_70_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_1296 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1285 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_30_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_128_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_128_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_112_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_27_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_38_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_93_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_92_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_1_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_38_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_93_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_80_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_25_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_37_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_80_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_61_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_33_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_106_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_20_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_136_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_115_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_88_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_417 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_130_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_102_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_29_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_83_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_16_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_28_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_25_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_43_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_12_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_131_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_12_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_6_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_112_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_121_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_120_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_86_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_86_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_74_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_62_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_19_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_90_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_62_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_43_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_15_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_30_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_1060 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1071 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1082 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_129_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_1093 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_129_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_128_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_800 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_811 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_822 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_833 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_844 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_85_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_855 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_866 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_877 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_899 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_888 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_65_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_80_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_81_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_80_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_15_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_33_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_31_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_134_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_88_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_102_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_56_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_72_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_44_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_72_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XPHY_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_121 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_12_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XPHY_110 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_176 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_165 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_154 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_12_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_4_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_21_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_113_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_67_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_121_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_781 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_94_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_47_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_62_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_63_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_62_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_1 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_16_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_94_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_15_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_30_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_129_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_7_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_116_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_131_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_86_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_630 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_641 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_652 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_133_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_100_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_85_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_663 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_674 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_685 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_696 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_39_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_26_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_26_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_38_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_39_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1807 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_54_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1829 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1818 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_26_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_41_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_81_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_41_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_10_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_108_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_108_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_123_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_89_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_49_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_67_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_88_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_76_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_91_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_29_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_91_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_60_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_60_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_12_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_8_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_8_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_99_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_113_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_122_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_121_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_67_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_94_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_83_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_36_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_63_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_23_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_63_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_23_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_132_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_2_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_460 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_493 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_482 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_471 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_86_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_73_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2316 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2305 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_26_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2349 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2338 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2327 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1615 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1604 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_1648 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1637 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1626 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_26_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_42_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1659 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_42_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_41_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_127_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_136_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_10_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_6_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_5_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_108_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_5_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_135_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_96_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_78_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_104_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_76_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_49_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_94_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_92_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_76_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_65_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_91_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_92_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_45_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_60_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_118_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_127_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_9_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_57_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_95_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_95_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_55_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_55_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_71_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_24_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_63_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_24_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_23_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_23_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_109_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_118_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_133_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_132_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_3_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_132_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_101_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_100_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_74_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_290 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_47_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_104_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_73_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2135 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2124 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2113 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2102 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_104_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_74_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_27_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2168 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2157 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2146 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1423 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1412 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1401 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2179 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1456 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1445 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1434 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1489 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1478 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1467 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_80_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_6_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_13_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_6_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_129_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_124_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_136_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_135_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_6_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_111_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_1_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_77_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_37_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_92_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_92_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_60_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1990 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_9_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_115_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_114_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_95_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_29_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_55_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_71_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_24_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_11_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_106_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_133_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_120_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_115_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_87_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_59_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_19_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_74_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_74_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_61_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_1231 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1220 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_27_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1275 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1264 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1253 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1242 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1297 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1286 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_128_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_30_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_7_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_128_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_6_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_112_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_97_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_69_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_111_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_85_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_38_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_93_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_38_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_93_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_80_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_21_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_33_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_115_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_114_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_29_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_88_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_69_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_17_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_43_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_56_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_71_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_43_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_24_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_12_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_61_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_12_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_124_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_3_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_79_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_120_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_10_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_126_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_86_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_67_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_90_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_62_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_15_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_1050 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1061 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1072 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1083 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1094 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_30_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_129_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_128_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_801 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_812 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_823 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_834 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_845 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_856 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_867 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_878 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_889 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_93_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_38_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_80_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_15_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_41_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_80_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_33_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_122_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_1_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_88_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_49_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_72_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_112_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_112_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XPHY_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_122 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_144 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_12_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_199 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_188 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_177 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_12_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_585 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_126_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_125_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_21_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_121_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_121_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_67_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_90_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_62_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XPHY_2 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_23_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_62_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_15_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_30_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_129_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_129_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_131_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_104_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_131_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_620 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_631 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_642 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_86_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XTAP_653 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_664 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_675 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_686 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_697 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_39_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_39_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_54_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_93_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_26_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1819 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1808 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_26_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_81_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_41_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_42_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_10_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_108_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_108_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_103_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_89_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_103_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_49_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_91_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_72_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_83_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_17_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_44_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_60_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_12_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_8_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_5_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_113_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_113_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_121_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_94_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_63_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_63_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_23_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_12_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_129_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_128_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_132_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_113_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_86_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_450 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_86_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_85_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_494 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_483 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_472 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_461 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_37_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_100_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_73_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_39_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2317 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2306 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_2339 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2328 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1605 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_26_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1649 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1638 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1627 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1616 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_26_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_42_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_41_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_10_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_10_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_108_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_135_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_2_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_111_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_103_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_76_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_134_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_18_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_92_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_91_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_76_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_27_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_60_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_60_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_60_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_114_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_99_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_114_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_67_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_83_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_95_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_55_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_70_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_63_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_24_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_23_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_23_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_32_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_117_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_132_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_101_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_86_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_280 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_291 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_104_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_74_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_73_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2125 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2114 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2103 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_104_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_27_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_39_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_2158 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2147 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2136 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_82_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1424 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1413 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1402 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_42_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2169 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_120_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1457 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1446 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1435 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_42_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1479 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1468 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_10_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_6_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_127_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_6_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_108_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_135_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_111_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_97_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_49_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_65_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_92_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_37_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_92_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_92_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_61_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_21_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1980 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1991 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_9_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_114_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_114_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_95_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_55_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_71_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_64_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_24_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_55_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_70_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_24_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_11_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_24_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_50_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_125_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_109_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_3_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_3_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_74_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_19_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_74_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_74_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_27_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_43_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1232 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1221 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1210 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_91_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1265 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1254 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1243 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1287 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1298 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1276 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_7_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_6_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_6_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_112_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_97_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_84_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_93_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_77_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_38_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_93_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_92_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_61_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_21_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_119_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_107_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_20_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_29_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_29_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_56_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_138_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_61_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_125_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_3_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_120_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_66_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_86_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_75_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_59_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_75_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_90_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_74_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_90_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1040 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_35_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_43_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1051 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1062 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1073 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1095 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1084 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_128_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_128_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_112_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_111_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_32_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_813 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_802 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_824 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_835 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_846 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_857 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_868 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_38_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_879 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_93_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_38_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_80_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_80_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_33_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_40_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_102_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_88_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_130_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_102_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_48_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_72_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_72_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_71_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_16_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_112_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_112_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_134 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_123 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_12_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_25_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_101 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_112 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_156 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_145 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_12_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XPHY_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_178 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_9_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_12_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_67_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_121_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_75_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_62_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XPHY_3 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_90_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_62_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_15_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_22_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_30_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_129_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_30_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_129_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_98_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_86_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_610 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_621 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_632 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_643 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_654 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_665 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_676 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_85_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_698 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_687 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_39_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_38_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_39_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_54_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1809 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_41_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_10_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_42_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_108_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_1_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_89_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_88_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_67_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_49_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_88_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_44_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_72_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_44_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_60_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_16_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_12_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_138_361 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_126_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_12_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_113_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_99_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_68_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_121_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_67_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_82_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_94_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_36_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_35_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_36_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_23_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_31_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_129_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_100_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_86_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_451 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_440 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_86_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_484 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_473 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_462 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_85_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_495 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_37_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2307 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_73_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_39_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2329 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2318 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1606 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_82_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_26_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_1639 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1628 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1617 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_26_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_54_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_41_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_42_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_10_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_108_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_10_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_118_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_108_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_108_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_118_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_49_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_64_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_94_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_76_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_18_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_73_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_17_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_18_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_60_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_27_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_33_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_60_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_43_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_122_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_68_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_67_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_55_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_64_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_91_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_63_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_23_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_24_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_32_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_3_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_132_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_132_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_132_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_86_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_100_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_281 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_292 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_46_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_58_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_2126 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2115 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2104 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_104_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_73_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_55_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_2159 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2148 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2137 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1414 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1403 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_70_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_15_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_42_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_82_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_81_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1447 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1436 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1425 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_120_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1469 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1458 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_10_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_129_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_109_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_6_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_135_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_124_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_111_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_104_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_89_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_92_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_37_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_49_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_92_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_73_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_18_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_61_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_60_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1970 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1981 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1992 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_62_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_114_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_114_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_95_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_18_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_37_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_55_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_64_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_63_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_24_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_24_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_124_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_106_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_3_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_132_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_86_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_74_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_19_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_75_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_74_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_55_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1222 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1211 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1200 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_42_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_43_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_1266 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1255 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1244 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1233 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1288 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1299 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1277 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_24_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_11_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_10_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_6_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_6_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_124_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_112_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_97_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_111_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_92_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_37_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_93_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_92_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_45_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_61_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_61_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_21_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_60_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_134_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_2
+XFILLER_134_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_106_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_114_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_29_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_56_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_84_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_56_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_71_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_71_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_37_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_24_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_61_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_24_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_125_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_106_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_4_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_3_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_10_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_10_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_59_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_74_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_74_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_90_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_28_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_90_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_27_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_43_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1030 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_35_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_43_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1041 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1052 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1063 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1074 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1096 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1085 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_128_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_51_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_128_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_7_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_112_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_803 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_814 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_825 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_97_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_836 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_847 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_858 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_111_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_25_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_869 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_93_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_66_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_38_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_93_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_53_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_21_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_119_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_135_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_107_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_1_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_102_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_56_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_56_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_72_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_112_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_72_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_71_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XPHY_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_113 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_25_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_102 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_157 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_146 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_24_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XPHY_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_168 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_12_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_40_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_4_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_125_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_21_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_137_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_4_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_97_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_4_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_95_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_48_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_75_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_90_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_50_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_30_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_30_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_7_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_600 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_611 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_622 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_633 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_98_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_85_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_644 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_655 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_666 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_677 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_699 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_688 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_39_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_26_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_38_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_54_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_93_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_54_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_22_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_108_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_107_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_1_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_131_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_88_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_67_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_83_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_72_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_32_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_12_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_9_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_12_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_32_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_126_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_67_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_121_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_67_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_83_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_90_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_35_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_92_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_31_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_129_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_8_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_113_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_113_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_112_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_59_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_441 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_430 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_86_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_85_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_485 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_474 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_463 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_452 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_86_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_496 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_37_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_39_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2308 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_39_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2319 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1629 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1618 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1607 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_41_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_81_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_41_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_10_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_10_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_108_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_108_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_49_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_89_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_65_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_49_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_18_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_72_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_17_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_60_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_60_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_13_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_41_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_43_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_138_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_67_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_68_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_83_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_23_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_63_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_23_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_129_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_99_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_86_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_100_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_282 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_293 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_47_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_100_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_86_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_73_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_2116 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2105 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_104_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_73_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_64_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_39_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2149 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2138 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2127 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1415 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1404 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1448 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1437 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1426 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_120_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_81_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1459 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_23_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_10_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_10_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_108_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_124_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_108_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_97_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_49_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_65_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_92_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_33_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_45_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_1960 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_21_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1982 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1993 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1971 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_55_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_114_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_68_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_29_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_55_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_83_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_55_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_71_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_36_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_24_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_63_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_24_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_106_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_59_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_3_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_121_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_101_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_74_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_15_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1223 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1212 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1201 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_91_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1256 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1245 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1234 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1289 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1278 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1267 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_6_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_108_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_6_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_97_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_124_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_111_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_111_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_49_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_92_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_65_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_1_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_37_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_92_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_61_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_61_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_60_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_21_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1790 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_119_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_130_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_84_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_29_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_71_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_24_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_24_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_61_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_725 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_138_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_4_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_133_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_10_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_3_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_106_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_79_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_10_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_101_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_47_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_74_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_90_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_74_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_27_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_43_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1020 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1031 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1042 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1053 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1064 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_43_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1075 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1097 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1086 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_51_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_112_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XTAP_804 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_815 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_826 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_111_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_837 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_848 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_859 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_66_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_93_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_38_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_93_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_61_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_119_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_115_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_115_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_84_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_56_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_17_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_29_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_56_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_72_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_112_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_72_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_71_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_125 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_114 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_24_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_103 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_80_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_158 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_25_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_169 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_8_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_138_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_122_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_0_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_90_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_90_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_90_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_5 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_43_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_30_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_8_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_30_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_7_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_98_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_112_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_97_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_601 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_612 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_623 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_634 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_85_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_645 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_656 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_667 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_678 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_689 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_66_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_38_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_93_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_38_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_54_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_62_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_21_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_22_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_42_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_135_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_107_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_1_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_88_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_131_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_67_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_57_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_72_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_83_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_72_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_72_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_12_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_12_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_126_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_5_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_126_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_4_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_95_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_67_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_82_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_91_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_85_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_129_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_117_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_105_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_8_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_7_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_113_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_442 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_431 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_420 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_113_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_86_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_85_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_475 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_464 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_453 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_486 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_497 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_39_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_94_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_85_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_54_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2309 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_39_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_54_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1619 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1608 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_41_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_53_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_10_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_108_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_108_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_1_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_134_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_49_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_73_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_64_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_27_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_33_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_26_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_138_193 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_126_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_5_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_4_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_68_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_67_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_83_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_67_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_82_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_76_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_23_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_36_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_31_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_129_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_113_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_86_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_100_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_86_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_283 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_86_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_294 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_39_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2117 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2106 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_64_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_55_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2139 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2128 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1405 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_70_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_81_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_1438 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1427 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1416 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1449 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_120_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_80_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_10_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_10_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_108_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_10_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_108_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_124_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_96_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_131_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_49_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_65_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_49_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_64_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_58_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_38_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_18_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_73_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_60_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_18_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1961 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1950 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_33_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1983 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1994 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1972 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_127_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_68_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_70_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_63_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_52_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_32_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_137_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_106_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_132_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_78_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_115_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_113_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_87_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_86_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1213 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1202 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_55_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_70_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1257 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1246 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1235 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1224 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_42_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1279 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1268 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_24_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_42_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_24_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_10_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_124_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_108_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_109_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_124_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_111_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_97_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_49_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_92_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_92_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_65_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_52_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_61_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_60_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1791 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1780 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_14_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_21_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_114_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_130_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_84_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_29_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_84_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_83_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_28_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_71_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_24_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_37_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_24_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_101_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_61_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_24_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_106_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_3_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_106_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_3_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_121_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_79_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_10_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_126_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_74_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_90_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_74_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_27_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_34_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XTAP_1010 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1021 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_43_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_1032 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1043 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1054 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1065 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1076 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1098 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1087 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_124_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_805 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_816 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_111_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_97_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_3_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_827 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_838 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_849 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_111_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_66_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_65_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_66_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_81_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_19_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_22_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_61_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_21_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_135_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_128_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_1_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_130_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_130_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_84_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_16_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_72_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_71_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_72_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XPHY_115 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_24_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XPHY_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_80_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_148 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_137 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_126 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_9_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_24_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_115_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_133_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_106_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_4_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_97_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_0_753 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_102_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_48_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_90_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_63_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_35_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_90_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_46_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XPHY_6 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_43_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_30_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_117_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_112_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_602 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_613 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_624 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_97_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_635 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_646 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_657 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_668 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_112_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_85_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_679 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_94_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_38_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_93_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_66_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_38_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_54_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_62_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_61_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_21_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_107_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_135_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_122_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_1_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_115_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_76_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_67_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_85_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_29_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_123_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_83_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_72_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_17_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_72_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_9_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_12_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_32_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_32_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_4_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_67_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_0_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_76_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_75_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_91_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_90_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_90_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_73_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_43_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_7_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_104_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_113_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_98_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_113_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_432 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_421 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_410 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_476 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_465 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_454 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_443 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_67_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_487 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_498 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_82_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_27_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_54_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_93_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1609 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_116_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_2_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_131_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_49_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_134_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_85_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_73_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_72_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_72_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_26_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_41_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_41_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_43_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_9_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_126_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_126_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_67_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_49_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_64_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_76_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_75_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_90_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_31_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_136_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_129_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_118_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_113_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_86_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_48_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_86_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_284 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_67_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_295 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2107 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_39_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_54_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_55_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_2129 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2118 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1406 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1439 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1428 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1417 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_70_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_54_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_23_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_80_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_22_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_23_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_10_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_108_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_10_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_124_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_108_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_108_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_8_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_1_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_2_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_49_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_58_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_18_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_73_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_73_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_33_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1951 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1940 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_72_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_54_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1984 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1995 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1973 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1962 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_13_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_118_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_126_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_96_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_83_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_83_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_49_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_36_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_137_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_118_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_106_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_105_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_132_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_86_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_86_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_39_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_55_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_91_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1214 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1203 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_55_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1247 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1236 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1225 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1269 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1258 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_70_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_24_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_10_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_24_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_10_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_40_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_124_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_108_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_124_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_108_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_2_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_120_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_111_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_78_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_65_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_65_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_1_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_80_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_65_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_60_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_33_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1770 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1792 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1781 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_60_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_6_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_114_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_111_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_68_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_68_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+Xinput1 ipulse vssd1 vssd1 vccd1 vccd1 net1 sky130_fd_sc_hd__clkbuf_2
+XFILLER_84_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_84_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_83_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_37_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_24_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_101_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_137_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_106_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_3_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_106_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_10_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_126_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_102_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_86_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_62_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_16_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_15_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_42_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1000 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1011 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1022 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1033 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1044 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1055 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_31_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_42_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1066 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1088 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1077 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1099 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_129_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_11_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_109_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_124_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_97_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_806 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_817 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_97_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_828 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_839 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_111_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_66_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_66_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_65_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_19_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_61_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_2290 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_21_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_21_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_128_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_0_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_130_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_111_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_69_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_29_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_84_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_29_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_71_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_71_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_24_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_149 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_138 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_13_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_24_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_8_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_557 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_108_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_106_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_97_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_95_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_101_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_90_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_90_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_7 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_43_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_71_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_16_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_43_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_62_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_11_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_124_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_112_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_98_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_97_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_603 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_614 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_625 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_636 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_647 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_658 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_23_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_669 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_66_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_81_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_26_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_53_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_93_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_53_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_61_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_21_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_135_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_107_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_1_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_130_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_67_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_84_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_29_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_83_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_72_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_72_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_16_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_40_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_9_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_8_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_32_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_32_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_106_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_4_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_122_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_95_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_63_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_75_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_35_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_90_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_90_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_73_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_43_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_400 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_433 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_422 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_411 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_113_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_112_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_85_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_466 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_455 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_444 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_488 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_477 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_499 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_66_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_54_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_93_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_54_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_22_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_135_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_2_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_78_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_131_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_104_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_1_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_94_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_57_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_17_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_72_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_33_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_72_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_41_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_41_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_5_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_4_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_67_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_95_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_67_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_83_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_36_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_48_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_91_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_90_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_51_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_90_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_133_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_113_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_113_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_86_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_285 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_296 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2108 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_39_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_2119 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_64_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_15_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_54_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1429 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1418 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1407 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_70_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_54_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_23_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_23_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_10_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_129_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_89_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_108_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_136_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_123_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_1_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_49_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_131_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_65_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_38_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_49_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_38_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_73_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_73_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_72_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_33_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1952 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1941 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1930 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1985 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1974 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1963 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_41_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1996 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_9_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_70_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_126_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_5_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_83_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_20_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_105_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_121_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_113_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_115_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_86_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_86_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_39_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_55_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_70_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1204 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_54_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_70_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1248 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1237 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1226 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1215 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_55_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1259 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_23_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_24_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_3 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_128_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_10_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_10_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_108_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_124_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_108_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_124_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_49_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_65_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_1_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_73_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XTAP_1760 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_1782 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1793 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1771 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_81_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_41_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_127_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_127_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_6_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_68_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_29_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_110_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_84_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_83_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_92_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_52_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_137_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_106_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_121_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_106_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_86_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_99_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_87_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_87_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_55_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XTAP_1001 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1012 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_1023 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1034 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1045 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1056 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_70_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_42_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1067 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1089 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1078 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_23_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_11_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_109_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_11_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_124_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_124_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_3_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_807 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_111_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_97_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_3_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_818 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_829 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_111_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_66_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_65_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_81_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_74_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_33_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_2280 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_34_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2291 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_21_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1590 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_119_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_135_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_69_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_84_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_29_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_84_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_84_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_71_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_72_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_24_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XPHY_106 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_128 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_117 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_24_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_40_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_119_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_21_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_137_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_106_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_97_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_106_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_121_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_94_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_63_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_8 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_15_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_16_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_43_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_129_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_8_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_117_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_97_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_604 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_615 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_626 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_637 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_648 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_659 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_97_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_66_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_66_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_66_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_81_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_61_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_21_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_21_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_30_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_135_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_130_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_130_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_85_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_76_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_29_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_83_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_38_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_16_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_40_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_80_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_25_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_40_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_333 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_32_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_122_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_95_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_88_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_0_585 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_48_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_90_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_35_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_48_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_90_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_63_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_90_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_43_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_12_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_112_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_423 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_412 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_401 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_467 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_456 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_445 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_434 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_67_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_489 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_478 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_82_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_93_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_27_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_62_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_22_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_104_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_1_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_1_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_77_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_76_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_990 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_72_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_17_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_72_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_72_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_32_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_41_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_9_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_41_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_9_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_122_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_110_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_49_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_82_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_64_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_90_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_90_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_83_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_99_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_125_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_113_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_99_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_113_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_104_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_286 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_297 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_67_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_54_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_2109 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_82_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_27_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_54_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1419 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1408 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_70_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_14_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_23_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_54_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_80_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_23_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_135_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_6_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_136_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_104_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_1_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_38_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_100_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_64_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_38_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_73_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_72_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_54_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1942 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1931 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1920 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_72_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_26_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_33_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1986 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1975 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1964 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1953 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1997 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_41_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_9_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_126_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_126_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_107_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_5_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_95_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_110_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_95_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_83_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_49_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_64_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_36_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_36_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_133_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_105_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_113_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_86_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_86_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_39_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_46_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1205 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_55_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_91_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_70_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1238 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1227 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1216 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_54_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_70_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1249 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_23_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_24_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_10_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_108_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_10_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_108_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_124_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_123_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_2_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_93_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_65_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_1_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_65_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_46_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_18_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_73_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_33_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1761 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1750 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1783 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1794 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1772 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_115_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_127_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_102_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_111_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_96_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_68_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_84_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_83_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_92_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_138_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_20_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_118_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_106_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_105_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_121_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_59_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_75_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_19_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_47_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_16_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_70_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1002 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1013 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_55_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_15_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1024 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1035 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1046 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1057 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1068 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1079 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_129_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_124_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_124_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_3_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_808 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_124_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_97_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_3_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_819 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_78_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_76_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_66_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_65_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_74_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2270 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2281 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2292 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_33_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1580 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1591 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_21_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_30_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_119_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_134_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_103_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_97_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_111_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_84_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_29_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_112_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_84_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_107 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_129 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_118 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_12_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_21_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_24_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_106_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_106_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_97_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_106_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_0_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_87_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_47_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_56_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_71_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_9 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_16_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_55_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_71_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_70_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_15_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_62_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_62_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_12_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_129_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_11_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_109_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_124_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_3_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_605 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_616 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_97_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_627 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_638 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_649 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_94_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_87_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_39_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_66_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_81_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_66_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_61_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_21_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_30_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_135_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_128_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_107_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_97_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_130_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_85_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_84_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_29_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_84_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_38_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_53_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_9_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_40_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_21_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_32_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_138_389 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_113_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_107_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_122_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_106_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_122_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_121_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_79_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_76_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_88_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_87_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_63_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_90_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_16_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_73_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_43_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_12_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_11_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_98_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_424 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_413 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_402 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_457 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_446 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_435 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_3_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_112_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_67_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_66_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_479 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_468 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_82_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_66_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_81_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_19_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_22_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_35_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_136_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_135_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_104_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_104_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_1_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_980 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_991 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_84_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_27_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_72_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_25_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_9_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_41_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_40_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_107_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_95_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_122_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_110_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_95_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_361 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_49_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_48_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_64_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_90_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_84_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_63_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_17_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_90_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_17_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_32_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_118_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_76_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_98_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_98_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_104_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_67_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_287 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_298 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_67_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_27_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_66_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1409 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XANTENNA__0__D net1 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__diode_2
+XFILLER_120_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_82_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_22_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_23_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_13_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_129_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_135_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_132_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_123_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_1_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_77_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_104_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_1_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_92_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_38_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_100_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_72_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1910 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1943 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1932 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1921 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_72_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_61_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_54_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1976 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1965 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1954 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_41_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_54_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1987 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1998 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_9_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_41_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_70_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_70_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_126_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_123_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_5_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_110_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_49_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_95_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_95_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_49_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_91_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_36_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_91_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_64_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_51_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_105_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_114_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_99_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_113_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_74_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_28_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_27_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_54_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_70_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1239 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1228 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1217 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1206 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_43_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_54_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_70_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_23_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_23_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_108_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_2_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_6_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_78_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_131_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_1_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_73_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_18_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_73_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_33_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1751 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1740 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_33_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1784 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1773 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1762 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XTAP_1795 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_41_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_39_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_111_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_110_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_83_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_83_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_36_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_86_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_113_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_55_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_83_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_15_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1003 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_55_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_70_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_15_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1014 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1025 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1036 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1047 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_70_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1058 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1069 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_23_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_7_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_137_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_124_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_3_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_124_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_3_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_809 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_78_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_93_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_65_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_38_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_81_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_65_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2271 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2260 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_33_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2282 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2293 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_92_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1581 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1592 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1570 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_127_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_6_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_89_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_111_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_111_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_84_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_110_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_84_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_112_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_65_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_37_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_13_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_138_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_118_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_119_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_106_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_21_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_106_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_121_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_97_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_121_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_0_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_47_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_63_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_71_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_15_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_55_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_71_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_62_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_129_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_62_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_8_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_109_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_124_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_124_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_97_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_3_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_606 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_97_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_617 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_628 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_639 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_78_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_66_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_66_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_81_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_19_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2090 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_21_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_21_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_107_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_123_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_29_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_84_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_29_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_84_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_8_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_106_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_106_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_122_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_106_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_122_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_95_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_79_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_121_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_48_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_87_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_63_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_29_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_113_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_71_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_129_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_8_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_11_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_414 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_403 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_98_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_458 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_447 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_436 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_425 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_469 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_21_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_39_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_66_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_27_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_82_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_66_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_135_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_135_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_104_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_1_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_104_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_103_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_970 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_94_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_85_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_981 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_992 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_84_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_85_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_40_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_43_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_9_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_165 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_127_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_107_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_122_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_4_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_68_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_1_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_95_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_64_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_90_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_17_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_90_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_32_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_71_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_117_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_98_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_67_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_288 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_299 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_82_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_66_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_27_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_82_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_81_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_67_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_35_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_22_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_13_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_135_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_129_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_104_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_1_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_77_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_85_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_38_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_46_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XTAP_1900 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_72_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_1933 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1922 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1911 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_72_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_14_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_54_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1977 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1966 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1955 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1944 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_53_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1999 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1988 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_41_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_70_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_9_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_41_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_70_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_107_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_122_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_110_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_96_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_1_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_95_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_64_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_49_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_64_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_63_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_49_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_17_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_32_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_20_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_5_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_99_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_113_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_67_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_54_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_82_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_70_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_1229 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1218 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1207 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_23_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_23_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_2_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_123_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_104_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_78_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_93_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_86_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_1_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_46_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_61_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_33_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1752 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1741 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1730 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_14_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_121_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1785 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1774 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1763 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1796 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_41_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_10_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_96_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_83_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_49_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_91_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_64_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_36_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_51_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_51_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_60_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_10_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_126_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_121_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_105_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_113_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_27_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_28_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_70_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_15_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_43_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1004 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_70_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1015 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1026 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1037 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_55_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_30_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1048 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1059 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_129_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_70_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_23_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_137_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_124_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_78_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_3_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_2_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_76_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_76_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_65_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_93_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_18_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_80_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_73_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_2261 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2250 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_33_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_2283 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2294 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2272 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1560 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_33_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1582 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1593 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1571 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_51_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_88_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_97_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_111_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_111_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_52_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_92_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_37_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_12_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_109 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_21_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_21_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_121_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_106_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_0_725 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_0_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_75_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_62_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_46_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_55_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_71_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_15_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_71_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_70_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_15_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_24_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_129_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_62_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_30_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_8_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_137_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_124_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_3_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_607 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_124_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_79_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_3_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_618 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_629 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_94_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_39_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_81_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_74_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_19_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_34_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2091 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2080 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_99_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1390 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_116_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_111_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_97_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_84_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_29_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_123_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_84_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_84_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_52_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_12_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_21_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_134_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_106_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_122_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_121_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_106_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_76_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_63_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_113_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_71_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_137_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_8_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_125_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_125_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_415 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_404 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_448 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_437 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_426 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_3_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_66_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_459 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_94_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_66_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_14_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_39_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_82_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_26_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_81_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_66_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_35_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_135_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_116_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_104_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_112_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_76_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_58_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_960 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_971 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_982 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_993 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_84_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_84_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_27_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_38_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_45_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_40_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_107_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_122_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_122_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_122_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_95_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_76_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_68_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_84_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_64_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_48_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_63_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_48_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_84_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_90_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_16_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_32_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_32_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_270 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_129_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_117_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_101_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_289 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_278 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_104_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_67_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_66_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_82_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_27_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_82_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_66_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_81_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_35_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_129_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_136_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_135_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_135_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_104_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_1_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_104_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_1_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_104_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_790 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_46_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_85_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1901 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_45_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1934 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1923 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1912 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_72_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_60_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_14_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1967 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1956 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1945 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_14_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_54_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_1978 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1989 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_9_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_9_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_70_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_95_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_95_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_0_193 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_48_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_49_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_64_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_64_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_63_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_17_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_60_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_81_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_32_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_118_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_117_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_9_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_133_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_87_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_59_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_28_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_67_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_82_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_27_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1219 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1208 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_51_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_54_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_11_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_136_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_7_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_49_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_131_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_78_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_77_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_100_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_86_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_85_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1742 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1731 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1720 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_33_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_42_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_121_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1775 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1764 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1753 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1786 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1797 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_41_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_10_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_108_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_123_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_110_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_110_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_49_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_64_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_24_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_91_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_33_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_36_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_51_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_60_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_20_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_10_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_126_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_120_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_113_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_99_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_83_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_67_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_83_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_82_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_27_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_70_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_15_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_35_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1005 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1016 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1027 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1038 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_70_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_24_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1049 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_23_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_128_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_129_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_93_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_76_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_76_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2262 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2251 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2240 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_92_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_73_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_18_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_2284 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2295 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2273 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_92_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1550 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_33_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1594 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1572 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1583 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1561 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XPHY_90 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_41_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_42_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_102_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_97_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_96_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_111_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_110_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_65_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_49_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_112_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_65_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_64_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_52_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_80_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_52_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_33_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_20_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_21_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_138_529 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_119_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_20_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_21_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_88_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_121_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_75_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_55_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_71_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_70_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_15_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_70_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_12_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_62_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_23_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_24_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_129_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_8_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_7_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_124_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_11_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_3_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_127_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_124_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_3_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_608 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_619 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_78_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_93_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_47_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_34_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_47_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2070 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_62_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_34_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2092 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2081 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1380 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1391 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_116_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_131_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_103_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_97_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_111_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_97_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_84_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_16_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_52_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_21_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_21_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_106_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_134_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_122_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_106_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_121_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_76_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_57_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_29_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_16_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_28_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_29_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_71_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_71_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_8_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_129_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_8_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_113_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_405 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_3_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_449 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_438 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_427 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_416 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_79_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_39_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_81_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_66_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_94_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_19_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_81_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_50_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_135_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_89_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_104_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_103_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_58_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_950 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_961 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_111_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_84_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_972 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_983 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_994 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_84_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_73_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_27_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_26_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_53_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_43_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_111_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_135_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_122_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_122_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_108_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_1_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_84_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_76_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_63_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_84_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_63_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_32_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_71_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_260 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_32_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_271 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_31_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_129_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_117_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_8_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_126_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_125_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_86_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_279 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_39_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_66_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_82_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_81_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_66_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_55_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_82_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_10_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_129_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_108_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_135_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_132_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_104_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_104_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_85_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_780 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_791 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_45_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_61_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1924 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1913 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1902 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1968 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1957 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1946 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1935 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1979 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_9_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_70_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_108_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_135_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_119_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_122_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_122_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_95_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_135_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_1_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_95_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_64_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_48_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_64_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_63_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_32_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_74_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_126_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_125_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_67_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_95_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_67_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_27_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_82_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_27_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_43_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_82_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1209 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_24_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_136_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_6_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_105_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_104_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_104_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_93_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_46_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_85_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_65_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_45_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_61_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1743 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1732 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1721 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1710 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1776 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1765 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1754 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1787 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1798 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_41_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_108_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_107_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_122_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_110_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_96_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_49_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_77_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_49_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_64_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_64_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_17_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_32_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_20_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_118_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_20_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_102_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_59_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_75_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_83_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_67_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_27_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_43_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_83_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1006 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1017 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1028 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_70_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_35_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1039 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_23_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_7_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_136_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_105_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_2_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_104_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_78_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_93_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_76_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_46_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2252 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2241 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2230 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_92_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_33_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_2285 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2296 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2274 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2263 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_92_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1551 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1540 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_33_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1573 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1584 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1562 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XPHY_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_91 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XTAP_1595 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_41_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_128_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_115_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_69_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_37_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_49_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_80_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_65_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_65_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_20_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_21_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_118_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_99_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_28_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_83_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_15_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_28_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_70_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_15_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_43_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_102_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_70_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_8_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_23_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_112_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_609 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_78_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_39_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_78_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_62_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2060 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2093 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2071 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2082 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_34_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_1381 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1392 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1370 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_116_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_115_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_97_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_111_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_96_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_111_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_97_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_65_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_80_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_16_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_25_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_52_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_52_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_305 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_20_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_21_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_32_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_21_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_134_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_121_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_121_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_557 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_76_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_29_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_113_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_29_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_83_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_73_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_71_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_16_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_31_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_71_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_129_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_8_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_129_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_8_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_138_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_137_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_98_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_406 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_439 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_428 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_417 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_3_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_39_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_121_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_94_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_93_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_79_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_81_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_62_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_34_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_8_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_118_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_103_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_97_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_940 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_951 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_962 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_58_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XTAP_973 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_984 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_995 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_111_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_84_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_27_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_84_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_26_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_65_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_53_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_13_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_43_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_21_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_104_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_122_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_0_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_1_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_122_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_76_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_103_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_75_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_0_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_124_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_76_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_84_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_63_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_29_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_71_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_32_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_250 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_272 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_129_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_8_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_113_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_125_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_4_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_3_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_66_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_94_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_82_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_66_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_81_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_81_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_50_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_90_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_129_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_135_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_135_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_104_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_89_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_104_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_770 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_58_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_781 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_792 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_45_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_85_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_26_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1925 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1914 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1903 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1958 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1947 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1936 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_14_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1969 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_110_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_122_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_1_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_122_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_95_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_1_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_135_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_76_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_48_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_64_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_63_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_29_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_63_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_72_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_32_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_32_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_32_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_9_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_9_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_8_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_133_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_117_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_67_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_125_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_39_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_82_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_27_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_55_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_82_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_67_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_42_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_82_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_24_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_11_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_104_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_104_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_49_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_92_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_85_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_45_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_1700 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_45_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_121_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XTAP_1733 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1722 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1711 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_14_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_54_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1766 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1755 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1744 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_14_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1788 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1799 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1777 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_14_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_115_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_6_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_123_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_122_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_64_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_49_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_64_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_24_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_64_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_33_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_10_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_59_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_87_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_19_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_74_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_67_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_83_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_27_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_83_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_82_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_27_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_43_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1007 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1018 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1029 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_51_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_51_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_136_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_104_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_93_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_86_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_76_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_46_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_2253 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2242 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2231 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2220 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2286 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2275 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2264 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_92_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1541 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1530 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2297 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1574 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1585 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1563 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1552 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_25_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_30_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_70 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XTAP_1596 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_41_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_128_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_10_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_115_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_96_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_110_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_96_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_65_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_2_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_49_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_65_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_80_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_64_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_80_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_24_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_33_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_20_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_21_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_88_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_75_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_28_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_83_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_70_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_102_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_12_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_30_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_70_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_23_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_134_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_7_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_78_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_38_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_93_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_47_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_62_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2061 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2050 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_62_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_61_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_2094 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2072 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2083 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1382 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1393 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1371 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1360 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_128_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_116_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_124_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_97_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_96_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_111_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_96_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_65_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_12_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_80_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_52_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_21_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_32_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_20_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_5_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_121_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_88_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_76_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_102_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_75_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_29_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_29_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_90_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_28_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_43_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_44_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_129_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_12_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_8_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_8_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_113_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_106_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_429 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_418 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_407 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_3_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_79_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_78_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_39_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_93_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_81_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_47_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_90_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_34_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_97_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_62_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_34_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_1190 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_116_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_134_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_58_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_930 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_941 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_952 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_111_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_97_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_963 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_974 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_985 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_996 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_57_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_27_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_72_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_26_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_84_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_81_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_25_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_26_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_41_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_43_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_21_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_135_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_108_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_108_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_333 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_130_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_76_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_76_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_29_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_84_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_72_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_63_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_16_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_71_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_32_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_44_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XPHY_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_240 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_31_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_262 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_129_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_8_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_33_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_129_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_8_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_113_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_3_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_100_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_12_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_39_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_54_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_81_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_66_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_63_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_90_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_23_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_50_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_108_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_760 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_112_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_97_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_771 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_782 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_793 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_57_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_45_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_54_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1915 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1904 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1959 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1948 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1937 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1926 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_26_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_53_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_14_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_110_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_21_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_119_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_5_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_135_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_122_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_79_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_122_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_1_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_122_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_76_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_1_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_63_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_45_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_72_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_60_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_32_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_71_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_32_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_9_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_9_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_101_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_95_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_95_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_39_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_82_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_27_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_82_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_63_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_35_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_50_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_108_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_117_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_104_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_132_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_120_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_104_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_104_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_49_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_590 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_65_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_27_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_45_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1734 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1723 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1712 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1701 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_42_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1767 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1756 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1745 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_54_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_1789 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1778 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_6_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_123_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_122_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_1_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_122_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_76_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_64_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_92_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_64_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_17_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_32_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_33_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_32_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_9_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_102_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_87_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_67_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_83_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_82_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_27_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_82_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_24_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_35_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1008 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1019 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_35_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_51_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_136_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_136_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_104_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_104_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_59_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_2210 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_74_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_46_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2243 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2232 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2221 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_27_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_2287 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2276 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2265 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2254 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_92_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_1542 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1531 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1520 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_14_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_15_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_2298 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1575 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1564 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1553 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_60 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_71 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_82 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XTAP_1597 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1586 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_25_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_30_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_93 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_128_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_128_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_6_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_41_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_2_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_49_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_64_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_80_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_65_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_64_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_80_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_33_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_33_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_32_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_118_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_9_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_134_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_118_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_102_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_28_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_46_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_83_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_28_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_83_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_43_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_43_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_12_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_127_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_7_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_11_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_127_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_120_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_78_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_93_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_93_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_62_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_2051 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2040 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2095 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2073 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2084 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2062 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1350 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_62_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_15_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1383 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1372 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1361 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_15_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1394 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_30_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_128_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_116_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_115_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_42_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_124_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_96_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_85_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_65_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_38_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_25_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_80_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_65_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_20_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_4_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_75_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_29_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_83_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_73_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_43_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_129_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_8_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_138_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_12_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_7_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_98_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_98_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_106_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_419 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_408 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_121_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_94_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_93_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_67_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_94_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_62_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1191 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1180 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_8_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_129_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_8_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_97_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_920 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_931 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_942 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_953 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_111_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_97_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_964 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_975 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_986 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_997 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_65_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_26_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_26_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_80_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_25_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_43_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_21_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_138_137 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_21_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_135_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_134_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_134_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_108_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_108_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_76_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_389 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_49_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_124_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_76_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_124_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_29_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_29_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_71_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_31_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_252 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_241 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_230 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_31_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_274 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_263 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_8_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_129_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_8_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_33_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_8_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_113_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_3_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_79_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_79_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_39_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_39_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_55_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_81_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_81_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_62_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_50_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_50_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_129_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_117_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_116_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_89_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_750 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_761 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_97_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_772 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_783 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_794 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_73_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1916 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1905 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_26_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_54_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1949 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1938 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1927 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_41_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_13_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_110_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_108_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_21_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_135_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_135_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_122_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_135_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_89_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_0_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_1_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_95_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_76_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_76_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_63_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_91_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_63_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_29_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_71_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_32_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_32_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_9_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_13_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_9_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_8_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_114_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_113_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_87_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_39_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_95_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_55_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_95_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_82_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_23_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_24_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_50_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_49_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_104_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_58_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_580 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_591 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_73_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_65_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_61_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_45_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1724 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1713 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1702 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_26_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1757 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1746 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1735 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_53_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1779 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1768 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_14_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_6_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_6_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_30_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_122_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_122_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_111_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_1_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_92_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_39_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_64_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_17_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_32_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_32_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_72_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_9_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_101_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_95_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_27_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_82_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_27_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_55_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_82_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_43_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_1009 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_35_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_124_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_3_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_104_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_104_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+X_0_ net1 net1 vssd1 vssd1 vccd1 vccd1 net2 sky130_fd_sc_hd__dfxtp_1
+XFILLER_120_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_74_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2200 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_132_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2244 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2233 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2222 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2211 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_2277 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2266 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2255 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1532 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1521 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1510 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2299 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2288 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1576 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1565 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1554 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1543 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_50 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_72 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XTAP_1598 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1587 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_30_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XPHY_94 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_128_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_128_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_41_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_6_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_123_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_69_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_1_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_92_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_64_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_64_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_80_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_32_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_33_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_33_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_106_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_133_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_102_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_95_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_46_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_83_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_28_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_43_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_83_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_62_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_12_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_51_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_109_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_105_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_2_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_105_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_93_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_74_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_19_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_46_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2052 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2041 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2030 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_62_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_61_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2074 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2085 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2063 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1340 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2096 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1384 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1373 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1362 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_70_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1351 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_15_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_30_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1395 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_128_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_115_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_96_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_38_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_80_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_65_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_65_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_80_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_80_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_33_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_32_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_20_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_134_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_87_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_0_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_90_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_83_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_43_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_73_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_12_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_12_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_7_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_4_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_137_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_113_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_409 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_121_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_93_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_93_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_62_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_62_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_62_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_15_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1192 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1181 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1170 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_8_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_30_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_128_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_8_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_7_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_116_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_910 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_69_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_921 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_932 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_943 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_57_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_954 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_965 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_976 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_987 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_97_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_38_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_998 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_72_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_81_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_65_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_26_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_41_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_43_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_21_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_107_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_119_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_134_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_108_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_124_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_76_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_75_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_124_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_29_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_91_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_84_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_29_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_90_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_17_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_44_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_44_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_231 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_220 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_275 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_264 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_129_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XPHY_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_8_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_129_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_12_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_8_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_113_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_121_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_67_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_39_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_54_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_63_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_23_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_116_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_100_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_97_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_740 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_751 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_58_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_57_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_762 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_773 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_784 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_795 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_57_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_73_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_66_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_26_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1906 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_72_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_26_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_54_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1939 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1928 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1917 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_26_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_110_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_70_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_41_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_21_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_5_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_135_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_135_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_76_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_49_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_0_165 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_76_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_76_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_29_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_60_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_71_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_8_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_9_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_8_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_99_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_86_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_95_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_79_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_39_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_121_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_95_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_39_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_55_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_54_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_63_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_23_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_50_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_10_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_40_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_132_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_49_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_58_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_570 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_105_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_100_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_65_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_581 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_592 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_58_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_27_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1725 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1714 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1703 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_42_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1758 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1747 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1736 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_53_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_1769 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_14_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_14_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_127_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_6_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_6_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_135_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_122_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_68_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_1_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_122_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_1_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_77_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_39_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_92_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_76_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_92_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_36_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_45_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_55_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_32_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_60_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_32_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_32_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_9_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_9_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_65_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_113_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_101_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_95_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_82_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_24_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_42_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_82_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_51_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_11_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_104_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_132_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_120_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_116_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_104_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_59_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_74_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2201 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_74_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_73_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_18_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_2234 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2223 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2212 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2278 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_132_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2267 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2256 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2245 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1533 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1522 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1511 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1500 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_14_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XPHY_40 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_27_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2289 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1566 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1555 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1544 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XPHY_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_30_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XPHY_62 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_73 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_42_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1599 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1577 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1588 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_95 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_128_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_6_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_111_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_69_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_1_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_64_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_92_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_80_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_64_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_24_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_33_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_32_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_32_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_9_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_88_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_114_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_102_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_101_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_55_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_71_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_43_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_62_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_24_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_12_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_51_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_3_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_118_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_87_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_93_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_59_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_2042 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2031 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2020 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_74_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_62_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_46_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2075 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2086 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2064 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2053 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1341 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1330 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_2097 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1374 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1363 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1352 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_15_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_30_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1385 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1396 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_70_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_14_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_128_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_30_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_128_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_128_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_6_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_130_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_84_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_28_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_38_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_93_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_37_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_38_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_80_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_80_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_33_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_107_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_57_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_84_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_75_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_113_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_28_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_83_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_73_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_56_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_43_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_12_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_12_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_11_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_22_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_121_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_112_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_121_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_66_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_93_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_75_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_19_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_90_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_62_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_47_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_62_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_63_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1182 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1171 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1160 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_30_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_129_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1193 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_8_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_900 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_124_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_911 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_922 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_933 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_944 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_69_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_955 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_966 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_977 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_57_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_999 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_988 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_66_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_93_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_81_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_65_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_38_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_80_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_26_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_80_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_33_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_134_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_134_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_134_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_108_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_68_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_88_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_124_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_72_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_17_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_44_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_84_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_83_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_243 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_232 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_12_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_254 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_33_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_126_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_8_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_138_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_113_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_106_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_121_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_39_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_94_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_75_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_47_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_63_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_62_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_62_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_95_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_15_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_30_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_31_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_129_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_132_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_116_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_730 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_741 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_752 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_97_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_763 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_774 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_785 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_100_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_796 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_39_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_26_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_54_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1907 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_66_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_65_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1929 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1918 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_26_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_41_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_81_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_80_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_70_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_21_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_126_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_108_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_135_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_134_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_103_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_95_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_76_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_76_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_29_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_44_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_60_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_12_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_13_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_8_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_8_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_114_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_99_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_113_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_95_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_94_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_10_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_39_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_63_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_23_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_63_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_62_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_50_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_108_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_116_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_49_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_58_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_560 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_86_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_58_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_571 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_593 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_582 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_73_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_65_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_39_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_73_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_26_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_27_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_1715 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1704 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_26_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_121_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1748 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1737 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1726 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_42_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1759 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_41_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_14_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_14_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_10_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_108_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_6_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_30_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_135_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_135_440 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_8
+XFILLER_30_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_122_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_110_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_76_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_1_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_92_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_76_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_92_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_29_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_45_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_55_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_32_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_44_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_60_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_71_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_9_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_9_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_114_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_113_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_101_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_110_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_95_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_95_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_55_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_55_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_70_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_24_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_23_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_50_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_109_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_3_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_104_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_76_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_101_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_58_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_74_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_58_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_390 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_132_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2235 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2224 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2213 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2202 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_74_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_27_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2268 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2257 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2246 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1523 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1512 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1501 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XPHY_30 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_27_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2279 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1567 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1556 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1545 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1534 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_41 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_26_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XPHY_63 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_74 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XTAP_1578 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1589 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_42_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XPHY_85 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_6_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_128_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_127_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_6_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_41_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_136_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_135_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_6_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_97_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_1_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_92_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_32_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_32_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_21_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_119_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_9_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_95_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_55_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_11_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_24_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_51_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_3_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_133_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_120_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_79_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_87_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_74_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2010 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2043 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2032 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2021 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_2076 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2065 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2054 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1331 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1320 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_14_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2098 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2087 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1375 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1364 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1353 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1342 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1386 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1397 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_30_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_128_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_30_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_7_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_128_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_85_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_77_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_38_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_38_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_92_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_80_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_37_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_80_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_33_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_61_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_33_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_88_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_529 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_130_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_102_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_87_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_83_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_73_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_71_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_16_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_43_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_43_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_12_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_12_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_4_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_121_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_67_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_93_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_93_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_74_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_62_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_19_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_62_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1150 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_63_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1183 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1172 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1161 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_43_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1194 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_129_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_128_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_30_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_8_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_128_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_901 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_69_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_912 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_923 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_934 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_85_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_945 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_956 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_967 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_978 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_989 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_80_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_65_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_25_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_80_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_41_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_33_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_102_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_0_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_88_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_49_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_124_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_91_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_84_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_83_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XPHY_200 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_44_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_233 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_222 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_211 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_25_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XPHY_277 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_266 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_255 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_244 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_12_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_12_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_641 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_138_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_99_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_121_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_67_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_121_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_35_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_62_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_23_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_62_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_15_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_129_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_129_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_128_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_131_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_131_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_720 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_731 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_742 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_753 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_764 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_775 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_786 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_85_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_73_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_57_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_797 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_26_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_54_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1919 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1908 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_80_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_65_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_26_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_81_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_41_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_108_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_107_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_79_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_103_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_49_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_95_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_76_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_17_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_28_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_29_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_44_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_60_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_44_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_8_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_13_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_60_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_8_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_113_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_113_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_39_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_121_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_67_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_39_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_55_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_63_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_62_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_63_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_23_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_116_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_132_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_86_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_550 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_561 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_105_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_100_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_572 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_594 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_583 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_65_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_1705 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_26_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1749 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1738 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1727 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1716 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_81_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_26_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_42_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_10_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_14_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_6_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_5_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_30_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_135_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_108_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_30_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_111_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_39_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_103_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_76_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_49_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_76_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_92_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_29_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_60_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_60_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_71_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_113_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_95_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_95_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_55_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_63_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_24_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_50_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_50_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_117_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_117_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_86_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_76_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_58_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_391 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_380 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_100_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_74_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_73_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_2225 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2214 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2203 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_92_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_27_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2269 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2258 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2247 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2236 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_82_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1524 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1513 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1502 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_31 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_26_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_27_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_42_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1557 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1546 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1535 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_42 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_41_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_42_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1579 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1568 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_75 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_86 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_97 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_127_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_10_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_127_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_6_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_6_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_135_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_111_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_1_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_92_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_77_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_92_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_92_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_32_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_60_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_32_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_70_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_9_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_99_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_114_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_87_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_101_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_95_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_55_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_71_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_64_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_24_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_23_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_24_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_62_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_137_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_109_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_3_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_117_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_59_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_74_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2000 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_74_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2033 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2022 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2011 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_74_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_61_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_27_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2077 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2066 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2055 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2044 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1332 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1321 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1310 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_36_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2099 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2088 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1365 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1354 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1343 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_1387 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1398 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1376 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_30_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_42_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_128_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_7_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_128_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_6_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_6_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_97_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_69_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_111_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_84_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_93_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_38_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_21_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_33_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_119_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_107_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_9_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_87_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_87_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_113_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_73_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_71_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_43_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_12_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_24_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_51_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_3_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_133_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_0_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_66_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_90_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1140 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_70_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_1173 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1162 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1151 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1184 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1195 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_30_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_30_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_128_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_128_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_6_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_112_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_33_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_902 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_913 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_924 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_935 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_946 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_957 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_968 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_38_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_979 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_84_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_38_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_93_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_80_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_38_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_80_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_80_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_33_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_305 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_1_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_130_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_102_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_84_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_90_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_72_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_83_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XPHY_201 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_212 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_12_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XPHY_267 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_256 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_12_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_12_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_697 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_126_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_98_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_133_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_121_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_67_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_121_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_66_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_75_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_62_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_90_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_62_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_62_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_15_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_30_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_129_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_128_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_129_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_710 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_721 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_732 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_743 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_754 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_765 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_776 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_39_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_100_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_787 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_798 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_72_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_54_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_65_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_1909 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_80_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_41_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_80_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_70_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_108_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_79_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_134_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_135_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_115_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_103_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_89_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_95_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_49_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_57_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_72_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_60_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_17_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_44_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_44_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_12_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_113_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_121_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_36_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_54_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_85_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_63_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_62_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_23_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_62_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_31_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_129_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_132_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_116_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_100_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_86_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_540 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_551 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_86_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_85_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_562 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_573 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_584 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_105_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_595 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_73_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_26_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_39_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1706 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_26_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_42_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_54_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_121_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1739 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1728 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1717 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_26_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_81_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_41_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_14_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_10_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_108_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_10_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_108_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_5_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_30_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_135_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_123_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_100_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_76_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_92_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_76_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_29_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_36_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_55_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_60_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_60_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_114_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_5_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_113_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_113_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_122_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_67_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_83_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_55_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_63_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_24_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_23_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_137_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_3_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_132_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_132_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_392 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_381 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_370 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_86_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_74_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_58_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2226 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2215 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2204 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_92_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_73_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2259 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2248 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2237 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1514 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1503 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_10 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_26_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_27_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_42_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1547 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_82_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1536 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1525 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_32 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_43 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_26_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_65 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XTAP_1569 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1558 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_76 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_42_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_87 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_98 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_41_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_127_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_10_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_6_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_6_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_135_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_108_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_111_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_110_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_104_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_49_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_92_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_65_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_37_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_92_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_66_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_18_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_45_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_60_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_32_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_119_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_9_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_63_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_114_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_87_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_95_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_56_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_55_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_64_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_63_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_24_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_24_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_23_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_20_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_109_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_137_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_124_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_3_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_133_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_87_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_101_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_74_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_2001 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_74_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2034 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2023 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2012 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_36_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2067 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2056 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2045 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1322 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1311 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1300 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_42_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2089 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2078 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1366 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1355 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1344 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1333 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1399 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1377 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1388 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_52_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_52_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_6_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_6_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_69_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_78_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_93_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_77_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_92_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_38_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_92_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_93_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_61_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_61_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_60_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_119_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_9_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_106_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_115_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_84_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_29_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_56_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_95_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_113_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_71_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_24_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_11_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_835 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_138_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_11 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_20_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_125_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_118_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_4_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_133_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_120_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_59_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_74_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_90_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_74_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_63_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_27_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_43_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1130 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1141 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1174 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1163 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1152 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_42_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_43_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1185 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1196 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_30_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_128_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_128_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_6_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_112_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_903 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_914 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_925 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_111_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_69_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_936 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_947 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_958 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_66_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_26_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_38_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_969 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_77_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_38_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_93_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_92_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_80_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_33_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_138_109 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_107_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_68_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_88_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_69_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_102_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_56_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_72_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_71_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_25_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_224 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_213 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_24_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_25_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_268 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_235 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_12_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_40_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_130_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_12_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_4_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_3_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_4_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_59_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_74_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_74_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_62_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_90_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_15_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_30_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_30_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_129_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_128_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_128_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_700 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_98_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_711 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_722 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_733 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_85_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_744 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_755 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_766 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_777 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_85_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_788 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_799 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_39_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_38_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_54_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_80_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_110_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_80_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_108_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_119_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_122_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_1_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_131_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_88_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_95_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_88_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_56_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_72_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_44_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_12_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_44_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_12_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_60_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_126_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_60_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_113_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_5_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_122_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_67_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_121_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_67_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_85_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_82_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_36_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_62_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_62_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_93_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_129_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_8_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_104_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_131_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_113_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_105_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_86_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_530 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_541 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_552 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_563 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_574 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_585 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_86_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_596 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_39_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_85_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_39_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_81_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_38_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_121_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1729 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1718 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1707 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_26_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_41_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_81_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_41_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_54_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_10_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_10_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_108_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_108_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_30_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_104_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_89_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_49_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_103_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_49_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_64_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_18_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_44_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_60_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_33_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_60_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_71_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_13_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_113_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_122_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_110_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_68_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_121_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_67_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_91_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_63_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_23_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_63_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_23_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_129_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_2_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_113_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_101_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_86_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_76_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_360 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_393 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_382 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_371 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_73_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_2216 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2205 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_26_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2249 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2238 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2227 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1515 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1504 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_11 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XTAP_1548 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1537 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1526 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XPHY_33 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_26_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_41_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_42_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1559 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XPHY_66 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_42_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_99 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_10_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_108_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_135_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_97_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_104_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_2_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_92_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_49_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_92_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_73_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_18_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_45_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_82_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_60_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_21_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_60_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_56_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_99_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_95_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_83_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_28_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_55_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_55_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_71_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_23_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_24_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_63_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_24_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_3_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_87_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_86_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_100_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_74_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_2024 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2013 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2002 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_74_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_27_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_2068 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2057 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2046 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2035 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1323 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1312 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1301 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_55_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_2079 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1356 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1345 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1334 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1378 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1389 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1367 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_6_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_52_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_10_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_109_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_108_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_6_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_6_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_124_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_97_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_111_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_111_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_65_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_37_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_92_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_37_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_92_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_93_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_61_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_61_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_21_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1890 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_119_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_9_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_115_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_114_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_130_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_113_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_95_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_56_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_71_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_24_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_24_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_4_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_98_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_133_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_106_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_3_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_74_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_19_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_90_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_74_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_27_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_43_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1120 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1131 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1164 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1153 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1142 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1186 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1197 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1175 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_43_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_30_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_128_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_7_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_6_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_6_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_112_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_904 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_915 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_926 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_97_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_85_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_69_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_937 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_948 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_959 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_111_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_19_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_38_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_93_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_92_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_38_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_93_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_53_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_61_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_21_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_119_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_135_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_108_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_29_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_84_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_72_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_56_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_225 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_203 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_40_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_258 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_247 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_236 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_25_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_12_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_33_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_125_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_3_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_133_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_0_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_67_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_58_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_74_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_90_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_90_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_43_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_30_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_128_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_90_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_30_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_128_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_7_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_701 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_712 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_723 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_734 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_745 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_756 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_767 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_778 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_789 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_93_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_38_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_54_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_80_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_0_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_22_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_80_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_61_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_119_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_135_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_95_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_137 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_49_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_88_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_72_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_56_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_72_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_71_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_25_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_12_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_9_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_40_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_12_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_60_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_60_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_121_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_67_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_62_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_90_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_62_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_43_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_86_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_129_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_31_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_129_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_8_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_98_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_131_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_520 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_531 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_542 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_105_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_100_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_86_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_85_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_553 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_564 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_575 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_597 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_586 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_39_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_38_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_54_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_121_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_39_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_54_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1719 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1708 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_41_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_10_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_108_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_108_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_103_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_89_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_49_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_57_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_44_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_72_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_60_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_44_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_111_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_25_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_13_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_113_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_5_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_113_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_67_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_121_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_83_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_76_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_36_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_63_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_23_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_31_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_129_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_86_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_350 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_86_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_394 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_383 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_372 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_361 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_86_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_73_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_39_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2217 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2206 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2239 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2228 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_92_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1505 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_26_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_1538 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1527 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1516 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_23 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_34 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_26_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_42_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_54_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1549 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_67 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_78 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_41_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_89 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_10_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_41_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_10_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_41_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_108_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_10_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_108_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_111_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_96_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_89_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_49_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_66_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_65_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_66_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_18_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_60_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_33_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_45_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_60_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_60_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_99_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_49_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_55_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_83_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_70_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_63_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_24_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_36_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_23_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_23_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_32_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_78_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_100_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2025 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2014 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2003 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2058 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2047 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2036 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_82_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_1313 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1302 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_36_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_42_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2069 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1357 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1346 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1335 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1324 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_42_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1379 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1368 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_124_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_6_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_109_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_111_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_97_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_77_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_92_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_37_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_92_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_65_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_92_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_61_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_18_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_45_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_61_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_60_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_21_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1880 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1891 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_119_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_84_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_3_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_29_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_113_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_95_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_71_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_24_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_64_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_24_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_24_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_106_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_3_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_3_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_87_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_74_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_19_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_90_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_74_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_74_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_27_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_43_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_1110 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1121 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1132 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1165 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1154 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_43_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1143 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1187 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1198 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1176 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_8_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_6_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_6_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_124_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_905 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_916 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_111_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_927 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_938 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_949 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_84_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_66_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_93_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_92_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_92_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_61_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_108_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_1_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_130_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_124_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_84_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_72_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_29_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_56_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_71_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XPHY_215 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_204 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_24_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_259 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_248 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_40_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_8_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_40_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_125_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_106_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_3_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_66_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_58_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_90_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_74_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_74_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_90_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_74_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_74_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_43_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_30_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_30_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_128_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_7_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_128_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_112_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_97_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_702 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_713 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_724 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_31_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_112_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_735 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_746 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_757 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_768 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_85_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_779 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_66_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_38_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_54_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_93_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_38_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_80_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_22_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_21_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_22_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_119_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_135_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_107_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_122_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_1_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_135_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_88_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_72_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_17_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_72_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_71_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_25_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_12_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_40_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_100_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_60_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_12_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_125_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_95_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_67_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_85_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_48_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_75_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_90_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_62_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_50_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_79_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_129_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_7_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_104_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_113_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_510 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_521 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_532 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_543 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_105_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_86_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_554 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_565 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_576 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_598 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_587 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_39_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_54_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_93_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_38_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_121_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1709 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_41_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_53_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_50_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_22_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_10_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_108_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_107_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_122_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_116_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_1_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_131_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_39_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_49_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_72_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_32_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_44_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_41_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_111_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_9_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_126_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_5_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_121_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_110_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_68_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_67_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_76_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_90_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_23_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_35_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_32_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_31_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_129_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_104_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_99_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_113_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_100_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_86_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_351 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_340 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_86_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_384 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_373 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_362 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_132_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_100_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_395 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2207 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_39_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_54_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2229 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2218 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1506 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XTAP_1539 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1528 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1517 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_14_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XPHY_24 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_35 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_46 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_26_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_23_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_25_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_57 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_68 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_79 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_41_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_10_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_108_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_10_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_108_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_108_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_9_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_49_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_66_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_66_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_73_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_82_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_73_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_72_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_60_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_17_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_14_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_82_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_60_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_41_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_118_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_127_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_68_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_68_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_83_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_63_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_23_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_32_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_137_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_99_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_132_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_86_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_86_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_100_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2015 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2004 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_39_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_55_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2059 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2048 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2037 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2026 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1314 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1303 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_54_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_55_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_70_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1347 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1336 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1325 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1369 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1358 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_23_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_10_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_109_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_10_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_108_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_124_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_124_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_2_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_117_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_111_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_65_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_92_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_93_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_18_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_60_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_1870 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_60_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1881 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1892 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_13_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_61_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_5_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_130_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_114_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_68_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_84_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_3_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_113_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_83_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_37_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_37_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_24_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_24_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_138_827 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_137_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_106_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_3_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_106_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_121_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_87_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_86_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_74_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_27_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_42_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1100 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1111 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1122 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1155 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1144 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_43_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_1133 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1188 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1199 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1177 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1166 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_8_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_11_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_10_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_6_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_109_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_6_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_97_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_906 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_917 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_124_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_112_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_111_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_97_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_928 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_939 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_120_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_66_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_92_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_65_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_19_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_92_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_81_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_18_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_19_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_61_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_2390 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_21_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_21_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_0_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_130_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_124_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_69_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_29_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_84_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_71_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_71_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_216 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_205 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_24_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XPHY_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_238 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_227 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_24_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_33_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_613 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_138_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_125_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_134_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_109_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_3_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_3_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_106_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_79_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_48_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_74_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_74_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_63_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_90_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_74_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_90_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_74_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_43_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_43_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_90_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_90_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_128_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_125_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_7_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_112_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_703 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_714 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_725 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_736 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_747 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_758 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_97_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_24_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_769 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_38_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_53_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_93_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_66_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_53_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_61_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_9_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_21_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_119_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_116_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_107_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_135_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_115_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_1_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_76_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_29_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_56_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_72_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_71_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_72_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_9_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_60_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_125_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_4_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_122_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_109_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_0_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_35_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_90_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_90_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_43_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_113_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_98_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_500 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_511 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_522 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_533 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_85_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_544 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_555 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_566 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_577 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_599 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_588 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_27_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_38_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_54_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_121_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_93_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_54_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_14_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_104_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_131_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_57_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_85_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_57_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_72_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_33_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_55_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_72_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_9_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_126_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_5_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_126_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_4_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_95_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_67_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_67_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_83_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_129_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_8_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_113_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_113_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_330 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_341 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_86_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_385 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_374 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_363 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_352 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_132_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_100_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_86_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_396 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_39_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_2208 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_67_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_39_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2219 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_54_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1529 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1518 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1507 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_14 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_47 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_41_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_54_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_58 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_41_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_10_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_22_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_10_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_41_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_108_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_136_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_108_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_1_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_2_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_49_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_2_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_106_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_65_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_49_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_66_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_73_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_73_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_33_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_82_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_82_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_60_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_13_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_127_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_126_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_5_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_68_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_83_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_49_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_36_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_23_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_51_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_23_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_11_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_59_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_100_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_86_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_100_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_86_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2016 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2005 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_39_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_55_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2049 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2038 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2027 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_70_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1304 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1348 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1337 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1326 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1315 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_55_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1359 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_10_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_10_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_108_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_124_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_108_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_124_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_97_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_117_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_89_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_65_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_73_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1860 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_21_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_33_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1882 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1893 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1871 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_54_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_68_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_29_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_84_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_68_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_24_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_22_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_137_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_106_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_3_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_106_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_105_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_101_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_87_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_86_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_55_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1101 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1112 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1123 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_70_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_63_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1156 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1145 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_42_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1134 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1189 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1178 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1167 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_129_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_11_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_10_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_124_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_6_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_97_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_3_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_907 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_111_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_918 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_929 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_111_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_66_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_92_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_19_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_92_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2380 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_61_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_2391 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_21_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1690 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_119_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_135_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_108_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_130_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_111_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_84_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_29_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_84_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_83_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_17_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_71_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_24_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XANTENNA_output2_A net2 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__diode_2
+XPHY_239 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_228 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_24_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_33_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_669 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_106_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_3_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_121_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_79_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_48_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_101_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_74_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_16_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_90_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_74_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_74_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_43_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_55_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_90_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_43_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_90_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_124_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_112_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_98_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_97_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_3_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_704 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_715 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_726 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_737 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_748 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_759 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_66_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_66_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_65_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_17_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_81_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_93_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_19_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_61_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_21_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_9_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_30_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_135_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_135_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_103_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_130_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_84_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_72_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_56_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_71_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_71_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_40_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_80_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_100_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_100_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_121_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_4_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_125_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_106_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_133_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_95_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_641 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_48_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_48_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_90_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_63_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_35_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_90_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_90_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_43_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_12_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_98_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_112_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_512 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_501 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_523 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_534 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_85_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_545 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_556 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_567 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_578 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_589 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_93_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_66_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_38_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_54_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_19_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_53_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_62_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_14_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_22_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_30_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_107_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_1_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_1_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_39_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_29_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_72_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_17_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_72_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_72_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_32_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_111_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_41_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_71_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_9_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_4_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_4_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_96_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_67_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_95_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_91_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_82_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_48_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_91_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_90_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_84_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_105_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_99_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_6_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_116_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_113_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_112_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_320 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_331 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_342 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_113_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_375 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_364 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_353 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_397 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_386 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_94_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_27_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_54_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2209 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_39_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_54_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1519 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1508 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_26 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_15 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_25_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_54_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_23_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_48 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_59 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_136_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_41_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_108_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_123_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_1_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_49_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_77_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_73_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_66_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_64_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_122_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_73_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_72_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_82_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_26_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_33_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_32_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_15_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_41_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_9_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_126_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_83_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_76_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_36_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_118_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_113_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_86_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_100_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_86_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_100_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_86_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_39_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2006 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_55_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_2039 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2028 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2017 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_70_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1305 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_55_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1338 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1327 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1316 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_70_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1349 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_10_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_23_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_10_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_136_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_108_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_10_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_108_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_124_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_65_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_18_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_73_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1861 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1850 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_1894 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1872 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1883 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_127_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_127_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_96_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_84_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_68_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_3_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_110_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_68_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_83_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_36_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_52_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_137_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_138_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_118_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_106_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_121_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_86_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_86_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1102 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1113 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_55_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1146 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_1124 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1135 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_70_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1179 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1168 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1157 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_8_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_42_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_10_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_124_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_10_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_124_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_908 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_124_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_111_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_97_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_919 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_78_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_66_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_65_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_80_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_19_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_92_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_18_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2370 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_33_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_34_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2392 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2381 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1680 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1691 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_21_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_124_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_84_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_68_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_29_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_84_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_83_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_71_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_37_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_207 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_80_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_24_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XPHY_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_33_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_24_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_137_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_106_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_3_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_106_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_3_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_106_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_121_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_87_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_101_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_74_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_74_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_62_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_16_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_71_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_15_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_16_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_130_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_129_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_90_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_23_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_705 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_716 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_97_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_727 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_738 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_749 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_66_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_66_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_65_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_0_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_62_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_0_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_61_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_21_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_34_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_119_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_30_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_135_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_128_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_130_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_89_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_1_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_135_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_130_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_84_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_29_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_56_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_71_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_80_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_9_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_40_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_100_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_445 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_100_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_133_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_122_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_4_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_79_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_102_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_88_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_697 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_48_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_90_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_35_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_47_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_90_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_71_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_16_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_43_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_12_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_125_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_112_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_98_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_513 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_502 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_524 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_535 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_546 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_557 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_66_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_568 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_579 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_26_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_35_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_38_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_53_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_62_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_22_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_61_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_30_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_122_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_104_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_1_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_131_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_130_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_39_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_85_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_85_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_84_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_72_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_29_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_17_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_26_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_55_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_72_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_41_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_53_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_9_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_122_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_4_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_96_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_95_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_90_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_35_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_90_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_32_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_44_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_43_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_104_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_98_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_113_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_98_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_310 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_321 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_332 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_376 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_365 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_354 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_343 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_67_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_387 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_398 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_132_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_67_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_66_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_82_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_54_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_1509 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_38 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_25_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_54_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_23_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XPHY_49 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_35_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_22_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_41_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_135_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_123_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_1_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_104_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_77_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_106_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_106_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_72_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_17_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_73_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_72_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_14_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_26_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_82_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_25_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_41_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_15_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_41_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_126_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_5_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_31_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+Xoutput2 net2 vssd1 vssd1 vccd1 vccd1 oresponse sky130_fd_sc_hd__buf_2
+XFILLER_126_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_95_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_49_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_49_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_91_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_64_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_36_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_51_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_133_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_105_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_113_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_86_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_2007 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_36_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_39_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_54_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2029 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2018 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_55_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_70_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1339 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1328 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1317 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1306 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_70_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_23_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_23_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_10_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_22_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_108_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_136_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_124_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_108_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_7_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_2_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_93_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_18_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_73_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_73_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_33_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1851 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1840 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_33_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1873 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1884 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1862 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1895 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_9_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_115_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_5_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_83_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_83_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_36_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_137_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_20_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_118_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_106_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_105_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_113_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_86_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_86_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_47_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_55_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_15_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1103 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1114 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_55_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_70_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_63_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1147 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1125 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1136 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1169 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1158 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_128_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_10_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_137_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_10_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_124_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_12_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_3_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_128_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_124_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_909 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_65_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_81_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_65_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_34_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2371 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2360 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2382 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_33_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1681 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1692 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1670 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_119_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_127_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_6_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_111_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_111_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_84_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_83_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_84_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_219 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_208 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_12_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_33_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_134_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_106_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_106_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_121_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_114_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_87_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_63_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_55_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_70_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_16_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_15_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_90_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_11_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_11_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_23_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_125_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_109_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_124_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_124_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_97_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_3_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_706 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_97_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_717 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_728 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_739 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_66_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_65_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_39_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_66_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_81_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_19_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2190 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_21_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_9_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_21_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_128_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_127_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_109 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_130_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_69_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_97_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_69_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_29_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_84_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_29_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_84_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_71_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_71_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_52_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_8_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_100_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_21_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_119_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_107_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_107_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_106_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_122_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_106_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_121_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_79_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_95_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_85_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_48_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_63_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_90_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_31_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_43_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_11_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_503 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_514 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_525 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_124_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_112_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_98_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_536 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_547 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_558 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_79_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_569 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_22_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_66_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_27_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_66_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_81_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_19_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_34_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_61_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_14_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_135_405 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_135_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_104_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_1_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_39_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_77_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_85_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_29_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_85_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_55_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_72_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_13_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_25_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_40_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_71_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_9_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_138_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_107_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_136_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_106_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_122_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_96_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_95_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_48_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_29_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_63_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_35_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_90_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_90_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_43_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_6_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_6_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_112_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_311 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_300 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_322 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_333 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_98_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_366 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_355 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_344 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_67_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_388 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_399 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_377 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_82_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_27_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_67_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_17 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_54_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XPHY_39 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_25_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_41_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_135_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_2_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_131_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_1_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_106_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_104_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_77_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_106_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_85_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_122_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_72_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_33_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_45_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_122_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_72_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_41_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_9_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_41_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_110_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_0_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_64_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_49_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_49_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_36_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_91_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_118_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_113_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_99_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_113_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_67_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_2019 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2008 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_82_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_15_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_27_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_54_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_70_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1329 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1318 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1307 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_23_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_23_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_6_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_136_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_131_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_93_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_85_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_73_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_18_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_33_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1852 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1841 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1830 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1874 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1885 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1863 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1896 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_41_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_9_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_6_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_5_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_96_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_95_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_49_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_83_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_64_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_36_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_809 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_60_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_105_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_121_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XANTENNA_input1_A ipulse vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__diode_2
+XFILLER_113_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_86_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_47_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_86_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_67_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_55_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_70_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_15_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1104 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_70_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1115 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1126 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_55_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1137 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_63_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1159 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1148 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_129_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_70_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_23_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_10_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_10_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_124_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_3_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_2_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_124_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_78_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_78_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_93_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_65_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_80_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_73_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2361 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2350 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_33_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2383 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2372 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1660 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1682 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1693 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1671 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_115_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_52_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_6_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_5_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_111_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_111_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_84_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_68_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_83_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_49_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_83_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_52_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_92_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_209 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_33_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_20_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_137_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_106_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_121_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_106_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_0_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_75_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_47_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_114_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_62_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_55_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_71_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_15_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_24_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_129_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_124_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_124_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_3_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_707 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_97_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_3_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_718 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_729 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_2_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_78_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_66_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_65_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_81_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_65_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_34_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_80_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_74_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2180 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_34_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2191 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_21_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_34_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1490 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_119_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_135_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_119_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_111_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_84_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_29_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_84_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_84_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_13_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_100_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_60_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_106_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_122_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_106_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_94_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_63_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_62_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_56_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_16_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_71_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_129_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_117_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_11_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_8_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_125_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_124_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_504 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_515 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_526 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_537 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_548 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_66_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_559 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_39_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_66_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_15_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_26_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_66_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_361 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_61_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_50_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_30_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_135_428 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_30_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_104_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_130_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_89_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_39_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_85_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_76_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_111_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_29_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_85_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_84_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_29_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_55_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_38_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_40_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_53_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_71_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_277 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_107_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_106_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_122_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_122_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_121_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_96_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_95_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_88_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_48_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_29_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_90_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_90_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_32_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_43_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_45_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_12_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_117_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_6_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_6_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_112_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_98_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_312 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_301 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_323 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_367 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_356 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_345 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_334 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_67_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_389 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_378 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_67_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_66_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_82_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_27_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_82_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_81_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XPHY_18 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_26_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_22_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_35_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_22_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_41_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_135_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_104_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_1_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_104_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_1_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_106_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_890 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_122_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_72_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_122_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_72_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_14_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_32_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_9_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_15_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_40_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_41_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_9_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_122_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_110_637 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_95_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_1_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_49_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_0_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_49_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_64_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_64_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_63_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_17_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_32_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_82_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_615 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_99_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_98_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_113_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_98_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_67_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2009 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_82_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1319 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1308 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_70_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_54_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_23_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_52_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_22_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_2_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_78_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_2_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_104_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_77_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_92_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_100_681 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_46_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_61_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1842 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1831 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1820 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_26_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_33_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1875 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1864 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1853 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1897 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1886 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_9_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_41_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_729 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_108_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_96_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_401 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_445 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_489 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_95_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_83_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_49_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_92_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_64_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_36_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_91_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_60_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_19 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_8
+XFILLER_118_501 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_545 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_589 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_133_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_120_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_113_261 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_86_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_74_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_28_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_83_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_27_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_28_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_63_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_43_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1105 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_70_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_15_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1116 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1127 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1138 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_70_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1149 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_23_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_128_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_12_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_10_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_128_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_12_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_128_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_132_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_78_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_65_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_74_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_18_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_37_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2362 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2351 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2340 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_18_289 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_46_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_2384 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2373 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1650 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_33_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1683 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1694 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1672 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1661 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_53_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_41_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_42_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_102_209 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_111_721 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_96_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_111_765 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_253 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_56_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_83_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_65_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_80_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_92_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_91_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_25_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_52_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_821 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_121_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_99_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_59_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_47_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_114_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_83_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_71_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_70_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_28_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_55_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_15_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_70_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_23_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_24_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_129_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_23_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_128_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_7_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_137_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_124_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_99_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_124_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_3_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_124_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_3_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_708 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_719 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_65_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_74_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_62_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_34_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2170 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2181 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2192 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1491 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1480 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_134_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_813 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_103_529 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_97_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_111_573 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_97_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_84_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_28_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_29_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_38_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_84_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_65_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_37_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_52_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_21_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_60_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_20_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_21_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_673 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_106_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_134_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_122_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_106_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_106_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_121_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_85_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_29_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_47_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_56_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_16_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_44_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_71_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_55_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_71_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_70_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_8_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_11_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_116_109 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_125_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_124_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_505 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_3_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_516 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_124_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_527 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_538 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_549 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_79_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_94_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_66_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_81_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_66_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_373 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_47_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_81_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_116_643 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_104_805 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_89_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_337 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_39_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_84_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_85_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_29_137 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_84_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_26_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_55_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_38_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_53_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_111_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_13_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_71_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_40_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_112_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_20_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_122_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_106_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_122_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_106_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_121_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_48_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_76_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_48_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_63_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_29_671 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_63_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_16_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_32_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_45_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_129_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_8_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_61_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_429 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_6_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_4_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_313 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_302 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_324 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_357 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_346 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_335 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_379 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_368 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_67_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_66_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_82_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_66_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_82_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_19 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_19_181 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_81_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_35_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_22_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_34_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_50_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_136_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_135_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_104_613 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_104_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_1_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_103_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_106_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_66_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_880 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_85_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_891 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_122_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_60_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_14_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_40_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_9_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_127_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_31_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_107_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_122_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_95_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_95_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_49_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_64_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_64_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_63_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_17_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_32_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XPHY_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_75_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_749 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_117_237 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_87_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_67_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_67_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_27_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_82_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_27_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_36_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1309 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_22_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_11_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_22_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_6_309 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_104_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_77_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_93_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_100_693 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_93_57 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_85_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1843 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1832 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1821 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1810 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_45_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1876 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1865 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1854 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_41_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_1898 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1887 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_9_125 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_14_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_41_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_9_169 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_42_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_108_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_123_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_122_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_110_413 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_96_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_95_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_69_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_3_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_110_457 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_49_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_49_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_64_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_91_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_24_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_51_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_51_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_513 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_557 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_99_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_113_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_99_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_725 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_67_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_82_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_28_769 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_70_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_63_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_27_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_1106 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1117 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1128 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_70_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_23_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_1139 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_23_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_7_629 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_12_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_6_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_136_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_128_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_12_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_128_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_105_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_345 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_104_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_78_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_77_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_389 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_120_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_78_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_93_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_46_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_61_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_2352 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2341 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2330 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_33_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2385 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2374 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2363 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1651 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1640 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_33_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1684 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1673 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1662 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1695 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_41_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_128_811 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_115_505 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_5_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_38_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_110_221 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_111_777 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_110_265 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_96_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_83_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_49_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_83_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_64_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_52_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_91_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_33_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_20_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_833 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_118_321 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_118_365 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_58_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_102_755 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_99_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_74_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_533 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_114_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_15_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_43_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_55_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_70_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_15_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_130_65 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_70_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_23_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_137_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_124_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_3_665 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_2_153 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_709 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_78_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_2_197 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_39_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_78_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_65_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_93_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_81_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_65_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_46_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_2171 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2160 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_2182 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_2193 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_34_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_1492 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1481 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_1470 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_116_825 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_115_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_89_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_131_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_97_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_111_541 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_111_585 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_97_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_84_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_25_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_37_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_44_29 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_21_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_138_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_21_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_641 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_119_685 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_109_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_118_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_106_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_69_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_134_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_121_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_613 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_121_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_657 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_85_69 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_75_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_63_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_18_41 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_47_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_18_85 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_28_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_71_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_55_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_70_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_569 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_71_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_129_405 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_8_713 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_129_449 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_7_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_8_757 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_124_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_124_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_113_839 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_506 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_79_753 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_3_473 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_517 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_528 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_539 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_94_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_59_81 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_39_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_797 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_66_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_81_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_34_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_135_408 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_116_699 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_103_305 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_89_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_349 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_97_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_29_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_111_393 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_84_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_57_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_29_149 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_45_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_84_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_55_39 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_37_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_13_517 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_52_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_71_27 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_53_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_21_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_126_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_5_727 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_106_121 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_105_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_106_165 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_53 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_122_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_121_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_0_421 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_20_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_136_97 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_122_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_76_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_29_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_48_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_63_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_333 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_71_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_377 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_32_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_61_93 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_129_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_8_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_125_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_6_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_125_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_4_793 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_314 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_303 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_3_281 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_101_809 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_79_561 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XTAP_358 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_347 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_325 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_336 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_369 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_20_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_94_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_66_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_27_609 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_39_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_82_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_66_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_81_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_19_193 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_34_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_90_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_35_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_50_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_135_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_108_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_135_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_104_625 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_103_113 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_104_669 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_58_701 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_66_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XTAP_870 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_97_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_85_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XTAP_881 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XTAP_892 vssd1 vccd1 sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_45_417 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_85_597 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_122_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_82_15 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_14_837 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_4
+XFILLER_53_461 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_40_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_9_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_40_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_21_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_135_783 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_107_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_122_433 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_107_485 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_1_741 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_122_477 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_95_317 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_1_785 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_76_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_48_233 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_48_277 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_64_737 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_63_225 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_16_141 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_653 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_72_781 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_17_697 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_32_601 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_31_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_32_645 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XPHY_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XPHY_180 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_3
+XFILLER_9_841 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
+XFILLER_117_205 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_133_709 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_117_249 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_68_3 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_125_293 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
+XFILLER_101_617 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+XFILLER_98_177 vssd1 vssd1 vccd1 vccd1 sky130_ef_sc_hd__decap_12
+.ends
+
diff --git a/spi/lvs/user_project_wrapper.spice b/spi/lvs/user_project_wrapper.spice
new file mode 100644
index 0000000..1d1aaf2
--- /dev/null
+++ b/spi/lvs/user_project_wrapper.spice
@@ -0,0 +1,115 @@
+* NGSPICE file created from user_project_wrapper.ext - technology: sky130A
+
+* Black-box entry subcircuit for arbiterpuf abstract view
+.subckt arbiterpuf ichallenge[0] ichallenge[10] ichallenge[11] ichallenge[12] ichallenge[13]
++ ichallenge[14] ichallenge[15] ichallenge[1] ichallenge[2] ichallenge[3] ichallenge[4]
++ ichallenge[5] ichallenge[6] ichallenge[7] ichallenge[8] ichallenge[9] ipulse oresponse
++ vccd1 vssd1
+.ends
+
+.subckt user_project_wrapper analog_io[0] analog_io[10] analog_io[11] analog_io[12]
++ analog_io[13] analog_io[14] analog_io[15] analog_io[16] analog_io[17] analog_io[18]
++ analog_io[19] analog_io[1] analog_io[20] analog_io[21] analog_io[22] analog_io[23]
++ analog_io[24] analog_io[25] analog_io[26] analog_io[27] analog_io[28] analog_io[2]
++ analog_io[3] analog_io[4] analog_io[5] analog_io[6] analog_io[7] analog_io[8] analog_io[9]
++ io_in[0] io_in[10] io_in[11] io_in[12] io_in[13] io_in[14] io_in[15] io_in[16] io_in[17]
++ io_in[18] io_in[19] io_in[1] io_in[20] io_in[21] io_in[22] io_in[23] io_in[24] io_in[25]
++ io_in[26] io_in[27] io_in[28] io_in[29] io_in[2] io_in[30] io_in[31] io_in[32] io_in[33]
++ io_in[34] io_in[35] io_in[36] io_in[37] io_in[3] io_in[4] io_in[5] io_in[6] io_in[7]
++ io_in[8] io_in[9] io_oeb[0] io_oeb[10] io_oeb[11] io_oeb[12] io_oeb[13] io_oeb[14]
++ io_oeb[15] io_oeb[16] io_oeb[17] io_oeb[18] io_oeb[19] io_oeb[1] io_oeb[20] io_oeb[21]
++ io_oeb[22] io_oeb[23] io_oeb[24] io_oeb[25] io_oeb[26] io_oeb[27] io_oeb[28] io_oeb[29]
++ io_oeb[2] io_oeb[30] io_oeb[31] io_oeb[32] io_oeb[33] io_oeb[34] io_oeb[35] io_oeb[36]
++ io_oeb[37] io_oeb[3] io_oeb[4] io_oeb[5] io_oeb[6] io_oeb[7] io_oeb[8] io_oeb[9]
++ io_out[0] io_out[10] io_out[11] io_out[12] io_out[13] io_out[14] io_out[15] io_out[16]
++ io_out[17] io_out[18] io_out[19] io_out[1] io_out[20] io_out[21] io_out[22] io_out[23]
++ io_out[24] io_out[25] io_out[26] io_out[27] io_out[28] io_out[29] io_out[2] io_out[30]
++ io_out[31] io_out[32] io_out[33] io_out[34] io_out[35] io_out[36] io_out[37] io_out[3]
++ io_out[4] io_out[5] io_out[6] io_out[7] io_out[8] io_out[9] la_data_in[0] la_data_in[100]
++ la_data_in[101] la_data_in[102] la_data_in[103] la_data_in[104] la_data_in[105]
++ la_data_in[106] la_data_in[107] la_data_in[108] la_data_in[109] la_data_in[10] la_data_in[110]
++ la_data_in[111] la_data_in[112] la_data_in[113] la_data_in[114] la_data_in[115]
++ la_data_in[116] la_data_in[117] la_data_in[118] la_data_in[119] la_data_in[11] la_data_in[120]
++ la_data_in[121] la_data_in[122] la_data_in[123] la_data_in[124] la_data_in[125]
++ la_data_in[126] la_data_in[127] la_data_in[12] la_data_in[13] la_data_in[14] la_data_in[15]
++ la_data_in[16] la_data_in[17] la_data_in[18] la_data_in[19] la_data_in[1] la_data_in[20]
++ la_data_in[21] la_data_in[22] la_data_in[23] la_data_in[24] la_data_in[25] la_data_in[26]
++ la_data_in[27] la_data_in[28] la_data_in[29] la_data_in[2] la_data_in[30] la_data_in[31]
++ la_data_in[32] la_data_in[33] la_data_in[34] la_data_in[35] la_data_in[36] la_data_in[37]
++ la_data_in[38] la_data_in[39] la_data_in[3] la_data_in[40] la_data_in[41] la_data_in[42]
++ la_data_in[43] la_data_in[44] la_data_in[45] la_data_in[46] la_data_in[47] la_data_in[48]
++ la_data_in[49] la_data_in[4] la_data_in[50] la_data_in[51] la_data_in[52] la_data_in[53]
++ la_data_in[54] la_data_in[55] la_data_in[56] la_data_in[57] la_data_in[58] la_data_in[59]
++ la_data_in[5] la_data_in[60] la_data_in[61] la_data_in[62] la_data_in[63] la_data_in[64]
++ la_data_in[65] la_data_in[66] la_data_in[67] la_data_in[68] la_data_in[69] la_data_in[6]
++ la_data_in[70] la_data_in[71] la_data_in[72] la_data_in[73] la_data_in[74] la_data_in[75]
++ la_data_in[76] la_data_in[77] la_data_in[78] la_data_in[79] la_data_in[7] la_data_in[80]
++ la_data_in[81] la_data_in[82] la_data_in[83] la_data_in[84] la_data_in[85] la_data_in[86]
++ la_data_in[87] la_data_in[88] la_data_in[89] la_data_in[8] la_data_in[90] la_data_in[91]
++ la_data_in[92] la_data_in[93] la_data_in[94] la_data_in[95] la_data_in[96] la_data_in[97]
++ la_data_in[98] la_data_in[99] la_data_in[9] la_data_out[0] la_data_out[100] la_data_out[101]
++ la_data_out[102] la_data_out[103] la_data_out[104] la_data_out[105] la_data_out[106]
++ la_data_out[107] la_data_out[108] la_data_out[109] la_data_out[10] la_data_out[110]
++ la_data_out[111] la_data_out[112] la_data_out[113] la_data_out[114] la_data_out[115]
++ la_data_out[116] la_data_out[117] la_data_out[118] la_data_out[119] la_data_out[11]
++ la_data_out[120] la_data_out[121] la_data_out[122] la_data_out[123] la_data_out[124]
++ la_data_out[125] la_data_out[126] la_data_out[127] la_data_out[12] la_data_out[13]
++ la_data_out[14] la_data_out[15] la_data_out[16] la_data_out[17] la_data_out[18]
++ la_data_out[19] la_data_out[1] la_data_out[20] la_data_out[21] la_data_out[22] la_data_out[23]
++ la_data_out[24] la_data_out[25] la_data_out[26] la_data_out[27] la_data_out[28]
++ la_data_out[29] la_data_out[2] la_data_out[30] la_data_out[31] la_data_out[32] la_data_out[33]
++ la_data_out[34] la_data_out[35] la_data_out[36] la_data_out[37] la_data_out[38]
++ la_data_out[39] la_data_out[3] la_data_out[40] la_data_out[41] la_data_out[42] la_data_out[43]
++ la_data_out[44] la_data_out[45] la_data_out[46] la_data_out[47] la_data_out[48]
++ la_data_out[49] la_data_out[4] la_data_out[50] la_data_out[51] la_data_out[52] la_data_out[53]
++ la_data_out[54] la_data_out[55] la_data_out[56] la_data_out[57] la_data_out[58]
++ la_data_out[59] la_data_out[5] la_data_out[60] la_data_out[61] la_data_out[62] la_data_out[63]
++ la_data_out[64] la_data_out[65] la_data_out[66] la_data_out[67] la_data_out[68]
++ la_data_out[69] la_data_out[6] la_data_out[70] la_data_out[71] la_data_out[72] la_data_out[73]
++ la_data_out[74] la_data_out[75] la_data_out[76] la_data_out[77] la_data_out[78]
++ la_data_out[79] la_data_out[7] la_data_out[80] la_data_out[81] la_data_out[82] la_data_out[83]
++ la_data_out[84] la_data_out[85] la_data_out[86] la_data_out[87] la_data_out[88]
++ la_data_out[89] la_data_out[8] la_data_out[90] la_data_out[91] la_data_out[92] la_data_out[93]
++ la_data_out[94] la_data_out[95] la_data_out[96] la_data_out[97] la_data_out[98]
++ la_data_out[99] la_data_out[9] la_oenb[0] la_oenb[100] la_oenb[101] la_oenb[102]
++ la_oenb[103] la_oenb[104] la_oenb[105] la_oenb[106] la_oenb[107] la_oenb[108] la_oenb[109]
++ la_oenb[10] la_oenb[110] la_oenb[111] la_oenb[112] la_oenb[113] la_oenb[114] la_oenb[115]
++ la_oenb[116] la_oenb[117] la_oenb[118] la_oenb[119] la_oenb[11] la_oenb[120] la_oenb[121]
++ la_oenb[122] la_oenb[123] la_oenb[124] la_oenb[125] la_oenb[126] la_oenb[127] la_oenb[12]
++ la_oenb[13] la_oenb[14] la_oenb[15] la_oenb[16] la_oenb[17] la_oenb[18] la_oenb[19]
++ la_oenb[1] la_oenb[20] la_oenb[21] la_oenb[22] la_oenb[23] la_oenb[24] la_oenb[25]
++ la_oenb[26] la_oenb[27] la_oenb[28] la_oenb[29] la_oenb[2] la_oenb[30] la_oenb[31]
++ la_oenb[32] la_oenb[33] la_oenb[34] la_oenb[35] la_oenb[36] la_oenb[37] la_oenb[38]
++ la_oenb[39] la_oenb[3] la_oenb[40] la_oenb[41] la_oenb[42] la_oenb[43] la_oenb[44]
++ la_oenb[45] la_oenb[46] la_oenb[47] la_oenb[48] la_oenb[49] la_oenb[4] la_oenb[50]
++ la_oenb[51] la_oenb[52] la_oenb[53] la_oenb[54] la_oenb[55] la_oenb[56] la_oenb[57]
++ la_oenb[58] la_oenb[59] la_oenb[5] la_oenb[60] la_oenb[61] la_oenb[62] la_oenb[63]
++ la_oenb[64] la_oenb[65] la_oenb[66] la_oenb[67] la_oenb[68] la_oenb[69] la_oenb[6]
++ la_oenb[70] la_oenb[71] la_oenb[72] la_oenb[73] la_oenb[74] la_oenb[75] la_oenb[76]
++ la_oenb[77] la_oenb[78] la_oenb[79] la_oenb[7] la_oenb[80] la_oenb[81] la_oenb[82]
++ la_oenb[83] la_oenb[84] la_oenb[85] la_oenb[86] la_oenb[87] la_oenb[88] la_oenb[89]
++ la_oenb[8] la_oenb[90] la_oenb[91] la_oenb[92] la_oenb[93] la_oenb[94] la_oenb[95]
++ la_oenb[96] la_oenb[97] la_oenb[98] la_oenb[99] la_oenb[9] user_clock2 user_irq[0]
++ user_irq[1] user_irq[2] vccd1 vccd2 vdda1 vdda2 vssa1 vssa2 vssd1 vssd2 wb_clk_i
++ wb_rst_i wbs_ack_o wbs_adr_i[0] wbs_adr_i[10] wbs_adr_i[11] wbs_adr_i[12] wbs_adr_i[13]
++ wbs_adr_i[14] wbs_adr_i[15] wbs_adr_i[16] wbs_adr_i[17] wbs_adr_i[18] wbs_adr_i[19]
++ wbs_adr_i[1] wbs_adr_i[20] wbs_adr_i[21] wbs_adr_i[22] wbs_adr_i[23] wbs_adr_i[24]
++ wbs_adr_i[25] wbs_adr_i[26] wbs_adr_i[27] wbs_adr_i[28] wbs_adr_i[29] wbs_adr_i[2]
++ wbs_adr_i[30] wbs_adr_i[31] wbs_adr_i[3] wbs_adr_i[4] wbs_adr_i[5] wbs_adr_i[6]
++ wbs_adr_i[7] wbs_adr_i[8] wbs_adr_i[9] wbs_cyc_i wbs_dat_i[0] wbs_dat_i[10] wbs_dat_i[11]
++ wbs_dat_i[12] wbs_dat_i[13] wbs_dat_i[14] wbs_dat_i[15] wbs_dat_i[16] wbs_dat_i[17]
++ wbs_dat_i[18] wbs_dat_i[19] wbs_dat_i[1] wbs_dat_i[20] wbs_dat_i[21] wbs_dat_i[22]
++ wbs_dat_i[23] wbs_dat_i[24] wbs_dat_i[25] wbs_dat_i[26] wbs_dat_i[27] wbs_dat_i[28]
++ wbs_dat_i[29] wbs_dat_i[2] wbs_dat_i[30] wbs_dat_i[31] wbs_dat_i[3] wbs_dat_i[4]
++ wbs_dat_i[5] wbs_dat_i[6] wbs_dat_i[7] wbs_dat_i[8] wbs_dat_i[9] wbs_dat_o[0] wbs_dat_o[10]
++ wbs_dat_o[11] wbs_dat_o[12] wbs_dat_o[13] wbs_dat_o[14] wbs_dat_o[15] wbs_dat_o[16]
++ wbs_dat_o[17] wbs_dat_o[18] wbs_dat_o[19] wbs_dat_o[1] wbs_dat_o[20] wbs_dat_o[21]
++ wbs_dat_o[22] wbs_dat_o[23] wbs_dat_o[24] wbs_dat_o[25] wbs_dat_o[26] wbs_dat_o[27]
++ wbs_dat_o[28] wbs_dat_o[29] wbs_dat_o[2] wbs_dat_o[30] wbs_dat_o[31] wbs_dat_o[3]
++ wbs_dat_o[4] wbs_dat_o[5] wbs_dat_o[6] wbs_dat_o[7] wbs_dat_o[8] wbs_dat_o[9] wbs_sel_i[0]
++ wbs_sel_i[1] wbs_sel_i[2] wbs_sel_i[3] wbs_stb_i wbs_we_i
+Xpuf1 io_in[23] io_in[33] io_in[34] io_in[35] io_in[36] io_in[37] puf1/ichallenge[15]
++ io_in[24] io_in[25] io_in[26] io_in[27] io_in[28] io_in[29] io_in[30] io_in[31]
++ io_in[32] io_in[22] io_out[20] vccd1 vssd1 arbiterpuf
+.ends
+