blob: 0ecf894818b4f8c1079b50c3e5ed127e1ca867b0 [file] [log] [blame]
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
library ("sky130_ef_io__gpiov2_pad_wrapped_ff_ff_n40C_1v95_5v50") {
define(three_state_pullup_res,library,string);
define(three_state_pulldn_res,library,string);
define(zstate_leak_threshold_pct,library,string);
define(clk_width,library,string);
define(driver_model,library,string);
define(def_sim_opt,library,string);
define(simulator,library,string);
define(signal_voltage_type,pin,string);
technology("cmos");
delay_model : "table_lookup";
revision : "1.0";
date : "localtime";
default_leakage_power_density : 0.000000;
default_cell_leakage_power : 0.000000;
default_fanout_load : 0.000000;
default_inout_pin_cap : 0.000000;
default_input_pin_cap : 0.000000;
default_output_pin_cap : 0.000000;
default_max_transition : 1.500000;
bus_naming_style : "%s[%d]";
in_place_swap_mode : "match_footprint";
library_features("report_delay_calculation");
voltage_unit : "1V";
current_unit : "1mA";
leakage_power_unit : "1nW";
pulling_resistance_unit : "1kohm";
time_unit : "1ns";
resistance_unit : "1ohm";
capacitive_load_unit(1.000000, \
"pf");
input_threshold_pct_rise : 50.000000;
input_threshold_pct_fall : 50.000000;
output_threshold_pct_rise : 50.000000;
output_threshold_pct_fall : 50.000000;
slew_lower_threshold_pct_fall : 20.000000;
slew_lower_threshold_pct_rise : 20.000000;
slew_upper_threshold_pct_fall : 80.000000;
slew_upper_threshold_pct_rise : 80.000000;
slew_derate_from_library : 1.000000;
three_state_pullup_res : "1";
three_state_pulldn_res : "1";
zstate_leak_threshold_pct : "0.1";
clk_width : "1.00E-05";
nom_process : 1.000000;
nom_temperature : -40.000000;
nom_voltage : 1.950000;
driver_model : "snps_predriver";
def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
voltage_map("VSS",0.000000);
voltage_map("VCCD",1.950000);
voltage_map("VCCHIB",1.950000);
voltage_map("VDDA",5.500000);
voltage_map("VDDIO",5.500000);
voltage_map("VDDIO_Q",5.500000);
voltage_map("VSSA",0.000000);
voltage_map("VSSD",0.000000);
voltage_map("VSSIO",0.000000);
voltage_map("VSSIO_Q",0.000000);
voltage_map("VSWITCH",5.500000);
power_lut_template ("power_inputs_1") {
variable_1 : "input_transition_time";
index_1("1, 2, 3, 4, 5, 6, 7");
}
output_voltage (GENERAL_IO_VDDIO_VOLTAGE) {
vol : 0.550;
voh : 4.950;
vomax : 5.775;
vomin : -0.275;
}
output_voltage (GENERAL_CORE_VOLTAGE) {
vol : 0.195;
voh : 1.755;
vomax : 2.047;
vomin : -0.098;
}
input_voltage (GENERAL_IO_VDDIO_VOLTAGE) {
vil : 1.375;
vih : 4.125;
vimax : 5.775;
vimin : -0.275;
}
input_voltage (GENERAL_CORE_VOLTAGE) {
vil : 0.585;
vih : 1.365;
vimax : 2.047;
vimin : -0.098;
}
operating_conditions ("ff_ff_1p95v_x_5p50v_n40C") {
process : 1.000000;
temperature : -40.000000;
voltage : 1.950000;
tree_type : "balanced_tree";
}
lu_table_template ("vio_7_7_1") {
variable_1 : "related_pin_transition";
index_1("1, 2, 3, 4, 5, 6, 7");
variable_2 : "constrained_pin_transition";
index_2("1, 2, 3, 4, 5, 6, 7");
}
lu_table_template ("del_1_12_7") {
variable_1 : "input_net_transition";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12");
variable_2 : "total_output_net_capacitance";
index_2("1, 2, 3, 4, 5, 6, 7");
}lu_table_template ("del_1_7_7") {
variable_1 : "input_net_transition";
index_1("1, 2, 3, 4, 5, 6, 7");
variable_2 : "total_output_net_capacitance";
index_2("1, 2, 3, 4, 5, 6, 7");
}
power_lut_template ("power_outputs_1") {
variable_1 : "input_transition_time";
index_1("1, 2, 3, 4, 5, 6, 7");
variable_2 : "total_output_net_capacitance";
index_2("1, 2, 3, 4, 5, 6, 7");
}
lu_table_template(ccsn_dc) {
variable_1 : input_voltage;
variable_2 : output_voltage;
}
lu_table_template(ccsn_pnlh) {
variable_1 : input_noise_height;
variable_2 : input_noise_width;
variable_3 : total_output_net_capacitance;
variable_4 : time;
}
lu_table_template(ccsn_ovrf) {
variable_1 : input_net_transition;
variable_2 : total_output_net_capacitance;
variable_3 : time;
}
type (sky130_ef_io__gpiov2_pad_wrapped_dm_bus) {
base_type : array;
data_type : bit;
bit_width : 3 ;
bit_from : 2;
bit_to : 0;
downto : true;
}
cell ("sky130_ef_io__gpiov2_pad_wrapped") {
is_macro_cell : true
dont_use : true;
interface_timing : true;
pad_cell : true;
dont_touch : true;
area : 15000.000000;
cell_leakage_power : 1.098300e+02;
leakage_power (lkgGroup1) {
when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "68.1238000";
}
leakage_power (lkgGroup2) {
when : "!ENABLE_H & !INP_DIS & !OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & !ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "108.9740000";
}
leakage_power (lkgGroup4) {
when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "67.5238000";
}
leakage_power (lkgGroup3) {
when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "109.4570000";
}
leakage_power (lkgGroup5) {
when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "67.7512000";
}
leakage_power (lkgGroup9) {
when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "67.1728000";
}
leakage_power (lkgGroup10) {
when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "108.7790000";
}
leakage_power (lkgGroup6) {
when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "109.2300000";
}
leakage_power (lkgGroup7) {
when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "108.8790000";
}
leakage_power (lkgGroup8) {
when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "109.8300000";
}
leakage_power (lkgGroup11) {
when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "68.9600000";
}
leakage_power (lkgGroup14) {
when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "109.3380000";
}
leakage_power (lkgGroup15) {
when : "ENABLE_H & INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "108.7390000";
}
leakage_power (lkgGroup12) {
when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "109.2290000";
}
leakage_power (lkgGroup13) {
when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "109.5810000";
}
leakage_power (lkgGroup16) {
when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "67.6321000";
}
leakage_power (lkgGroup18) {
when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "67.5232000";
}
leakage_power (lkgGroup17) {
when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "67.8750000";
}
bus(DM) {
bus_type : sky130_ef_io__gpiov2_pad_wrapped_dm_bus;
direction : input;
related_power_pin : VCCD;
related_ground_pin : VSSD;
pin ("DM[2]") {
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCD";
related_ground_pin : "VSSD";
always_on : false;
rise_capacitance : 0.006731;
capacitance : 0.006574;
fall_capacitance : 0.006416;
max_transition : 1.500000;
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_hold_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.2797057, 0.2073874, 0.1686132, 0.1558140, 0.1484101, 0.1493457, 0.1594347", \
"0.3380255, 0.2547905, 0.2330458, 0.2248363, 0.2098030, 0.2076869, 0.2101464", \
"0.3453534, 0.2513539, 0.2341908, 0.2183314, 0.2139793, 0.1969892, 0.2004392", \
"0.3272498, 0.2579696, 0.2222822, 0.1967786, 0.1929238, 0.1831488, 0.1918796", \
"0.3077221, 0.2385118, 0.1966808, 0.1899552, 0.1802983, 0.1590729, 0.1661101", \
"0.2951138, 0.1755906, 0.1736407, 0.1468293, 0.1423223, 0.1342576, 0.1412947", \
"0.2603253, 0.1803924, 0.1500568, 0.1403721, 0.1229476, 0.1366462, 0.1376303");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.1820966, 0.0456109, -0.0503554, -0.1385299, -0.2114478, -0.2649321, -0.3108593", \
"0.2434682, 0.1124715, 0.0027470, -0.0826316, -0.1605167, -0.2348029, -0.2992809", \
"0.2401150, 0.1122483, 0.0135726, -0.0822834, -0.1573234, -0.2383244, -0.3003214", \
"0.2403218, 0.1033998, 0.0031176, -0.0856102, -0.1702354, -0.2444225, -0.3033224", \
"0.2207941, 0.0686133, -0.0221691, -0.0934872, -0.1836595, -0.2727508, -0.3116625", \
"0.1944529, 0.0422720, -0.0277563, -0.1239040, -0.2058302, -0.2792556, -0.3576677", \
"0.1596644, -0.0077754, -0.0594930, -0.1528474, -0.2376014, -0.2759750, -0.3756715");
}
}
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_setup_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.1139648, -0.0370324, -0.0135520, -0.0114339, -0.0070818, -0.0141210, 0.0017301", \
"-0.1738101, -0.0970234, -0.0632206, -0.0529159, -0.0335661, -0.0373661, -0.0382997", \
"-0.1567826, -0.1070228, -0.0771028, -0.0735835, -0.0704956, -0.0400165, -0.0485796", \
"-0.1630344, -0.0951226, -0.0731150, -0.0448581, -0.0590268, -0.0357456, -0.0443183", \
"-0.1404549, -0.0633878, -0.0249704, -0.0240826, -0.0208681, -0.0159349, -0.0251532", \
"-0.1125877, -0.0370466, 0.0015370, 0.0003557, -0.0024108, -0.0007521, 0.0144875", \
"-0.0793252, 0.0130007, 0.0286960, 0.0346973, -0.0297926, 0.0251892, 0.0419119");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.1674165, -0.0339807, 0.0610238, 0.1518831, 0.2201501, 0.2732763, 0.3172938", \
"-0.2303135, -0.1036538, 0.0028757, 0.0926297, 0.1705813, 0.2403439, 0.3092217", \
"-0.2224412, -0.1020820, -0.0022008, 0.0923702, 0.1606989, 0.2455697, 0.3064858", \
"-0.2271671, -0.0917076, 0.0009946, 0.0988995, 0.1772871, 0.2530209, 0.3101527", \
"-0.2030617, -0.0584470, 0.0325438, 0.1103314, 0.1907113, 0.2828543, 0.3292229", \
"-0.1782464, -0.0321060, 0.0394645, 0.1411577, 0.2164024, 0.2905625, 0.3677712", \
"-0.1434579, 0.0194671, 0.0712012, 0.1659160, 0.2473170, 0.3470357, 0.3720421");
}
}
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0426662, 0.0420959, 0.0415257, 0.0416784, 0.0418249, 0.0419776, 0.0421303");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0540832, 0.0533472, 0.0526111, 0.0530917, 0.0535531, 0.0540337, 0.0545143");
}
}
timing() { /* This is for power up */
related_pin : "HLD_H_N";
timing_type : non_seq_setup_rising;
sdf_cond : "ENABLE_H==1'b1";
when : "( ENABLE_H )";
rise_constraint (scalar) {
values ("5.0");
}
fall_constraint (scalar) {
values ("5.0");
}
}
ccsn_first_stage () {
is_needed : "true";
stage_type : "both";
is_inverting : "true";
miller_cap_fall : "0.0010592";
miller_cap_rise : "0.000882648";
dc_current ("ccsn_dc") {
index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
values("3.84941, 0.661865, 0.580803, 0.559672, 0.533787, 0.519003, 0.502979, 0.485708, 0.467189, 0.447417, 0.426392, 0.404112, 0.380576, 0.355783, 0.329732, 0.302424, 0.273857, 0.244031, 0.212946, 0.180603, 0.147, 0.112138, 0.0760175, 0.038638, -3.90882e-09, -0.0767358, -0.150398, -0.392865, -3.05885", \
"3.70605, 0.483953, 0.403234, 0.396124, 0.387641, 0.382655, 0.376972, 0.370373, 0.362585, 0.353341, 0.342452, 0.32982, 0.315398, 0.299168, 0.281117, 0.261241, 0.239537, 0.216002, 0.190637, 0.163439, 0.134411, 0.103552, 0.0708632, 0.0363454, -1.87963e-09, -0.0734332, -0.145037, -0.387578, -3.05898", \
"3.61521, 0.368545, 0.265391, 0.261486, 0.257153, 0.254776, 0.252221, 0.249446, 0.246395, 0.242987, 0.239102, 0.234551, 0.229032, 0.222102, 0.213304, 0.202362, 0.18916, 0.173658, 0.155836, 0.135688, 0.113211, 0.0884029, 0.0612643, 0.0317961, -1.88772e-09, -0.066096, -0.132499, -0.368743, -3.05565", \
"3.59151, 0.35384, 0.217501, 0.214341, 0.210895, 0.209035, 0.207063, 0.204954, 0.202678, 0.200195, 0.197444, 0.194339, 0.190741, 0.186418, 0.180962, 0.173796, 0.164443, 0.152683, 0.138438, 0.121681, 0.102401, 0.0805935, 0.0562573, 0.0293924, -1.89208e-09, -0.0621644, -0.125762, -0.358617, -3.05385", \
"3.57017, 0.355697, 0.170048, 0.167534, 0.164841, 0.163409, 0.161907, 0.160322, 0.158639, 0.156835, 0.154883, 0.152742, 0.150351, 0.147616, 0.144379, 0.140335, 0.134903, 0.127374, 0.11732, 0.104596, 0.0891542, 0.0709781, 0.0500615, 0.0264021, -1.8978e-09, -0.057255, -0.117352, -0.346111, -3.05159", \
"3.56045, 0.361656, 0.146938, 0.144646, 0.142291, 0.141047, 0.139749, 0.138387, 0.136952, 0.135426, 0.13379, 0.132017, 0.130066, 0.12788, 0.125361, 0.122336, 0.118446, 0.112992, 0.10522, 0.0947609, 0.0815001, 0.0654026, 0.0464561, 0.0246556, -1.90966e-09, -0.054382, -0.112434, -0.338884, -3.05027", \
"3.55147, 0.370961, 0.12519, 0.122359, 0.12032, 0.11925, 0.118139, 0.11698, 0.115766, 0.114486, 0.113126, 0.111667, 0.110084, 0.108339, 0.106373, 0.10409, 0.101301, 0.0975682, 0.0920548, 0.0839994, 0.0730982, 0.0592664, 0.0424781, 0.0227238, -2.18654e-09, -0.0512007, -0.106991, -0.330969, -3.04881", \
"3.54333, 0.383727, 0.108399, 0.100911, 0.0991585, 0.0982501, 0.0973117, 0.0963387, 0.0953253, 0.0942644, 0.0931464, 0.0919592, 0.0906859, 0.0893029, 0.0877753, 0.0860478, 0.0840228, 0.0814903, 0.0779081, 0.07229, 0.0639106, 0.0525351, 0.0381024, 0.0205931, -1.07574e-08, -0.0476885, -0.100985, -0.322346, -3.0472", \
"3.53619, 0.400076, 0.101776, 0.0807174, 0.0790722, 0.0783149, 0.0775363, 0.0767334, 0.0759021, 0.0750377, 0.0741341, 0.0731832, 0.0721745, 0.0710935, 0.0699193, 0.0686206, 0.0671463, 0.0653995, 0.0631433, 0.059691, 0.0539186, 0.0451806, 0.0333055, 0.0182501, -2.75253e-07, -0.0438229, -0.0943788, -0.313001, -3.04543", \
"3.5302, 0.420091, 0.104944, 0.0633489, 0.0603637, 0.0597462, 0.0591158, 0.058469, 0.0578034, 0.0571159, 0.0564025, 0.0556585, 0.0548772, 0.0540502, 0.0531653, 0.0522053, 0.0511432, 0.0499335, 0.0484823, 0.0465157, 0.0431574, 0.0371838, 0.0280629, 0.0156761, -7.81309e-06, -0.0395896, -0.0871416, -0.302939, -3.04349", \
"3.52558, 0.443826, 0.115091, 0.0537441, 0.0433732, 0.0428484, 0.0423492, 0.0418407, 0.0413208, 0.0407876, 0.0402385, 0.0396709, 0.039081, 0.0384639, 0.0378131, 0.0371193, 0.0363691, 0.0355409, 0.0345965, 0.0334476, 0.0317699, 0.0284525, 0.0222393, 0.0127275, -0.000161979, -0.0351172, -0.0793972, -0.292346, -3.04156", \
"3.52259, 0.471329, 0.130404, 0.0549682, 0.0284687, 0.0275669, 0.027123, 0.0266895, 0.0262536, 0.0258119, 0.0253624, 0.0249033, 0.0244325, 0.0239474, 0.0234447, 0.0229198, 0.0223664, 0.021775, 0.0211302, 0.0204026, 0.019513, 0.0180716, 0.0147026, 0.0082044, -0.00169981, -0.0316991, -0.0724987, -0.282732, -3.04108", \
"3.52154, 0.502689, 0.149946, 0.0637238, 0.0161257, 0.0117867, 0.0109509, 0.0103648, 0.00982615, 0.00930447, 0.00878965, 0.00827678, 0.00776281, 0.00724539, 0.00672233, 0.00619124, 0.00564923, 0.00509237, 0.00451488, 0.00390723, 0.0032501, 0.0024785, 0.00112591, -0.00244245, -0.00927549, -0.0341108, -0.0713463, -0.279012, -3.04656", \
"3.52289, 0.537227, 0.173322, 0.0765849, 0.00710885, -0.00719684, -0.0110125, -0.0124003, -0.0133859, -0.0142362, -0.0150216, -0.0157699, -0.0164951, -0.017205, -0.0179048, -0.0185981, -0.0192876, -0.0199761, -0.020666, -0.0213609, -0.0220658, -0.022791, -0.0235829, -0.0249959, -0.0288303, -0.0481861, -0.0816509, -0.286035, -3.06182", \
"3.52721, 0.577068, 0.200374, 0.0921926, 0.00210046, -0.0248791, -0.0369193, -0.0407791, -0.0427655, -0.0442273, -0.0454632, -0.0465768, -0.0476147, -0.0486017, -0.0495525, -0.0504762, -0.0513794, -0.0522665, -0.0531413, -0.0540065, -0.0548652, -0.0557204, -0.0565776, -0.0574734, -0.0591422, -0.0726198, -0.101867, -0.301652, -3.08447", \
"3.53436, 0.620585, 0.229869, 0.109386, 0.000419298, -0.0386875, -0.0618698, -0.0712131, -0.0751179, -0.0775633, -0.0794512, -0.0810585, -0.0825, -0.0838332, -0.0850906, -0.0862922, -0.0874512, -0.0885767, -0.0896756, -0.0907529, -0.0918129, -0.0928594, -0.0938961, -0.0949283, -0.0960603, -0.103893, -0.128291, -0.322212, -3.11114", \
"3.54294, 0.665401, 0.25921, 0.126156, 6.96324e-05, -0.050004, -0.0844426, -0.102297, -0.10954, -0.113462, -0.116232, -0.118464, -0.120392, -0.12213, -0.123736, -0.125248, -0.126688, -0.128073, -0.129413, -0.130717, -0.131993, -0.133245, -0.134479, -0.1357, -0.136925, -0.141051, -0.159371, -0.345911, -3.14015", \
"3.55205, 0.709892, 0.286777, 0.141526, 8.80397e-06, -0.0598482, -0.104688, -0.132637, -0.145141, -0.151212, -0.155134, -0.158125, -0.160617, -0.162805, -0.164789, -0.166629, -0.16836, -0.170009, -0.171592, -0.173122, -0.174609, -0.176061, -0.177485, -0.178889, -0.18028, -0.183332, -0.194797, -0.371906, -3.17063", \
"3.56143, 0.75335, 0.311988, 0.155367, 6.00708e-07, -0.0685407, -0.122764, -0.160905, -0.180724, -0.189879, -0.195305, -0.199216, -0.202358, -0.205045, -0.207436, -0.209621, -0.211653, -0.213569, -0.215395, -0.217148, -0.218841, -0.220486, -0.222092, -0.223669, -0.225224, -0.228346, -0.234623, -0.399721, -3.20206", \
"3.57106, 0.795398, 0.334712, 0.167756, 2.55591e-08, -0.0762303, -0.13885, -0.18647, -0.215139, -0.228639, -0.236043, -0.241079, -0.244973, -0.248219, -0.251052, -0.253603, -0.255949, -0.258141, -0.260212, -0.262187, -0.264085, -0.265919, -0.267702, -0.269445, -0.271158, -0.274541, -0.27862, -0.429062, -3.2341", \
"3.58094, 0.835848, 0.355034, 0.178811, 2.57296e-09, -0.0830257, -0.153131, -0.209277, -0.247396, -0.266762, -0.276789, -0.283217, -0.287996, -0.291872, -0.295191, -0.298135, -0.300812, -0.30329, -0.305613, -0.307815, -0.309918, -0.31194, -0.313897, -0.315803, -0.317668, -0.321331, -0.325097, -0.459758, -3.26654", \
"3.59106, 0.87465, 0.373137, 0.188649, 1.81035e-09, -0.0890218, -0.165785, -0.229516, -0.276807, -0.303556, -0.317075, -0.325249, -0.331077, -0.335674, -0.339531, -0.342903, -0.345933, -0.348711, -0.351296, -0.35373, -0.356042, -0.358255, -0.360387, -0.362454, -0.36447, -0.368408, -0.372333, -0.49173, -3.29923", \
"3.60145, 0.91183, 0.389223, 0.197381, 1.78997e-09, -0.0943039, -0.176977, -0.247436, -0.303096, -0.338335, -0.356465, -0.366858, -0.373949, -0.379378, -0.383839, -0.387678, -0.391088, -0.394185, -0.397045, -0.39972, -0.402247, -0.404654, -0.406962, -0.409192, -0.411359, -0.415569, -0.419725, -0.524979, -3.33206", \
"3.6121, 0.947417, 0.403487, 0.20511, 1.80243e-09, -0.098949, -0.186858, -0.263282, -0.326342, -0.370466, -0.394513, -0.407755, -0.416389, -0.422789, -0.427934, -0.43229, -0.436112, -0.43955, -0.442701, -0.445628, -0.448378, -0.450985, -0.453475, -0.455869, -0.458188, -0.462669, -0.467065, -0.559595, -3.36498", \
"3.62301, 0.981386, 0.41611, 0.211929, 1.82832e-09, -0.103025, -0.195567, -0.277277, -0.346807, -0.399484, -0.430733, -0.447656, -0.458197, -0.465747, -0.471674, -0.476607, -0.480881, -0.484687, -0.488146, -0.49134, -0.494323, -0.497138, -0.499814, -0.502378, -0.504851, -0.509606, -0.514239, -0.595767, -3.39795", \
"3.64551, 1.04396, 0.437049, 0.223181, 1.93443e-09, -0.10971, -0.209943, -0.300478, -0.380608, -0.447753, -0.495626, -0.523173, -0.53913, -0.549736, -0.557625, -0.563948, -0.569274, -0.573917, -0.578067, -0.581845, -0.585334, -0.588593, -0.591666, -0.594586, -0.597381, -0.602699, -0.607809, -0.673488, -3.46403", \
"3.66403, 1.09764, 0.453127, 0.231741, 2.14591e-09, -0.114769, -0.220943, -0.318371, -0.406663, -0.48453, -0.547831, -0.590328, -0.614968, -0.630204, -0.640851, -0.649009, -0.655662, -0.661323, -0.666288, -0.670742, -0.674805, -0.678561, -0.68207, -0.685379, -0.688523, -0.694439, -0.700042, -0.756098, -3.53032", \
"3.69325, 1.189, 0.480187, 0.245817, 3.89718e-09, -0.123133, -0.23971, -0.349674, -0.452932, -0.549287, -0.638218, -0.718198, -0.78512, -0.833257, -0.863817, -0.883684, -0.897793, -0.908622, -0.917416, -0.924852, -0.931326, -0.93709, -0.942308, -0.947097, -0.95154, -0.95963, -0.966963, -1.00576, -3.72404", \
"3.69337, 1.21149, 0.484819, 0.247587, 1.36202e-08, -0.124722, -0.245001, -0.360822, -0.472168, -0.579019, -0.681342, -0.779077, -0.872096, -0.960107, -1.04239, -1.11715, -1.18069, -1.22907, -1.26306, -1.2871, -1.30501, -1.3191, -1.33068, -1.34052, -1.3491, -1.36362, -1.37574, -1.40913, -4.02156");
}
output_voltage_rise () {
vector ("ccsn_ovrf") {
index_1("0.01");
index_2("0.001");
index_3("0.0353497, 0.0656624, 0.1031316, 0.1459545, 0.2141032");
values("0.195, 0.585, 0.975, 1.365, 1.755");
}
vector ("ccsn_ovrf") {
index_1("0.1");
index_2("0.001");
index_3("0.1012708, 0.1316759, 0.1689743, 0.2117709, 0.2795798");
values("0.195, 0.585, 0.975, 1.365, 1.755");
}
}
output_voltage_fall () {
vector ("ccsn_ovrf") {
index_1("0.01");
index_2("0.001");
index_3("0.0189855, 0.0300421, 0.0415633, 0.0543003, 0.0709668");
values("1.755, 1.365, 0.975, 0.585, 0.195");
}
vector ("ccsn_ovrf") {
index_1("0.1");
index_2("0.001");
index_3("0.0765025, 0.0933881, 0.1057908, 0.1184081, 0.1344163");
values("1.755, 1.365, 0.975, 0.585, 0.195");
}
}
propagated_noise_low () {
vector ("ccsn_pnlh") {
index_1("1.29693");
index_2("4.18862");
index_3("0.001");
index_4("2.0673775, 2.1760463, 2.3235424, 2.5258622, 2.6168643");
values("0.381847, 0.610956, 0.763694, 0.610956, 0.381847");
}
vector ("ccsn_pnlh") {
index_1("1.39989");
index_2("2.41369");
index_3("0.001");
index_4("1.1907153, 1.2837009, 1.4357537, 1.5619640, 1.6354618");
values("0.459937, 0.7359, 0.919875, 0.7359, 0.459937");
}
vector ("ccsn_pnlh") {
index_1("1.49154");
index_2("1.62684");
index_3("0.001");
index_4("0.8029656, 0.8800983, 1.0133912, 1.1133657, 1.1701055");
values("0.489733, 0.783573, 0.979466, 0.783573, 0.489733");
}
vector ("ccsn_pnlh") {
index_1("1.29693");
index_2("2.09431");
index_3("0.001");
index_4("1.0440512, 1.1001027, 1.1966417, 1.2717979, 1.3201272");
values("0.216828, 0.346924, 0.433655, 0.346924, 0.216828");
}
vector ("ccsn_pnlh") {
index_1("1.39989");
index_2("1.20685");
index_3("0.001");
index_4("0.6049622, 0.6495784, 0.7300148, 0.7884044, 0.8261674");
values("0.255112, 0.408179, 0.510224, 0.408179, 0.255112");
}
vector ("ccsn_pnlh") {
index_1("1.49154");
index_2("0.813419");
index_3("0.001");
index_4("0.4102094, 0.4483022, 0.5006235, 0.5629844, 0.5925875");
values("0.271495, 0.434392, 0.542989, 0.434392, 0.271495");
}
vector ("ccsn_pnlh") {
index_1("1.39989");
index_2("3.62054");
index_3("0.001");
index_4("1.7765214, 1.9197560, 2.1140383, 2.3286901, 2.4331822");
values("0.635971, 1.01755, 1.27194, 1.01755, 0.635971");
}
}
propagated_noise_high () {
vector ("ccsn_pnlh") {
index_1("1.08421");
index_2("1.20481");
index_3("0.001");
index_4("0.6006478, 0.6554080, 0.7556867, 0.8985473, 0.9849122");
values("1.44554, 1.14286, 0.941073, 1.14286, 1.44554");
}
vector ("ccsn_pnlh") {
index_1("1.20268");
index_2("0.682814");
index_3("0.001");
index_4("0.3438965, 0.3845658, 0.4607934, 0.5607878, 0.6260253");
values("1.41862, 1.09979, 0.88724, 1.09979, 1.41862");
}
vector ("ccsn_pnlh") {
index_1("1.31449");
index_2("0.452963");
index_3("0.001");
index_4("0.2298859, 0.2603223, 0.3121291, 0.4003832, 0.4499734");
values("1.40751, 1.08202, 0.865021, 1.08202, 1.40751");
}
vector ("ccsn_pnlh") {
index_1("1.08421");
index_2("0.602403");
index_3("0.001");
index_4("0.3064390, 0.3356662, 0.3917423, 0.4582382, 0.5151660");
values("1.69277, 1.53843, 1.43554, 1.53843, 1.69277");
}
vector ("ccsn_pnlh") {
index_1("1.20268");
index_2("0.341407");
index_3("0.001");
index_4("0.1767181, 0.1975850, 0.2290985, 0.2888024, 0.3327108");
values("1.6819, 1.52104, 1.41381, 1.52104, 1.6819");
}
vector ("ccsn_pnlh") {
index_1("1.31449");
index_2("0.226481");
index_3("0.001");
index_4("0.1194429, 0.1359590, 0.1655903, 0.2079155, 0.2423954");
values("1.67848, 1.51556, 1.40695, 1.51556, 1.67848");
}
vector ("ccsn_pnlh") {
index_1("1.20268");
index_2("1.02422");
index_3("0.001");
index_4("0.5104897, 0.5715607, 0.6771830, 0.8217028, 0.9166059");
values("1.17314, 0.707021, 0.396276, 0.707021, 1.17314");
}
}
}
}
pin ("DM[1]") {
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCD";
related_ground_pin : "VSSD";
always_on : false;
fall_capacitance : 0.004656;
capacitance : 0.004802;
rise_capacitance : 0.004949;
max_transition : 1.500000;
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_setup_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.0956636, -0.0126184, 0.0122167, 0.0253194, 0.0205315, 0.0544025, 0.0515230", \
"-0.1524571, -0.0753460, -0.0398489, -0.0137196, -0.0061192, 0.0038327, 0.0013731", \
"-0.1400072, -0.0905160, -0.0605432, -0.0194525, -0.0100892, -0.0079730, -0.0081985", \
"-0.1432073, -0.0740381, -0.0599826, -0.0096794, -0.0350945, 0.0156763, -0.0001965", \
"-0.1267314, -0.0453551, -0.0152587, 0.0119999, 0.0036369, 0.0153844, 0.0435387", \
"-0.0942866, -0.0159621, 0.0183216, -0.0009296, -0.0139744, 0.0343195, 0.0143937", \
"-0.0640758, 0.0325593, 0.0575196, 0.0694052, 0.0136946, 0.0238613, 0.0460526");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.1536380, -0.0141442, 0.0861915, 0.1768001, 0.2559033, 0.3243688, 0.3828599", \
"-0.2119573, -0.0768806, 0.0279457, 0.1268926, 0.2077274, 0.2867743, 0.3523253", \
"-0.2056110, -0.0891695, 0.0301418, 0.1210414, 0.1983386, 0.2820722, 0.3526732", \
"-0.2118628, -0.0726917, 0.0260368, 0.1208131, 0.2180872, 0.2967398, 0.3644465", \
"-0.1862316, -0.0424828, 0.0601222, 0.1375832, 0.2242806, 0.3087943, 0.3856804", \
"-0.1568385, -0.0207192, 0.0650105, 0.1647209, 0.2459376, 0.3365406, 0.4165993", \
"-0.1220501, 0.0293281, 0.0967741, 0.1905210, 0.2570549, 0.3561910, 0.4115179");
}
}
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_hold_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.2751423, 0.1953368, 0.1609839, 0.1375035, 0.1270479, 0.1264576, 0.1334948", \
"0.3349880, 0.2478500, 0.2193863, 0.1971935, 0.1884407, 0.1847987, 0.1781030", \
"0.3423167, 0.2440996, 0.2281245, 0.2014991, 0.1909331, 0.1843974, 0.1766754", \
"0.3226865, 0.2461108, 0.2101923, 0.2014083, 0.1746133, 0.1672585, 0.1658014", \
"0.3031588, 0.2294492, 0.1951994, 0.1655412, 0.1489821, 0.1377106, 0.1310149", \
"0.2890245, 0.1697726, 0.1617092, 0.1407258, 0.1151796, 0.1098435, 0.1016219", \
"0.2557620, 0.1700002, 0.1350172, 0.1212889, 0.1061629, 0.1084850, 0.1124305");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.1698619, 0.0333999, -0.0753031, -0.1643081, -0.2433509, -0.3140641, -0.3775050", \
"0.2266528, 0.0916856, -0.0217639, -0.1174522, -0.1976066, -0.2758425, -0.3406959", \
"0.2399436, 0.1010475, -0.0171276, -0.1107081, -0.1909095, -0.2754465, -0.3401224", \
"0.2235065, 0.0891472, -0.0251127, -0.1056249, -0.2051461, -0.2817522, -0.3418707", \
"0.2070306, 0.0589384, -0.0436791, -0.1249288, -0.2065477, -0.3017425, -0.3563048", \
"0.1684823, 0.0295453, -0.0555679, -0.1459988, -0.2344120, -0.3189285, -0.4003923", \
"0.1459009, -0.0159242, -0.0865553, -0.1959151, -0.2441096, -0.3582945, -0.4153443");
}
}
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0512305, 0.0505265, 0.0498225, 0.0503222, 0.0508019, 0.0513015, 0.0518012");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0632302, 0.0625772, 0.0619241, 0.0629310, 0.0638976, 0.0649044, 0.0659113");
}
}
timing() { /* This is for power up */
related_pin : "HLD_H_N";
timing_type : non_seq_setup_rising;
sdf_cond : "ENABLE_H==1'b1";
when : "( ENABLE_H )";
rise_constraint (scalar) {
values ("5.0");
}
fall_constraint (scalar) {
values ("5.0");
}
}
ccsn_first_stage () {
is_needed : "true";
stage_type : "both";
is_inverting : "true";
miller_cap_fall : "0.00104678";
miller_cap_rise : "0.000875765";
dc_current ("ccsn_dc") {
index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
values("3.3978, 0.626133, 0.573974, 0.551564, 0.524534, 0.509262, 0.492816, 0.475198, 0.456409, 0.436454, 0.415336, 0.393059, 0.369627, 0.345044, 0.319316, 0.292445, 0.264437, 0.235297, 0.205029, 0.173638, 0.141128, 0.107504, 0.0727721, 0.0369357, -4.77919e-09, -0.0733954, -0.144065, -0.368653, -2.24515", \
"3.30797, 0.443653, 0.401603, 0.394245, 0.385388, 0.380135, 0.374109, 0.367073, 0.358759, 0.348945, 0.3375, 0.324366, 0.309524, 0.292969, 0.274705, 0.254737, 0.233076, 0.20973, 0.184709, 0.158023, 0.129683, 0.0997003, 0.0680848, 0.0348477, -5.56766e-09, -0.0703454, -0.139055, -0.362129, -2.24513", \
"3.25246, 0.331791, 0.264789, 0.260834, 0.256432, 0.25401, 0.251401, 0.248559, 0.245423, 0.241904, 0.237869, 0.233107, 0.227285, 0.219955, 0.210721, 0.199382, 0.185871, 0.170173, 0.152293, 0.132243, 0.110038, 0.0856934, 0.0592271, 0.0306567, -1.83793e-09, -0.0635463, -0.127357, -0.34337, -2.24251", \
"3.24035, 0.321826, 0.217099, 0.213912, 0.210431, 0.208548, 0.206549, 0.204407, 0.202091, 0.199557, 0.19674, 0.193546, 0.189822, 0.18531, 0.179569, 0.172038, 0.162324, 0.150275, 0.135852, 0.119055, 0.0998957, 0.0783906, 0.0545594, 0.0284222, -1.84549e-09, -0.0598828, -0.121049, -0.333153, -2.24108", \
"3.23036, 0.330307, 0.169795, 0.16727, 0.164561, 0.163118, 0.161603, 0.160004, 0.158303, 0.156478, 0.154498, 0.152321, 0.149883, 0.147083, 0.143745, 0.139534, 0.133841, 0.126008, 0.115699, 0.102821, 0.0873598, 0.0693266, 0.0487405, 0.0256237, -1.85528e-09, -0.0552877, -0.113149, -0.320409, -2.2393", \
"3.22615, 0.339521, 0.146716, 0.144447, 0.142081, 0.140829, 0.139523, 0.138153, 0.136705, 0.135166, 0.133513, 0.131719, 0.12974, 0.127515, 0.124941, 0.121829, 0.117783, 0.112085, 0.104053, 0.0934017, 0.080063, 0.0640346, 0.0453327, 0.0239795, -1.86939e-09, -0.0525881, -0.108516, -0.312984, -2.23827", \
"3.2225, 0.351817, 0.124803, 0.122212, 0.120166, 0.119092, 0.117976, 0.116812, 0.115591, 0.114303, 0.112933, 0.111462, 0.109862, 0.108095, 0.1061, 0.103773, 0.100908, 0.097031, 0.0912977, 0.0830337, 0.0720086, 0.05818, 0.0415544, 0.0221527, -2.1436e-09, -0.0495898, -0.103378, -0.304806, -2.23712", \
"3.21947, 0.367231, 0.107289, 0.100804, 0.0990509, 0.0981402, 0.097199, 0.0962227, 0.0952055, 0.0941399, 0.0930164, 0.0918222, 0.0905401, 0.0891456, 0.0876027, 0.0858533, 0.0837941, 0.0811979, 0.0774832, 0.0716774, 0.0631474, 0.0517207, 0.037376, 0.0201276, -1.05633e-08, -0.0462684, -0.0976951, -0.295841, -2.23585", \
"3.21715, 0.385817, 0.0998631, 0.0806098, 0.0790005, 0.078242, 0.0774621, 0.0766574, 0.0758241, 0.0749574, 0.0740508, 0.0730964, 0.0720832, 0.0709965, 0.0698148, 0.0685059, 0.0670164, 0.0652446, 0.0629355, 0.0593653, 0.0534458, 0.0446194, 0.0327685, 0.0178885, -2.7078e-07, -0.0425993, -0.0914272, -0.28607, -2.23446", \
"3.21564, 0.407618, 0.102695, 0.0630714, 0.0603188, 0.0597011, 0.0590701, 0.0584226, 0.0577561, 0.0570674, 0.0563528, 0.0556071, 0.0548238, 0.0539942, 0.053106, 0.0521415, 0.0510732, 0.049854, 0.0483858, 0.0463763, 0.0429191, 0.0368453, 0.0277004, 0.0154134, -7.70174e-06, -0.038565, -0.0845415, -0.275492, -2.23294", \
"3.21506, 0.432672, 0.112765, 0.0530833, 0.0433424, 0.0428228, 0.0423234, 0.0418147, 0.0412946, 0.0407609, 0.0402114, 0.0396432, 0.0390525, 0.0384345, 0.0377824, 0.037087, 0.0363345, 0.0355031, 0.0345535, 0.033394, 0.031683, 0.0282916, 0.0220285, 0.0125562, -0.000160028, -0.0342881, -0.0771542, -0.264294, -2.23143", \
"3.21558, 0.461029, 0.128059, 0.0540936, 0.0284139, 0.0275523, 0.0271093, 0.026676, 0.0262401, 0.0257983, 0.0253488, 0.0248896, 0.0244187, 0.0239334, 0.0234303, 0.0229051, 0.0223511, 0.0217589, 0.0211128, 0.0203831, 0.0194881, 0.0180244, 0.0146138, 0.00811885, -0.00168346, -0.0310378, -0.0705868, -0.253971, -2.23113", \
"3.21739, 0.49205, 0.147534, 0.0627619, 0.0160002, 0.0117698, 0.0109425, 0.0103577, 0.00981949, 0.00929814, 0.00878356, 0.00827089, 0.0077571, 0.00723984, 0.00671693, 0.00618599, 0.0056441, 0.00508734, 0.00450993, 0.00390231, 0.00324509, 0.00247274, 0.00111566, -0.00244505, -0.00921078, -0.0335298, -0.0696527, -0.249343, -2.23579", \
"3.22079, 0.527385, 0.17072, 0.0754957, 0.00697762, -0.00721243, -0.0110135, -0.0123998, -0.0133849, -0.0142348, -0.0150199, -0.015768, -0.0164929, -0.0172026, -0.0179021, -0.0185951, -0.0192843, -0.0199724, -0.0206621, -0.0213566, -0.0220609, -0.0227852, -0.0235742, -0.0249577, -0.0287092, -0.0475692, -0.0799983, -0.255147, -2.24868", \
"3.22614, 0.566665, 0.197386, 0.0908521, 0.00203756, -0.0247745, -0.0368637, -0.0407533, -0.0427472, -0.0442116, -0.0454488, -0.046563, -0.0476013, -0.0485885, -0.0495392, -0.050463, -0.0513661, -0.0522531, -0.0531277, -0.0539928, -0.0548512, -0.055706, -0.0565625, -0.0574522, -0.0590376, -0.0719442, -0.100155, -0.269267, -2.26786", \
"3.23332, 0.609061, 0.22627, 0.107645, 0.000402299, -0.0383733, -0.0616205, -0.0711096, -0.0750591, -0.0775195, -0.0794142, -0.0810253, -0.0824691, -0.0838038, -0.0850622, -0.0862644, -0.0874239, -0.0885498, -0.0896489, -0.0907263, -0.0917864, -0.0928328, -0.0938693, -0.0949009, -0.0960046, -0.103258, -0.12652, -0.288144, -2.2905", \
"3.24145, 0.652255, 0.254836, 0.123934, 6.6148e-05, -0.0494431, -0.0838513, -0.101999, -0.109393, -0.113365, -0.116156, -0.118398, -0.120334, -0.122075, -0.123685, -0.125198, -0.12664, -0.128026, -0.129367, -0.130672, -0.131948, -0.1332, -0.134434, -0.135656, -0.136875, -0.140654, -0.157624, -0.310058, -2.31517", \
"3.24997, 0.694706, 0.281525, 0.138801, 8.2889e-06, -0.0590173, -0.103657, -0.13196, -0.144824, -0.151021, -0.154994, -0.15801, -0.160517, -0.162715, -0.164705, -0.166549, -0.168284, -0.169935, -0.17152, -0.173052, -0.17454, -0.175993, -0.177418, -0.178822, -0.180212, -0.183175, -0.193271, -0.334245, -2.34112", \
"3.25875, 0.735828, 0.305809, 0.152141, 5.61046e-07, -0.0674282, -0.121237, -0.159658, -0.180098, -0.189536, -0.195069, -0.199031, -0.202201, -0.204906, -0.207309, -0.209502, -0.211541, -0.213463, -0.215292, -0.217047, -0.218743, -0.22039, -0.221998, -0.223575, -0.225131, -0.228237, -0.233623, -0.360298, -2.3679", \
"3.26775, 0.775432, 0.327609, 0.164045, 2.3701e-08, -0.0748346, -0.136804, -0.184528, -0.21401, -0.228052, -0.235665, -0.240796, -0.244741, -0.248018, -0.250872, -0.253437, -0.255794, -0.257993, -0.260071, -0.262051, -0.263953, -0.26579, -0.267576, -0.26932, -0.271034, -0.274415, -0.278206, -0.388, -2.39522", \
"3.27698, 0.813521, 0.347048, 0.174637, 2.37072e-09, -0.081353, -0.150561, -0.206586, -0.245538, -0.2658, -0.276209, -0.282802, -0.287666, -0.291592, -0.294944, -0.297911, -0.300605, -0.303095, -0.305428, -0.307637, -0.309746, -0.311774, -0.313735, -0.315643, -0.317511, -0.321174, -0.324883, -0.417262, -2.42289", \
"3.28646, 0.850166, 0.364326, 0.184037, 1.6586e-09, -0.0870838, -0.162701, -0.226067, -0.274035, -0.302034, -0.316205, -0.324656, -0.330621, -0.335296, -0.339204, -0.342609, -0.345664, -0.348461, -0.35106, -0.353505, -0.355826, -0.358046, -0.360184, -0.362255, -0.364275, -0.368215, -0.372128, -0.448105, -2.45079", \
"3.2962, 0.885425, 0.379654, 0.192361, 1.63169e-09, -0.0921161, -0.1734, -0.243248, -0.299318, -0.336022, -0.355184, -0.366025, -0.373332, -0.378879, -0.383413, -0.387302, -0.390747, -0.39387, -0.39675, -0.399441, -0.401981, -0.404398, -0.406715, -0.408951, -0.411122, -0.415337, -0.41949, -0.48066, -2.47881", \
"3.30618, 0.919293, 0.393226, 0.199713, 1.63576e-09, -0.0965287, -0.182815, -0.258386, -0.321551, -0.367122, -0.392654, -0.406603, -0.415564, -0.42214, -0.427391, -0.431817, -0.435688, -0.439162, -0.44234, -0.445289, -0.448056, -0.450677, -0.453178, -0.455582, -0.457907, -0.462396, -0.46679, -0.515182, -2.50692", \
"3.31642, 0.951687, 0.40522, 0.206188, 1.65276e-09, -0.100391, -0.191088, -0.271713, -0.341048, -0.394929, -0.428081, -0.446073, -0.457108, -0.464914, -0.47099, -0.47602, -0.48036, -0.484215, -0.487711, -0.490933, -0.493939, -0.496772, -0.499463, -0.502039, -0.504521, -0.509287, -0.513921, -0.552072, -2.53508", \
"3.33756, 1.0113, 0.425082, 0.216844, 1.73744e-09, -0.106705, -0.204696, -0.293717, -0.373115, -0.440685, -0.490624, -0.520236, -0.537258, -0.548388, -0.556567, -0.563069, -0.568513, -0.57324, -0.577452, -0.581278, -0.584804, -0.588093, -0.59119, -0.59413, -0.596942, -0.602281, -0.607397, -0.633948, -2.59155", \
"3.35572, 1.06199, 0.440299, 0.224929, 1.91802e-09, -0.111467, -0.215062, -0.310605, -0.397717, -0.475296, -0.539856, -0.585072, -0.611764, -0.628047, -0.639243, -0.647721, -0.654578, -0.660379, -0.665445, -0.669975, -0.674096, -0.677899, -0.681446, -0.684785, -0.687954, -0.693905, -0.699525, -0.7222, -2.64815", \
"3.38312, 1.14644, 0.465822, 0.238174, 3.45601e-09, -0.1193, -0.232639, -0.339937, -0.441086, -0.535894, -0.623916, -0.703943, -0.772659, -0.824345, -0.857985, -0.879654, -0.894776, -0.906215, -0.915406, -0.923115, -0.929788, -0.935702, -0.941037, -0.945919, -0.950438, -0.958641, -0.966046, -0.987467, -2.81319", \
"3.38276, 1.16682, 0.470113, 0.239816, 1.20713e-08, -0.120757, -0.237483, -0.350149, -0.458728, -0.563189, -0.66349, -0.759569, -0.851311, -0.938482, -1.02054, -1.09616, -1.1624, -1.21507, -1.253, -1.27971, -1.2993, -1.31448, -1.3268, -1.33716, -1.34613, -1.36118, -1.37364, -1.40299, -3.06735");
}
output_voltage_rise () {
vector ("ccsn_ovrf") {
index_1("0.01");
index_2("0.001");
index_3("0.0403070, 0.0805763, 0.1272700, 0.1821111, 0.2682958");
values("0.195, 0.585, 0.975, 1.365, 1.755");
}
vector ("ccsn_ovrf") {
index_1("0.1");
index_2("0.001");
index_3("0.1062961, 0.1462279, 0.1937819, 0.2475670, 0.3342914");
values("0.195, 0.585, 0.975, 1.365, 1.755");
}
}
output_voltage_fall () {
vector ("ccsn_ovrf") {
index_1("0.01");
index_2("0.001");
index_3("0.0193946, 0.0334359, 0.0481780, 0.0641486, 0.0843591");
values("1.755, 1.365, 0.975, 0.585, 0.195");
}
vector ("ccsn_ovrf") {
index_1("0.1");
index_2("0.001");
index_3("0.0784238, 0.0975092, 0.1124350, 0.1284155, 0.1489769");
values("1.755, 1.365, 0.975, 0.585, 0.195");
}
}
propagated_noise_low () {
vector ("ccsn_pnlh") {
index_1("1.29688");
index_2("5.2841");
index_3("0.001");
index_4("2.6085269, 2.7468652, 2.9483010, 3.1728306, 3.2966178");
values("0.375056, 0.600089, 0.750111, 0.600089, 0.375056");
}
vector ("ccsn_pnlh") {
index_1("1.39961");
index_2("3.03828");
index_3("0.001");
index_4("1.4980082, 1.6161057, 1.8030993, 1.9681103, 2.0542694");
values("0.450281, 0.72045, 0.900562, 0.72045, 0.450281");
}
vector ("ccsn_pnlh") {
index_1("1.49123");
index_2("2.04474");
index_3("0.001");
index_4("1.0095740, 1.1066290, 1.2518612, 1.3995836, 1.4683595");
values("0.483268, 0.77323, 0.966537, 0.77323, 0.483268");
}
vector ("ccsn_pnlh") {
index_1("1.29688");
index_2("2.64205");
index_3("0.001");
index_4("1.3129154, 1.3841305, 1.4859360, 1.6010300, 1.6681935");
values("0.21159, 0.338544, 0.42318, 0.338544, 0.21159");
}
vector ("ccsn_pnlh") {
index_1("1.39961");
index_2("1.51914");
index_3("0.001");
index_4("0.7579387, 0.8165128, 0.9178404, 0.9923511, 1.0383401");
values("0.250921, 0.401473, 0.501841, 0.401473, 0.250921");
}
vector ("ccsn_pnlh") {
index_1("1.49123");
index_2("1.02237");
index_3("0.001");
index_4("0.5117486, 0.5585003, 0.6508118, 0.7045657, 0.7426731");
values("0.263963, 0.42234, 0.527926, 0.42234, 0.263963");
}
vector ("ccsn_pnlh") {
index_1("1.39961");
index_2("4.55742");
index_3("0.001");
index_4("2.2383442, 2.4167013, 2.7084353, 2.9274027, 3.0580776");
values("0.627788, 1.00446, 1.25558, 1.00446, 0.627788");
}
}
propagated_noise_high () {
vector ("ccsn_pnlh") {
index_1("1.08419");
index_2("1.43919");
index_3("0.001");
index_4("0.7168557, 0.7845056, 0.9057971, 1.0701914, 1.1782966");
values("1.46884, 1.18014, 0.98767, 1.18014, 1.46884");
}
vector ("ccsn_pnlh") {
index_1("1.20258");
index_2("0.815165");
index_3("0.001");
index_4("0.4084027, 0.4555233, 0.5485801, 0.6699119, 0.7462743");
values("1.44026, 1.13441, 0.930514, 1.13441, 1.44026");
}
vector ("ccsn_pnlh") {
index_1("1.31438");
index_2("0.540546");
index_3("0.001");
index_4("0.2725486, 0.3099407, 0.3819180, 0.4770077, 0.5393148");
values("1.42692, 1.11308, 0.903845, 1.11308, 1.42692");
}
vector ("ccsn_pnlh") {
index_1("1.08419");
index_2("0.719593");
index_3("0.001");
index_4("0.3630654, 0.3983444, 0.4769490, 0.5494688, 0.6183565");
values("1.70089, 1.55142, 1.45177, 1.55142, 1.70089");
}
vector ("ccsn_pnlh") {
index_1("1.20258");
index_2("0.407583");
index_3("0.001");
index_4("0.2089114, 0.2332358, 0.2731154, 0.3444691, 0.3986198");
values("1.69263, 1.53821, 1.43526, 1.53821, 1.69263");
}
vector ("ccsn_pnlh") {
index_1("1.31438");
index_2("0.270273");
index_3("0.001");
index_4("0.1403846, 0.1594977, 0.2016276, 0.2479765, 0.2909301");
values("1.68964, 1.53342, 1.42928, 1.53342, 1.68964");
}
vector ("ccsn_pnlh") {
index_1("1.20258");
index_2("1.22275");
index_3("0.001");
index_4("0.6075333, 0.6802850, 0.8121845, 0.9862958, 1.0990733");
values("1.21154, 0.768457, 0.473072, 0.768457, 1.21154");
}
}
}
}
pin ("DM[0]") {
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCD";
related_ground_pin : "VSSD";
always_on : false;
fall_capacitance : 0.006308;
capacitance : 0.006466;
rise_capacitance : 0.006623;
max_transition : 1.500000;
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_hold_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.2903870, 0.2167194, 0.1808203, 0.1725987, 0.1621431, 0.1630787, 0.1701159", \
"0.3517586, 0.2625852, 0.2467908, 0.2339915, 0.2186547, 0.2198939, 0.2208276", \
"0.3575614, 0.2625753, 0.2418118, 0.2259608, 0.2226899, 0.2174785, 0.2234780", \
"0.3379311, 0.2691688, 0.2504172, 0.2155865, 0.2097085, 0.2162974, 0.2009380", \
"0.3199293, 0.2460805, 0.2011993, 0.1991105, 0.1832588, 0.1728058, 0.1813689", \
"0.3057951, 0.1849902, 0.1816046, 0.1762141, 0.1707501, 0.1534140, 0.1504500", \
"0.2710067, 0.1881843, 0.1584799, 0.1505920, 0.1290511, 0.1425583, 0.1463680");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.1881971, 0.0486578, -0.0519591, -0.1405817, -0.2074576, -0.2689656, -0.3167061", \
"0.2465157, 0.1158852, 0.0041492, -0.0826167, -0.1627522, -0.2341162, -0.3025321", \
"0.2349616, 0.1155727, 0.0154575, -0.0803943, -0.1538625, -0.2275072, -0.2976703", \
"0.2403175, 0.1021466, -0.0008618, -0.0766517, -0.1672905, -0.2394902, -0.3087470", \
"0.2238416, 0.0749895, -0.0233499, -0.1004942, -0.1757952, -0.2513885, -0.3287768", \
"0.1868192, 0.0455965, -0.0274578, -0.1293632, -0.2061640, -0.2444446, -0.3530900", \
"0.1688154, -0.0013992, -0.0576972, -0.1522858, -0.2306626, -0.3064147, -0.3588868");
}
}
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_setup_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.1124389, -0.0370324, -0.0135520, -0.0114339, -0.0070818, -0.0125950, 0.0032560", \
"-0.1753359, -0.1037286, -0.0692222, -0.0529159, -0.0380022, -0.0405197, -0.0428774", \
"-0.1567825, -0.1085488, -0.0785950, -0.0811010, -0.0751202, -0.0415424, -0.0531572", \
"-0.1615085, -0.0935969, -0.0731147, -0.0463695, -0.0590268, -0.0357456, -0.0459212", \
"-0.1435067, -0.0618622, -0.0280285, -0.0270542, -0.0237812, -0.0159349, -0.0266130", \
"-0.1156395, -0.0355209, -0.0030407, 0.0003558, -0.0024108, -0.0007527, 0.0144875", \
"-0.0823769, 0.0145264, 0.0271701, 0.0346976, -0.0313320, 0.0251892, 0.0389393");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.1689424, -0.0324548, 0.0623747, 0.1503575, 0.2201747, 0.2702665, 0.3189309", \
"-0.2272617, -0.1036536, 0.0044016, 0.0900175, 0.1720989, 0.2433956, 0.3107109", \
"-0.2209154, -0.1020824, -0.0022010, 0.0838623, 0.1653869, 0.2471653, 0.3150773", \
"-0.2241153, -0.0917080, 0.0009943, 0.0988993, 0.1757612, 0.2530206, 0.3194287", \
"-0.2030618, -0.0614991, 0.0356672, 0.1103316, 0.1907113, 0.2828543, 0.3250959", \
"-0.1751947, -0.0336320, 0.0394645, 0.1411576, 0.2193612, 0.2954627, 0.3586159", \
"-0.1388804, 0.0179414, 0.0712011, 0.1733526, 0.2444388, 0.2848722, 0.3857750");
}
}
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0426802, 0.0421167, 0.0415531, 0.0417052, 0.0418512, 0.0420033, 0.0421554");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0539543, 0.0532218, 0.0524894, 0.0529691, 0.0534296, 0.0539093, 0.0543890");
}
}
timing() { /* This is for power up */
related_pin : "HLD_H_N";
timing_type : non_seq_setup_rising;
sdf_cond : "ENABLE_H==1'b1";
when : "( ENABLE_H )";
rise_constraint (scalar) {
values ("5.0");
}
fall_constraint (scalar) {
values ("5.0");
}
}
ccsn_first_stage () {
is_needed : "true";
stage_type : "both";
is_inverting : "true";
miller_cap_fall : "0.00105934";
miller_cap_rise : "0.000882978";
dc_current ("ccsn_dc") {
index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
values("3.84941, 0.661865, 0.580803, 0.559672, 0.533787, 0.519003, 0.502979, 0.485708, 0.467189, 0.447417, 0.426392, 0.404112, 0.380576, 0.355783, 0.329732, 0.302424, 0.273857, 0.244031, 0.212946, 0.180603, 0.147, 0.112138, 0.0760175, 0.038638, -3.90897e-09, -0.0767358, -0.150398, -0.392865, -3.05885", \
"3.70605, 0.483953, 0.403234, 0.396124, 0.387641, 0.382655, 0.376972, 0.370373, 0.362585, 0.353341, 0.342452, 0.32982, 0.315398, 0.299168, 0.281117, 0.261241, 0.239537, 0.216002, 0.190637, 0.163439, 0.134411, 0.103552, 0.0708632, 0.0363454, -1.87978e-09, -0.0734332, -0.145037, -0.387578, -3.05898", \
"3.61521, 0.368545, 0.265391, 0.261486, 0.257153, 0.254776, 0.252221, 0.249446, 0.246395, 0.242987, 0.239102, 0.234551, 0.229032, 0.222102, 0.213304, 0.202362, 0.18916, 0.173658, 0.155836, 0.135688, 0.113211, 0.0884029, 0.0612643, 0.0317961, -1.88788e-09, -0.066096, -0.132499, -0.368743, -3.05565", \
"3.59151, 0.35384, 0.217501, 0.214341, 0.210895, 0.209035, 0.207063, 0.204954, 0.202678, 0.200195, 0.197444, 0.194339, 0.190741, 0.186418, 0.180962, 0.173796, 0.164443, 0.152683, 0.138438, 0.121681, 0.102401, 0.0805935, 0.0562573, 0.0293924, -1.89223e-09, -0.0621644, -0.125762, -0.358617, -3.05385", \
"3.57017, 0.355697, 0.170048, 0.167534, 0.164841, 0.163409, 0.161907, 0.160322, 0.158639, 0.156835, 0.154883, 0.152742, 0.150351, 0.147616, 0.144379, 0.140335, 0.134903, 0.127374, 0.11732, 0.104596, 0.0891542, 0.0709781, 0.0500615, 0.0264021, -1.89796e-09, -0.057255, -0.117352, -0.346111, -3.05159", \
"3.56045, 0.361656, 0.146938, 0.144646, 0.142291, 0.141047, 0.139749, 0.138387, 0.136952, 0.135426, 0.13379, 0.132017, 0.130066, 0.12788, 0.125361, 0.122336, 0.118446, 0.112992, 0.10522, 0.0947609, 0.0815001, 0.0654026, 0.0464561, 0.0246556, -1.90983e-09, -0.054382, -0.112434, -0.338884, -3.05027", \
"3.55147, 0.370961, 0.12519, 0.122359, 0.12032, 0.11925, 0.118139, 0.11698, 0.115766, 0.114486, 0.113126, 0.111667, 0.110084, 0.108339, 0.106373, 0.10409, 0.101301, 0.0975682, 0.0920548, 0.0839994, 0.0730982, 0.0592664, 0.0424781, 0.0227238, -2.18671e-09, -0.0512007, -0.106991, -0.330969, -3.04881", \
"3.54333, 0.383727, 0.108399, 0.100911, 0.0991585, 0.0982501, 0.0973117, 0.0963387, 0.0953253, 0.0942644, 0.0931464, 0.0919592, 0.0906859, 0.0893029, 0.0877753, 0.0860478, 0.0840228, 0.0814903, 0.0779081, 0.07229, 0.0639106, 0.0525351, 0.0381024, 0.0205931, -1.07576e-08, -0.0476885, -0.100985, -0.322346, -3.0472", \
"3.53619, 0.400076, 0.101776, 0.0807174, 0.0790722, 0.0783149, 0.0775363, 0.0767334, 0.0759021, 0.0750377, 0.0741341, 0.0731832, 0.0721745, 0.0710935, 0.0699193, 0.0686206, 0.0671463, 0.0653995, 0.0631433, 0.059691, 0.0539186, 0.0451806, 0.0333055, 0.0182501, -2.75253e-07, -0.0438229, -0.0943788, -0.313001, -3.04543", \
"3.5302, 0.420091, 0.104944, 0.0633489, 0.0603637, 0.0597462, 0.0591158, 0.058469, 0.0578034, 0.0571159, 0.0564025, 0.0556585, 0.0548772, 0.0540502, 0.0531653, 0.0522053, 0.0511432, 0.0499335, 0.0484823, 0.0465157, 0.0431574, 0.0371838, 0.0280629, 0.0156761, -7.81309e-06, -0.0395896, -0.0871416, -0.302939, -3.04349", \
"3.52558, 0.443826, 0.115091, 0.0537441, 0.0433732, 0.0428484, 0.0423492, 0.0418407, 0.0413208, 0.0407876, 0.0402385, 0.0396709, 0.039081, 0.0384639, 0.0378131, 0.0371193, 0.0363691, 0.0355409, 0.0345965, 0.0334476, 0.0317699, 0.0284525, 0.0222393, 0.0127275, -0.000161979, -0.0351172, -0.0793972, -0.292346, -3.04156", \
"3.52259, 0.471329, 0.130404, 0.0549682, 0.0284687, 0.0275669, 0.027123, 0.0266895, 0.0262536, 0.0258119, 0.0253624, 0.0249033, 0.0244325, 0.0239474, 0.0234447, 0.0229198, 0.0223664, 0.021775, 0.0211302, 0.0204026, 0.019513, 0.0180716, 0.0147026, 0.0082044, -0.00169981, -0.0316991, -0.0724987, -0.282732, -3.04108", \
"3.52154, 0.502689, 0.149946, 0.0637238, 0.0161257, 0.0117867, 0.0109509, 0.0103648, 0.00982615, 0.00930447, 0.00878965, 0.00827678, 0.00776281, 0.00724539, 0.00672233, 0.00619124, 0.00564923, 0.00509237, 0.00451488, 0.00390723, 0.0032501, 0.0024785, 0.00112591, -0.00244245, -0.00927549, -0.0341108, -0.0713463, -0.279012, -3.04656", \
"3.52289, 0.537227, 0.173322, 0.0765849, 0.00710885, -0.00719684, -0.0110125, -0.0124003, -0.0133859, -0.0142362, -0.0150216, -0.0157699, -0.0164951, -0.017205, -0.0179048, -0.0185981, -0.0192876, -0.0199761, -0.020666, -0.0213609, -0.0220658, -0.022791, -0.0235829, -0.0249959, -0.0288303, -0.0481861, -0.0816509, -0.286035, -3.06182", \
"3.52721, 0.577068, 0.200374, 0.0921926, 0.00210046, -0.0248791, -0.0369193, -0.0407791, -0.0427655, -0.0442273, -0.0454632, -0.0465768, -0.0476147, -0.0486017, -0.0495525, -0.0504762, -0.0513794, -0.0522665, -0.0531413, -0.0540065, -0.0548652, -0.0557204, -0.0565776, -0.0574734, -0.0591422, -0.0726198, -0.101867, -0.301652, -3.08447", \
"3.53436, 0.620585, 0.229869, 0.109386, 0.000419298, -0.0386875, -0.0618698, -0.0712131, -0.0751179, -0.0775633, -0.0794512, -0.0810585, -0.0825, -0.0838332, -0.0850906, -0.0862922, -0.0874512, -0.0885767, -0.0896756, -0.0907529, -0.0918129, -0.0928594, -0.0938961, -0.0949283, -0.0960603, -0.103893, -0.128291, -0.322212, -3.11114", \
"3.54294, 0.665401, 0.25921, 0.126156, 6.96324e-05, -0.050004, -0.0844426, -0.102297, -0.10954, -0.113462, -0.116232, -0.118464, -0.120392, -0.12213, -0.123736, -0.125248, -0.126688, -0.128073, -0.129413, -0.130717, -0.131993, -0.133245, -0.134479, -0.1357, -0.136925, -0.141051, -0.159371, -0.345911, -3.14015", \
"3.55205, 0.709892, 0.286777, 0.141526, 8.80397e-06, -0.0598482, -0.104688, -0.132637, -0.145141, -0.151212, -0.155134, -0.158125, -0.160617, -0.162805, -0.164789, -0.166629, -0.16836, -0.170009, -0.171592, -0.173122, -0.174609, -0.176061, -0.177485, -0.178889, -0.18028, -0.183332, -0.194797, -0.371906, -3.17063", \
"3.56143, 0.75335, 0.311988, 0.155367, 6.00708e-07, -0.0685407, -0.122764, -0.160905, -0.180724, -0.189879, -0.195305, -0.199216, -0.202358, -0.205045, -0.207436, -0.209621, -0.211653, -0.213569, -0.215395, -0.217148, -0.218841, -0.220486, -0.222092, -0.223669, -0.225224, -0.228346, -0.234623, -0.399721, -3.20206", \
"3.57106, 0.795398, 0.334712, 0.167756, 2.55591e-08, -0.0762303, -0.13885, -0.18647, -0.215139, -0.228639, -0.236043, -0.241079, -0.244973, -0.248219, -0.251052, -0.253603, -0.255949, -0.258141, -0.260212, -0.262187, -0.264085, -0.265919, -0.267702, -0.269445, -0.271158, -0.274541, -0.27862, -0.429062, -3.2341", \
"3.58094, 0.835848, 0.355034, 0.178811, 2.57296e-09, -0.0830257, -0.153131, -0.209277, -0.247396, -0.266762, -0.276789, -0.283217, -0.287996, -0.291872, -0.295191, -0.298135, -0.300812, -0.30329, -0.305613, -0.307815, -0.309918, -0.31194, -0.313897, -0.315803, -0.317668, -0.321331, -0.325097, -0.459758, -3.26654", \
"3.59106, 0.87465, 0.373137, 0.188649, 1.81035e-09, -0.0890218, -0.165785, -0.229516, -0.276807, -0.303556, -0.317075, -0.325249, -0.331077, -0.335674, -0.339531, -0.342903, -0.345933, -0.348711, -0.351296, -0.35373, -0.356042, -0.358255, -0.360387, -0.362454, -0.36447, -0.368408, -0.372333, -0.49173, -3.29923", \
"3.60145, 0.91183, 0.389223, 0.197381, 1.78997e-09, -0.0943039, -0.176977, -0.247436, -0.303096, -0.338335, -0.356465, -0.366858, -0.373949, -0.379378, -0.383839, -0.387678, -0.391088, -0.394185, -0.397045, -0.39972, -0.402247, -0.404654, -0.406962, -0.409192, -0.411359, -0.415569, -0.419725, -0.524979, -3.33206", \
"3.6121, 0.947417, 0.403487, 0.20511, 1.80243e-09, -0.098949, -0.186858, -0.263282, -0.326342, -0.370466, -0.394513, -0.407755, -0.416389, -0.422789, -0.427934, -0.43229, -0.436112, -0.43955, -0.442701, -0.445628, -0.448378, -0.450985, -0.453475, -0.455869, -0.458188, -0.462669, -0.467065, -0.559595, -3.36498", \
"3.62301, 0.981386, 0.41611, 0.211929, 1.82832e-09, -0.103025, -0.195567, -0.277277, -0.346807, -0.399484, -0.430733, -0.447656, -0.458197, -0.465747, -0.471674, -0.476607, -0.480881, -0.484687, -0.488146, -0.49134, -0.494323, -0.497138, -0.499814, -0.502378, -0.504851, -0.509606, -0.514239, -0.595767, -3.39795", \
"3.64551, 1.04396, 0.437049, 0.223181, 1.93443e-09, -0.10971, -0.209943, -0.300478, -0.380608, -0.447753, -0.495626, -0.523173, -0.53913, -0.549736, -0.557625, -0.563948, -0.569274, -0.573917, -0.578067, -0.581845, -0.585334, -0.588593, -0.591666, -0.594586, -0.597381, -0.602699, -0.607809, -0.673488, -3.46403", \
"3.66403, 1.09764, 0.453127, 0.231741, 2.14591e-09, -0.114769, -0.220943, -0.318371, -0.406663, -0.48453, -0.547831, -0.590328, -0.614968, -0.630204, -0.640851, -0.649009, -0.655662, -0.661323, -0.666288, -0.670742, -0.674805, -0.678561, -0.68207, -0.685379, -0.688523, -0.694439, -0.700042, -0.756098, -3.53032", \
"3.69325, 1.189, 0.480187, 0.245817, 3.89718e-09, -0.123133, -0.23971, -0.349674, -0.452932, -0.549287, -0.638218, -0.718198, -0.78512, -0.833257, -0.863817, -0.883684, -0.897793, -0.908622, -0.917416, -0.924852, -0.931326, -0.93709, -0.942308, -0.947097, -0.95154, -0.95963, -0.966963, -1.00576, -3.72404", \
"3.69337, 1.21149, 0.484819, 0.247587, 1.36202e-08, -0.124722, -0.245001, -0.360822, -0.472168, -0.579019, -0.681342, -0.779077, -0.872096, -0.960107, -1.04239, -1.11715, -1.18069, -1.22907, -1.26306, -1.2871, -1.30501, -1.3191, -1.33068, -1.34052, -1.3491, -1.36362, -1.37574, -1.40913, -4.02156");
}
output_voltage_rise () {
vector ("ccsn_ovrf") {
index_1("0.01");
index_2("0.001");
index_3("0.0352969, 0.0655231, 0.1028879, 0.1456022, 0.2135841");
values("0.195, 0.585, 0.975, 1.365, 1.755");
}
vector ("ccsn_ovrf") {
index_1("0.1");
index_2("0.001");
index_3("0.1012179, 0.1315366, 0.1687247, 0.2114251, 0.2790374");
values("0.195, 0.585, 0.975, 1.365, 1.755");
}
}
output_voltage_fall () {
vector ("ccsn_ovrf") {
index_1("0.01");
index_2("0.001");
index_3("0.0189672, 0.0299946, 0.0414811, 0.0541775, 0.0708563");
values("1.755, 1.365, 0.975, 0.585, 0.195");
}
vector ("ccsn_ovrf") {
index_1("0.1");
index_2("0.001");
index_3("0.0764691, 0.0933270, 0.1057129, 0.1182985, 0.1342493");
values("1.755, 1.365, 0.975, 0.585, 0.195");
}
}
propagated_noise_low () {
vector ("ccsn_pnlh") {
index_1("1.29693");
index_2("4.1779");
index_3("0.001");
index_4("2.0620479, 2.1703718, 2.3170598, 2.5193980, 2.6102197");
values("0.381848, 0.610956, 0.763695, 0.610956, 0.381848");
}
vector ("ccsn_pnlh") {
index_1("1.39989");
index_2("2.40751");
index_3("0.001");
index_4("1.1876642, 1.2803916, 1.4317039, 1.5580779, 1.6312577");
values("0.460039, 0.736062, 0.920078, 0.736062, 0.460039");
}
vector ("ccsn_pnlh") {
index_1("1.49154");
index_2("1.62267");
index_3("0.001");
index_4("0.8009090, 0.8778644, 1.0105402, 1.1105243, 1.1670995");
values("0.489879, 0.783806, 0.979758, 0.783806, 0.489879");
}
vector ("ccsn_pnlh") {
index_1("1.29693");
index_2("2.08895");
index_3("0.001");
index_4("1.0414090, 1.0973015, 1.1934204, 1.2685499, 1.3167395");
values("0.216934, 0.347095, 0.433869, 0.347095, 0.216934");
}
vector ("ccsn_pnlh") {
index_1("1.39989");
index_2("1.20376");
index_3("0.001");
index_4("0.6034180, 0.6478973, 0.7283285, 0.7863906, 0.8240470");
values("0.255174, 0.408279, 0.510349, 0.408279, 0.255174");
}
vector ("ccsn_pnlh") {
index_1("1.49154");
index_2("0.811337");
index_3("0.001");
index_4("0.4091721, 0.4471613, 0.4992892, 0.5615693, 0.5910826");
values("0.271598, 0.434557, 0.543196, 0.434557, 0.271598");
}
vector ("ccsn_pnlh") {
index_1("1.39989");
index_2("3.61127");
index_3("0.001");
index_4("1.7719474, 1.9148132, 2.1083912, 2.3227595, 2.4269738");
values("0.636041, 1.01766, 1.27208, 1.01766, 0.636041");
}
}
propagated_noise_high () {
vector ("ccsn_pnlh") {
index_1("1.08421");
index_2("1.20192");
index_3("0.001");
index_4("0.5992199, 0.6538445, 0.7539387, 0.8963955, 0.9825528");
values("1.44543, 1.14269, 0.940865, 1.14269, 1.44543");
}
vector ("ccsn_pnlh") {
index_1("1.20268");
index_2("0.681179");
index_3("0.001");
index_4("0.3430819, 0.3836299, 0.4594002, 0.5594650, 0.6244336");
values("1.41855, 1.09968, 0.887097, 1.09968, 1.41855");
}
vector ("ccsn_pnlh") {
index_1("1.31449");
index_2("0.451878");
index_3("0.001");
index_4("0.2293441, 0.2596996, 0.3113658, 0.3994348, 0.4488984");
values("1.40745, 1.08191, 0.864893, 1.08191, 1.40745");
}
vector ("ccsn_pnlh") {
index_1("1.08421");
index_2("0.60096");
index_3("0.001");
index_4("0.3057223, 0.3348752, 0.3906650, 0.4571452, 0.5139293");
values("1.69273, 1.53837, 1.43547, 1.53837, 1.69273");
}
vector ("ccsn_pnlh") {
index_1("1.20268");
index_2("0.340589");
index_3("0.001");
index_4("0.1763153, 0.1971332, 0.2286381, 0.2881041, 0.3319198");
values("1.68188, 1.52101, 1.41376, 1.52101, 1.68188");
}
vector ("ccsn_pnlh") {
index_1("1.31449");
index_2("0.225939");
index_3("0.001");
index_4("0.1191702, 0.1356396, 0.1651558, 0.2074370, 0.2418253");
values("1.67848, 1.51557, 1.40697, 1.51557, 1.67848");
}
vector ("ccsn_pnlh") {
index_1("1.20268");
index_2("1.02177");
index_3("0.001");
index_4("0.5092793, 0.5702216, 0.6753410, 0.8197380, 0.9143627");
values("1.17297, 0.706758, 0.395947, 0.706758, 1.17297");
}
}
}
}
}
pin ("PAD_A_NOESD_H") {
signal_voltage_type : "analog";
direction : "inout";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
}
pin ("PAD_A_ESD_1_H") {
signal_voltage_type : "analog";
direction : "inout";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
}
pin ("PAD_A_ESD_0_H") {
signal_voltage_type : "analog";
direction : "inout";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
}
pg_pin (VDDA) {
voltage_name : "VDDA";
pg_type : "primary_power";
}
pg_pin ("VDDIO_Q") {
voltage_name : "VDDIO_Q";
pg_type : "primary_power";
}
pg_pin (VSWITCH) {
voltage_name : "VSWITCH";
pg_type : "primary_power";
}
pg_pin (VDDIO) {
voltage_name : "VDDIO";
pg_type : "primary_power";
}
pg_pin (VCCD) {
voltage_name : "VCCD";
pg_type : "primary_power";
}
pg_pin (VCCHIB) {
voltage_name : "VCCHIB";
pg_type : "primary_power";
}
pg_pin (VSSD) {
voltage_name : "VSSD";
pg_type : "primary_ground";
}
pg_pin ("VSSIO_Q") {
voltage_name : "VSSIO_Q";
pg_type : "primary_ground";
}
pg_pin (VSSA) {
voltage_name : "VSSA";
pg_type : "primary_ground";
}
pg_pin (VSSIO) {
voltage_name : "VSSIO";
pg_type : "primary_ground";
}
pin ("ENABLE_VSWITCH_H") {
max_transition : 3.75;
input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "input";
related_power_pin : "VSWITCH";
related_ground_pin : "VSSA";
always_on : true;
rise_capacitance : 0.028484;
capacitance : 0.028428;
fall_capacitance : 0.028373;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.0546577, 0.0614792, 0.1776162, 0.3267601, 0.4699383, 0.6190822, 0.7682261");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.3198161, 0.4472738, 0.5747315, 0.7260719, 0.8713586, 1.0226989, 1.1740393");
}
}
/* Copied from enable_vswitch_h of gpio_ovtv3. NCX is not able to get ccs noise for this pin 10-5-2014, SIVK */
ccsn_first_stage () {
is_needed : "true";
stage_type : "both";
is_inverting : "true";
miller_cap_fall : "0.00199814";
miller_cap_rise : "0.00113515";
dc_current ("ccsn_dc") {
index_1("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
index_2("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
values("23.209, 10.7587, 3.73472, 3.60231, 3.49156, 3.4224, 3.33945, 3.23748, 3.11302, 2.97135, 2.82009, 2.66197, 2.49753, 2.3268, 2.14971, 1.96614, 1.77596, 1.57903, 1.37522, 1.16438, 0.946391, 0.721096, 0.488359, 0.24804, -1.68363e-08, -0.502537, -1.012, -6.07706, -16.9219", \
"22.6491, 9.9495, 2.81606, 2.56359, 2.51384, 2.48546, 2.45401, 2.41868, 2.3783, 2.33114, 2.27442, 2.20354, 2.11148, 1.99463, 1.86125, 1.71758, 1.56482, 1.40301, 1.23198, 1.05152, 0.861415, 0.661434, 0.451355, 0.230951, -1.17195e-08, -0.470597, -0.95675, -6.06854, -16.9139", \
"22.3841, 9.50037, 2.30705, 1.9283, 1.89554, 1.87766, 1.85836, 1.8373, 1.81408, 1.78812, 1.75864, 1.72443, 1.68357, 1.6328, 1.56619, 1.47526, 1.36093, 1.23242, 1.09231, 0.940702, 0.777334, 0.6019, 0.41408, 0.213553, -5.54443e-09, -0.438742, -0.902986, -6.06071, -16.9066", \
"22.2917, 9.35869, 2.14358, 1.71789, 1.68901, 1.67366, 1.65725, 1.63949, 1.62009, 1.59863, 1.57457, 1.54711, 1.51504, 1.47635, 1.42753, 1.362, 1.27111, 1.1583, 1.03156, 0.892345, 0.740508, 0.575721, 0.397619, 0.205834, -1.59886e-09, -0.424808, -0.879907, -6.05747, -16.9036", \
"22.192, 9.2225, 1.98739, 1.50882, 1.48302, 1.46982, 1.4559, 1.44098, 1.42481, 1.40711, 1.38748, 1.3654, 1.34006, 1.31023, 1.27384, 1.22706, 1.16252, 1.072, 0.961251, 0.836316, 0.697756, 0.545262, 0.378422, 0.196809, -8.98248e-09, -0.408671, -0.853508, -6.05383, -16.9002", \
"22.1374, 9.1565, 1.91333, 1.40583, 1.38036, 1.36812, 1.3553, 1.34166, 1.32693, 1.31088, 1.29318, 1.27339, 1.25087, 1.22463, 1.19307, 1.15331, 1.09974, 1.02283, 0.921831, 0.804916, 0.673762, 0.528139, 0.36761, 0.191717, -2.71453e-08, -0.399637, -0.83888, -6.05185, -16.8984", \
"22.0786, 9.09183, 1.84092, 1.31351, 1.278, 1.26663, 1.25485, 1.24239, 1.22901, 1.21449, 1.19856, 1.18085, 1.16084, 1.13776, 1.11036, 1.07646, 1.03192, 0.968448, 0.879061, 0.770922, 0.647764, 0.509561, 0.355865, 0.186176, -4.65382e-06, -0.389876, -0.823194, -6.04976, -16.8965", \
"22.0151, 9.02604, 1.76973, 1.23004, 1.17589, 1.16525, 1.1544, 1.143, 1.13084, 1.11771, 1.10338, 1.08754, 1.06978, 1.04947, 1.02564, 0.996658, 0.959467, 0.907773, 0.831765, 0.733398, 0.618853, 0.488631, 0.342277, 0.179248, -0.00100491, -0.380625, -0.808172, -6.05109, -16.9069", \
"21.9459, 8.96026, 1.69951, 1.14984, 1.07101, 1.05684, 1.04599, 1.03509, 1.02368, 1.01152, 0.998373, 0.983985, 0.968006, 0.949939, 0.929026, 0.904023, 0.872687, 0.830477, 0.768212, 0.68088, 0.575381, 0.453369, 0.314386, 0.157784, -0.0171077, -0.389126, -0.816192, -6.09405, -17.0453", \
"21.8704, 8.89436, 1.6301, 1.07027, 0.966275, 0.940033, 0.926798, 0.91572, 0.904739, 0.893335, 0.881218, 0.868132, 0.853772, 0.837732, 0.819416, 0.797874, 0.771456, 0.736936, 0.687293, 0.612973, 0.517537, 0.404539, 0.273618, 0.123988, -0.0451837, -0.409812, -0.83818, -6.15825, -17.2355", \
"21.7876, 8.82772, 1.56136, 0.990203, 0.863517, 0.823352, 0.803863, 0.791761, 0.780947, 0.770176, 0.758998, 0.747119, 0.734259, 0.720076, 0.704096, 0.685593, 0.663351, 0.635083, 0.595877, 0.535825, 0.451836, 0.348872, 0.227001, 0.0853023, -0.0772338, -0.433005, -0.861805, -6.22472, -17.4279", \
"21.6968, 8.76008, 1.49322, 0.90943, 0.76268, 0.709635, 0.68073, 0.666193, 0.655189, 0.644903, 0.634559, 0.623786, 0.612302, 0.599809, 0.585925, 0.570096, 0.551421, 0.528281, 0.497337, 0.450899, 0.380107, 0.288304, 0.176568, 0.043881, -0.110956, -0.456015, -0.882832, -6.28909, -17.6117", \
"21.5968, 8.69118, 1.42561, 0.828148, 0.663759, 0.599253, 0.559748, 0.540702, 0.528972, 0.518973, 0.509345, 0.499571, 0.489341, 0.478382, 0.46638, 0.452908, 0.437303, 0.418418, 0.394, 0.35888, 0.302762, 0.22341, 0.122997, 0.000510266, -0.145447, -0.477723, -0.895271, -6.34977, -17.7833", \
"21.4869, 8.6208, 1.35852, 0.746718, 0.56687, 0.492229, 0.442413, 0.416605, 0.403325, 0.393349, 0.384296, 0.375408, 0.366312, 0.356742, 0.34643, 0.335044, 0.322098, 0.306782, 0.287583, 0.261199, 0.219529, 0.154177, 0.0663987, -0.0445759, -0.180343, -0.497583, -0.903321, -6.40603, -17.9415", \
"21.3659, 8.5488, 1.29201, 0.66562, 0.472284, 0.388699, 0.329455, 0.295246, 0.279197, 0.268877, 0.260229, 0.252104, 0.244025, 0.235707, 0.226911, 0.217376, 0.206742, 0.194446, 0.179477, 0.159759, 0.130173, 0.0802839, 0.00659776, -0.0914025, -0.215517, -0.515264, -0.906706, -6.4568, -18.086", \
"21.2332, 8.47518, 1.22628, 0.585506, 0.380495, 0.289037, 0.221337, 0.178113, 0.157686, 0.146502, 0.138049, 0.130554, 0.123371, 0.116175, 0.108738, 0.100842, 0.0922254, 0.0824982, 0.0709936, 0.0564188, 0.035811, 0.00122623, -0.0567535, -0.140141, -0.250949, -0.530509, -0.904978, -6.50322, -18.2168", \
"21.0883, 8.40021, 1.16179, 0.507321, 0.292326, 0.193971, 0.118738, 0.0667916, 0.0402527, 0.0274662, 0.0189388, 0.0119209, 0.00551201, -0.000688798, -0.00691878, -0.0133655, -0.0202259, -0.0277659, -0.036417, -0.0469752, -0.0611149, -0.0832473, -0.124128, -0.191066, -0.286682, -0.543068, -0.89765, -6.54542, -18.3354", \
"20.9319, 8.32467, 1.09948, 0.432508, 0.209131, 0.104783, 0.0228673, -0.0370139, -0.071038, -0.0864487, -0.0954055, -0.102123, -0.10789, -0.113224, -0.118391, -0.123569, -0.128911, -0.134599, -0.140906, -0.148315, -0.157766, -0.171424, -0.19604, -0.24454, -0.322794, -0.552669, -0.884107, -6.58345, -18.4423", \
"20.7668, 8.2507, 1.04104, 0.363381, 0.133127, 0.023636, -0.0641646, -0.131064, -0.173205, -0.192546, -0.202407, -0.209039, -0.214311, -0.218912, -0.223163, -0.227249, -0.231301, -0.235446, -0.239855, -0.244819, -0.250873, -0.259089, -0.272203, -0.300995, -0.359398, -0.558995, -0.863419, -6.61737, -18.5382", \
"20.6009, 8.18175, 0.9895, 0.303742, 0.0679565, -0.0458833, -0.138821, -0.211862, -0.261956, -0.28666, -0.298067, -0.304881, -0.309826, -0.313842, -0.317334, -0.320513, -0.323505, -0.32641, -0.32934, -0.332473, -0.336133, -0.340909, -0.347921, -0.360774, -0.396638, -0.561699, -0.834905, -6.64766, -18.6239", \
"20.4519, 8.12469, 0.950073, 0.25981, 0.01948, -0.0980047, -0.195395, -0.273791, -0.331121, -0.36241, -0.376201, -0.383532, -0.388345, -0.391938, -0.39484, -0.397307, -0.39948, -0.401452, -0.403308, -0.405174, -0.407276, -0.410021, -0.414074, -0.420496, -0.434714, -0.560614, -0.801394, -6.67499, -18.7006", \
"20.3691, 8.09833, 0.935242, 0.245729, 0.00052368, -0.120273, -0.221708, -0.304923, -0.368686, -0.407337, -0.424644, -0.433037, -0.438073, -0.441561, -0.444202, -0.44632, -0.448089, -0.449615, -0.450982, -0.452301, -0.453772, -0.455755, -0.458847, -0.463947, -0.472295, -0.554965, -0.767406, -6.69986, -18.7689", \
"20.3679, 8.10015, 0.938541, 0.251685, 6.86599e-07, -0.124437, -0.230129, -0.318241, -0.388184, -0.434828, -0.457325, -0.467803, -0.473779, -0.477778, -0.480736, -0.483069, -0.484993, -0.486634, -0.488084, -0.489449, -0.490912, -0.492805, -0.495701, -0.500475, -0.508359, -0.55582, -0.735829, -6.72262, -18.8294", \
"20.372, 8.10301, 0.9422, 0.257716, 1.45144e-08, -0.127772, -0.237388, -0.330011, -0.405507, -0.459822, -0.488701, -0.50196, -0.509157, -0.513803, -0.517157, -0.51976, -0.521881, -0.523672, -0.525235, -0.526675, -0.528156, -0.529987, -0.532702, -0.537151, -0.54455, -0.575273, -0.707602, -6.74347, -18.8826", \
"20.3757, 8.10561, 0.945583, 0.263216, 1.79754e-08, -0.130787, -0.24399, -0.340746, -0.421233, -0.482542, -0.518715, -0.535619, -0.544376, -0.549813, -0.553637, -0.556552, -0.558896, -0.560855, -0.562547, -0.564076, -0.565594, -0.567377, -0.569925, -0.574046, -0.580932, -0.609805, -0.694537, -6.76265, -18.9289", \
"20.3822, 8.11017, 0.951609, 0.272802, 3.75147e-08, -0.135986, -0.255467, -0.359509, -0.448623, -0.521623, -0.573165, -0.600555, -0.613938, -0.621575, -0.626633, -0.630334, -0.633226, -0.635591, -0.637593, -0.639349, -0.640991, -0.642741, -0.645011, -0.648513, -0.654347, -0.679681, -0.741974, -6.80073, -19.0039", \
"20.3875, 8.11402, 0.956769, 0.280765, 8.88871e-08, -0.140244, -0.264987, -0.375202, -0.471528, -0.553693, -0.618679, -0.660256, -0.681363, -0.692514, -0.699396, -0.70419, -0.70781, -0.710698, -0.713095, -0.715151, -0.716994, -0.718801, -0.7209, -0.723882, -0.728721, -0.750247, -0.805797, -6.83758, -19.0606", \
"20.3989, 8.12241, 0.96814, 0.297264, 8.04388e-07, -0.148868, -0.284816, -0.408554, -0.520699, -0.621681, -0.711503, -0.788955, -0.849851, -0.888957, -0.910388, -0.922734, -0.930763, -0.936522, -0.940946, -0.944513, -0.947494, -0.950069, -0.952409, -0.954779, -0.957675, -0.969083, -1.00236, -6.92397, -19.1093", \
"20.4091, 8.12968, 0.97773, 0.30909, 7.8946e-06, -0.154749, -0.299761, -0.435448, -0.562207, -0.680402, -0.790354, -0.892315, -0.986388, -1.07234, -1.14908, -1.21355, -1.26099, -1.29109, -1.3097, -1.32205, -1.33094, -1.33776, -1.34324, -1.3478, -1.35171, -1.3586, -1.36867, -7.06761, -18.9198");
}
output_voltage_rise () {
vector ("ccsn_ovrf") {
index_1("0.01");
index_2("0.001");
index_3("0.0232323, 0.0423489, 0.0629052, 0.0877552, 0.1291546");
values("0.55, 1.65, 2.75, 3.85, 4.95");
}
vector ("ccsn_ovrf") {
index_1("0.1");
index_2("0.001");
index_3("0.0720853, 0.0992657, 0.1199815, 0.1446388, 0.1861739");
values("0.55, 1.65, 2.75, 3.85, 4.95");
}
}
output_voltage_fall () {
vector ("ccsn_ovrf") {
index_1("0.01");
index_2("0.001");
index_3("0.0485379, 0.0954359, 0.1446784, 0.1959604, 0.2660359");
values("4.95, 3.85, 2.75, 1.65, 0.55");
}
vector ("ccsn_ovrf") {
index_1("0.1");
index_2("0.001");
index_3("0.1003050, 0.1472880, 0.1965337, 0.2478901, 0.3182349");
values("4.95, 3.85, 2.75, 1.65, 0.55");
}
}
propagated_noise_low () {
vector ("ccsn_pnlh") {
index_1("2.475");
index_2("0.826783");
index_3("0.001");
index_4("0.3716882, 0.4218363, 0.4786380, 0.5583655, 0.6137758");
values("0.512323, 0.819716, 1.02465, 0.819716, 0.512323");
}
vector ("ccsn_pnlh") {
index_1("2.75");
index_2("0.627115");
index_3("0.001");
index_4("0.2904568, 0.3310910, 0.3928640, 0.4554019, 0.5029784");
values("0.672533, 1.07605, 1.34507, 1.07605, 0.672533");
}
vector ("ccsn_pnlh") {
index_1("3.09976");
index_2("0.46891");
index_3("0.001");
index_4("0.2234912, 0.2572203, 0.3067637, 0.3706650, 0.4138935");
values("0.859456, 1.37513, 1.71891, 1.37513, 0.859456");
}
vector ("ccsn_pnlh") {
index_1("2.475");
index_2("0.413392");
index_3("0.001");
index_4("0.1917924, 0.2169394, 0.2473847, 0.3033984, 0.3421387");
values("0.356531, 0.57045, 0.713062, 0.57045, 0.356531");
}
vector ("ccsn_pnlh") {
index_1("2.75");
index_2("0.313558");
index_3("0.001");
index_4("0.1492832, 0.1702459, 0.2022763, 0.2460549, 0.2814301");
values("0.423229, 0.677166, 0.846457, 0.677166, 0.423229");
}
vector ("ccsn_pnlh") {
index_1("3.09976");
index_2("0.234455");
index_3("0.001");
index_4("0.1151526, 0.1324867, 0.1614299, 0.1986002, 0.2316617");
values("0.495446, 0.792714, 0.990893, 0.792714, 0.495446");
}
vector ("ccsn_pnlh") {
index_1("2.75");
index_2("0.940673");
index_3("0.001");
index_4("0.4363743, 0.4942771, 0.5766400, 0.6648502, 0.7259591");
values("0.885727, 1.41716, 1.77145, 1.41716, 0.885727");
}
}
propagated_noise_high () {
vector ("ccsn_pnlh") {
index_1("2.475");
index_2("1.48682");
index_3("0.001");
index_4("0.6028657, 0.7136377, 0.7860289, 0.8937043, 1.0024441");
values("5.33344, 5.2335, 5.16687, 5.2335, 5.33344");
}
vector ("ccsn_pnlh") {
index_1("2.75");
index_2("1.17612");
index_3("0.001");
index_4("0.4860077, 0.5728198, 0.6340366, 0.7248899, 0.8137157");
values("5.2856, 5.15696, 5.0712, 5.15696, 5.2856");
}
vector ("ccsn_pnlh") {
index_1("3.025");
index_2("0.963997");
index_3("0.001");
index_4("0.4076282, 0.4789442, 0.5331986, 0.6103628, 0.6823096");
values("5.22757, 5.0641, 4.95513, 5.0641, 5.22757");
}
vector ("ccsn_pnlh") {
index_1("2.475");
index_2("0.743412");
index_3("0.001");
index_4("0.3193926, 0.3731099, 0.4258421, 0.4816828, 0.5413561");
values("5.35002, 5.26004, 5.20005, 5.26004, 5.35002");
}
vector ("ccsn_pnlh") {
index_1("2.75");
index_2("0.58806");
index_3("0.001");
index_4("0.2580646, 0.2995727, 0.3328128, 0.3964262, 0.4463148");
values("5.31538, 5.20461, 5.13076, 5.20461, 5.31538");
}
vector ("ccsn_pnlh") {
index_1("3.025");
index_2("0.481999");
index_3("0.001");
index_4("0.2171411, 0.2499923, 0.2814815, 0.3374802, 0.3808185");
values("5.27791, 5.14465, 5.05581, 5.14465, 5.27791");
}
vector ("ccsn_pnlh") {
index_1("2.75");
index_2("1.76418");
index_3("0.001");
index_4("0.7132543, 0.8467822, 0.9363647, 1.0471395, 1.1741809");
values("5.27275, 5.13641, 5.04551, 5.13641, 5.27275");
}
}
}
}
pin ("ANALOG_EN") {
max_transition : 1.50;
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCD";
related_ground_pin : "VSSD";
always_on : false;
fall_capacitance : 0.032530;
capacitance : 0.032824;
rise_capacitance : 0.033119;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.1512753, 0.1519221, 0.1525690, 0.1554335, 0.1581834, 0.1610478, 0.1639123");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.1459064, 0.1479861, 0.1500657, 0.1550634, 0.1598612, 0.1648588, 0.1698565");
}
}
ccsn_first_stage () {
is_needed : "true";
stage_type : "both";
is_inverting : "true";
/* when : "!OUT"; */
miller_cap_fall : "0.000855551";
miller_cap_rise : "0.000651733";
dc_current ("ccsn_dc") {
index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
values("1.90843, 0.611514, 0.562003, 0.537685, 0.509056, 0.493134, 0.476152, 0.458117, 0.43904, 0.41893, 0.397797, 0.375652, 0.352504, 0.328362, 0.303238, 0.277139, 0.250077, 0.222061, 0.193099, 0.163202, 0.132379, 0.100638, 0.0679882, 0.0344394, -1.09139e-08, -0.0684885, -0.134721, -0.338268, -1.56863", \
"1.83259, 0.418022, 0.398824, 0.391015, 0.381464, 0.375715, 0.369056, 0.36124, 0.352051, 0.341353, 0.329092, 0.315256, 0.299852, 0.282897, 0.26441, 0.244413, 0.222927, 0.199973, 0.175574, 0.149751, 0.122524, 0.0939156, 0.0639453, 0.0326336, -1.09139e-08, -0.0657957, -0.130223, -0.33246, -1.56852", \
"1.7826, 0.288011, 0.263787, 0.259744, 0.255223, 0.252724, 0.25002, 0.247059, 0.243771, 0.240052, 0.235742, 0.230593, 0.224229, 0.216231, 0.206326, 0.194414, 0.180484, 0.164558, 0.146665, 0.126841, 0.105122, 0.0815427, 0.0561395, 0.0289472, -7.27596e-09, -0.059761, -0.119735, -0.315686, -1.56643", \
"1.76983, 0.272589, 0.216434, 0.213201, 0.209658, 0.207737, 0.205691, 0.203492, 0.201106, 0.198483, 0.195549, 0.192196, 0.188244, 0.183387, 0.177145, 0.169026, 0.158773, 0.146321, 0.13168, 0.114883, 0.0959695, 0.074982, 0.0519633, 0.0269555, -7.27596e-09, -0.0564815, -0.114045, -0.306407, -1.56529", \
"1.75873, 0.280679, 0.169379, 0.166835, 0.164097, 0.162636, 0.1611, 0.159476, 0.157745, 0.155882, 0.153855, 0.151617, 0.149096, 0.146178, 0.14266, 0.138148, 0.13201, 0.123706, 0.113028, 0.0999515, 0.0845068, 0.0667392, 0.0466986, 0.0244355, -7.27596e-09, -0.0523399, -0.106885, -0.294666, -1.56388", \
"1.75377, 0.290033, 0.146366, 0.144117, 0.141733, 0.14047, 0.139151, 0.137764, 0.136298, 0.134735, 0.133054, 0.131222, 0.129195, 0.126904, 0.124232, 0.120962, 0.116638, 0.110534, 0.102105, 0.0911816, 0.0777585, 0.0618759, 0.0435854, 0.0229418, -7.27596e-09, -0.049892, -0.102667, -0.287731, -1.56306", \
"1.74926, 0.301994, 0.124204, 0.12197, 0.119914, 0.118832, 0.117708, 0.116534, 0.115302, 0.114, 0.112613, 0.11112, 0.109493, 0.10769, 0.105643, 0.103238, 0.100239, 0.0961059, 0.0900146, 0.0814368, 0.0702443, 0.0564515, 0.0401076, 0.0212706, -7.27596e-09, -0.0471605, -0.0979731, -0.280006, -1.56215", \
"1.74525, 0.316732, 0.105175, 0.100629, 0.0988739, 0.0979592, 0.0970134, 0.0960317, 0.095008, 0.0939346, 0.0928016, 0.0915956, 0.0902986, 0.0888848, 0.0873156, 0.0855287, 0.0834098, 0.0806999, 0.076755, 0.0706499, 0.0618975, 0.0504134, 0.0362294, 0.0194037, -1.45519e-08, -0.0441188, -0.0927621, -0.271428, -1.56114", \
"1.74183, 0.333833, 0.095093, 0.0804299, 0.0788828, 0.0781223, 0.0773399, 0.0765325, 0.0756959, 0.0748251, 0.0739137, 0.0729532, 0.0719326, 0.0708363, 0.0696419, 0.0683156, 0.0668004, 0.0649851, 0.0625821, 0.0588114, 0.0526612, 0.0437091, 0.0319135, 0.0173218, -2.54659e-07, -0.0407395, -0.0869908, -0.261939, -1.56005", \
"1.73909, 0.353048, 0.0953258, 0.0625188, 0.0602451, 0.0596271, 0.0589951, 0.0583463, 0.0576783, 0.0569879, 0.0562711, 0.0555227, 0.054736, 0.0539022, 0.0530084, 0.0520365, 0.0509577, 0.0497225, 0.0482246, 0.0461398, 0.0425182, 0.0362898, 0.0271179, 0.0149987, -7.2032e-06, -0.0370003, -0.0806221, -0.251498, -1.55885", \
"1.73714, 0.374115, 0.10268, 0.0513497, 0.043291, 0.042782, 0.0422827, 0.0417738, 0.0412533, 0.0407192, 0.0401691, 0.0396001, 0.0390083, 0.0383888, 0.0377349, 0.0370369, 0.0362808, 0.0354442, 0.034486, 0.0333084, 0.0315401, 0.0280283, 0.0216909, 0.0122884, -0.000151096, -0.0330088, -0.073755, -0.240234, -1.55764", \
"1.73612, 0.396826, 0.114905, 0.0507709, 0.0283083, 0.0275416, 0.0271023, 0.0266707, 0.0262362, 0.0257957, 0.0253474, 0.0248893, 0.0244193, 0.0239349, 0.0234326, 0.0229078, 0.0223542, 0.0217619, 0.021115, 0.0203829, 0.0194803, 0.0179808, 0.0145071, 0.0080247, -0.00160952, -0.0299599, -0.0676199, -0.229517, -1.55721", \
"1.73623, 0.421175, 0.130846, 0.0574215, 0.0157346, 0.0118222, 0.0110214, 0.0104443, 0.00991151, 0.00939475, 0.00888439, 0.00837573, 0.00786581, 0.00735233, 0.00683313, 0.00630585, 0.00576759, 0.00521443, 0.00464059, 0.00403652, 0.00338278, 0.00261352, 0.00125864, -0.00227103, -0.00890847, -0.0323831, -0.0667881, -0.223955, -1.56007", \
"1.7377, 0.447491, 0.149892, 0.0674831, 0.00670523, -0.00687299, -0.0107257, -0.0121166, -0.0130974, -0.0139418, -0.0147212, -0.0154637, -0.016183, -0.0168872, -0.0175813, -0.0182688, -0.0189526, -0.0196353, -0.0203195, -0.0210084, -0.0217068, -0.0224245, -0.0232018, -0.024513, -0.0280793, -0.0460294, -0.0767767, -0.228261, -1.56854", \
"1.74087, 0.476325, 0.171592, 0.0795282, 0.00191361, -0.023308, -0.0358754, -0.0400925, -0.0421588, -0.0436449, -0.0448891, -0.0460046, -0.0470415, -0.0480258, -0.0489728, -0.0498923, -0.0507908, -0.0516729, -0.0525424, -0.0534022, -0.0542551, -0.0551041, -0.0559538, -0.056827, -0.0582344, -0.0699742, -0.0963599, -0.240584, -1.58141", \
"1.74565, 0.507353, 0.194521, 0.0925447, 0.000370566, -0.0351102, -0.058593, -0.0694993, -0.073927, -0.0765384, -0.0784966, -0.080139, -0.0815997, -0.0829435, -0.0842065, -0.0854106, -0.0865701, -0.0876947, -0.0887915, -0.0898659, -0.0909222, -0.0919643, -0.0929957, -0.0940208, -0.0950752, -0.101138, -0.122186, -0.257594, -1.59674", \
"1.75129, 0.538991, 0.216304, 0.104869, 5.99583e-05, -0.0440615, -0.0775021, -0.0980912, -0.107177, -0.111699, -0.114711, -0.117063, -0.119061, -0.120841, -0.122475, -0.124005, -0.125457, -0.12685, -0.128195, -0.129503, -0.130779, -0.13203, -0.133262, -0.134479, -0.135688, -0.138862, -0.153012, -0.27774, -1.61352", \
"1.75732, 0.570273, 0.235789, 0.115785, 7.41355e-06, -0.0513793, -0.0933079, -0.123837, -0.140462, -0.148199, -0.152757, -0.156051, -0.158713, -0.161005, -0.163058, -0.164945, -0.166711, -0.168385, -0.169986, -0.171529, -0.173026, -0.174484, -0.175911, -0.177315, -0.178701, -0.181533, -0.188961, -0.30041, -1.63122", \
"1.76366, 0.600905, 0.252895, 0.125298, 4.96232e-07, -0.0575168, -0.10662, -0.145863, -0.171825, -0.18476, -0.191639, -0.196204, -0.199696, -0.202595, -0.205125, -0.207406, -0.209508, -0.211476, -0.213341, -0.215124, -0.21684, -0.218503, -0.220121, -0.221704, -0.223261, -0.226337, -0.230348, -0.325346, -1.64953", \
"1.77029, 0.630741, 0.267851, 0.133561, 2.07681e-08, -0.0627125, -0.117892, -0.164465, -0.199646, -0.220029, -0.230432, -0.236759, -0.241314, -0.244947, -0.248029, -0.250751, -0.25322, -0.255503, -0.257645, -0.259675, -0.261615, -0.263483, -0.265291, -0.267051, -0.268774, -0.272148, -0.275607, -0.352469, -1.66825", \
"1.77725, 0.659629, 0.280926, 0.140734, 1.32693e-09, -0.0671326, -0.127476, -0.18021, -0.223374, -0.252617, -0.268243, -0.277072, -0.283024, -0.287562, -0.291296, -0.294521, -0.297398, -0.300024, -0.30246, -0.30475, -0.306923, -0.309001, -0.311002, -0.312939, -0.314827, -0.318499, -0.322128, -0.381832, -1.68725", \
"1.78457, 0.687368, 0.292361, 0.146962, 1.43245e-09, -0.0709056, -0.135653, -0.193598, -0.243382, -0.281381, -0.304118, -0.316543, -0.324371, -0.330053, -0.334571, -0.338379, -0.341716, -0.34472, -0.347477, -0.350044, -0.352461, -0.354759, -0.356958, -0.359077, -0.361131, -0.365102, -0.368982, -0.413597, -1.70643", \
"1.79231, 0.713693, 0.302361, 0.152368, 1.40133e-09, -0.0741347, -0.142651, -0.205028, -0.260299, -0.305902, -0.337026, -0.35453, -0.364937, -0.372093, -0.377572, -0.382069, -0.385933, -0.389359, -0.392467, -0.395334, -0.398013, -0.400542, -0.402949, -0.405256, -0.407482, -0.411755, -0.415894, -0.448058, -1.72575", \
"1.80052, 0.738277, 0.3111, 0.15706, 1.39817e-09, -0.0769034, -0.148652, -0.214818, -0.274684, -0.326524, -0.366066, -0.390299, -0.404281, -0.41338, -0.42006, -0.425382, -0.429858, -0.433763, -0.437262, -0.440457, -0.443418, -0.446194, -0.448821, -0.451325, -0.453729, -0.458312, -0.462714, -0.485663, -1.74517", \
"1.80925, 0.760751, 0.31873, 0.161127, 1.40702e-09, -0.0792799, -0.153807, -0.223222, -0.286977, -0.343893, -0.390844, -0.423015, -0.441895, -0.453602, -0.461812, -0.468139, -0.473335, -0.477791, -0.481728, -0.485286, -0.488555, -0.491597, -0.494458, -0.49717, -0.499762, -0.504668, -0.509338, -0.526862, -1.76468", \
"1.82849, 0.798147, 0.331159, 0.16769, 1.46981e-09, -0.0830712, -0.162046, -0.236665, -0.306576, -0.37117, -0.429029, -0.476595, -0.509372, -0.529334, -0.542152, -0.551302, -0.558406, -0.564251, -0.56926, -0.573678, -0.57766, -0.581309, -0.584694, -0.587869, -0.59087, -0.596475, -0.601713, -0.617284, -1.80397", \
"1.85021, 0.82521, 0.340494, 0.172558, 1.61523e-09, -0.085854, -0.168123, -0.24661, -0.32107, -0.391144, -0.456147, -0.514431, -0.562173, -0.595356, -0.61624, -0.630046, -0.640058, -0.647885, -0.654341, -0.659875, -0.664751, -0.669139, -0.673151, -0.676865, -0.68034, -0.686736, -0.692605, -0.70923, -1.84356", \
"1.88348, 0.865817, 0.355699, 0.180309, 2.89038e-09, -0.0902715, -0.177964, -0.262979, -0.345206, -0.42452, -0.50076, -0.573689, -0.64289, -0.707472, -0.765385, -0.812872, -0.847228, -0.870616, -0.887083, -0.899449, -0.909283, -0.917455, -0.924471, -0.930647, -0.936188, -0.945887, -0.954287, -0.975466, -1.95953", \
"1.87887, 0.874781, 0.357913, 0.181172, 1.00903e-08, -0.090971, -0.180217, -0.267695, -0.353362, -0.437174, -0.519081, -0.599032, -0.676966, -0.752814, -0.826483, -0.897842, -0.966667, -1.03255, -1.09463, -1.15121, -1.1996, -1.23767, -1.26609, -1.28737, -1.30387, -1.32831, -1.34624, -1.38293, -2.14046");
}
output_voltage_rise () {
vector ("ccsn_ovrf") {
index_1("0.01");
index_2("0.001");
index_3("0.0386380, 0.0774858, 0.1242609, 0.1784726, 0.2637188");
values("0.195, 0.585, 0.975, 1.365, 1.755");
}
vector ("ccsn_ovrf") {
index_1("0.1");
index_2("0.001");
index_3("0.1047665, 0.1431079, 0.1903832, 0.2434017, 0.3302853");
values("0.195, 0.585, 0.975, 1.365, 1.755");
}
}
output_voltage_fall () {
vector ("ccsn_ovrf") {
index_1("0.01");
index_2("0.001");
index_3("0.0186925, 0.0325560, 0.0472792, 0.0635025, 0.0854210");
values("1.755, 1.365, 0.975, 0.585, 0.195");
}
vector ("ccsn_ovrf") {
index_1("0.1");
index_2("0.001");
index_3("0.0775415, 0.0968992, 0.1117395, 0.1281227, 0.1499168");
values("1.755, 1.365, 0.975, 0.585, 0.195");
}
}
propagated_noise_low () {
vector ("ccsn_pnlh") {
index_1("1.29686");
index_2("5.21972");
index_3("0.001");
index_4("2.5723522, 2.7140427, 2.9437388, 3.1454498, 3.2568107");
values("0.380758, 0.609213, 0.761516, 0.609213, 0.380758");
}
vector ("ccsn_pnlh") {
index_1("1.39915");
index_2("2.98718");
index_3("0.001");
index_4("1.4699309, 1.5867952, 1.7892037, 1.9368050, 2.0224461");
values("0.450741, 0.721186, 0.901482, 0.721186, 0.450741");
}
vector ("ccsn_pnlh") {
index_1("1.49072");
index_2("2.00397");
index_3("0.001");
index_4("0.9858201, 1.0812306, 1.2639550, 1.3707861, 1.4428887");
values("0.479594, 0.76735, 0.959188, 0.76735, 0.479594");
}
vector ("ccsn_pnlh") {
index_1("1.29686");
index_2("2.60986");
index_3("0.001");
index_4("1.2976038, 1.3670617, 1.4672662, 1.5826670, 1.6527881");
values("0.217104, 0.347367, 0.434208, 0.347367, 0.217104");
}
vector ("ccsn_pnlh") {
index_1("1.39915");
index_2("1.49359");
index_3("0.001");
index_4("0.7459378, 0.8034018, 0.8896262, 0.9747554, 1.0220642");
values("0.255384, 0.408614, 0.510768, 0.408614, 0.255384");
}
vector ("ccsn_pnlh") {
index_1("1.49072");
index_2("1.00198");
index_3("0.001");
index_4("0.5010090, 0.5473467, 0.6296929, 0.6910191, 0.7309120");
values("0.272208, 0.435533, 0.544416, 0.435533, 0.272208");
}
vector ("ccsn_pnlh") {
index_1("1.39915");
index_2("4.48077");
index_3("0.001");
index_4("2.1974381, 2.3754435, 2.6377868, 2.8791292, 3.0096060");
values("0.628482, 1.00557, 1.25696, 1.00557, 0.628482");
}
}
propagated_noise_high () {
vector ("ccsn_pnlh") {
index_1("1.08421");
index_2("1.41555");
index_3("0.001");
index_4("0.7047213, 0.7701521, 0.8790189, 1.0540883, 1.1569896");
values("1.47838, 1.19541, 1.00676, 1.19541, 1.47838");
}
vector ("ccsn_pnlh") {
index_1("1.20228");
index_2("0.800416");
index_3("0.001");
index_4("0.4002901, 0.4473567, 0.5335182, 0.6568220, 0.7340727");
values("1.44599, 1.14359, 0.941982, 1.14359, 1.44599");
}
vector ("ccsn_pnlh") {
index_1("1.31389");
index_2("0.530178");
index_3("0.001");
index_4("0.2660415, 0.3026688, 0.3731364, 0.4677235, 0.5291988");
values("1.42914, 1.11662, 0.908273, 1.11662, 1.42914");
}
vector ("ccsn_pnlh") {
index_1("1.08421");
index_2("0.707774");
index_3("0.001");
index_4("0.3565863, 0.3909566, 0.4542554, 0.5384325, 0.6079411");
values("1.70091, 1.55146, 1.45182, 1.55146, 1.70091");
}
vector ("ccsn_pnlh") {
index_1("1.20228");
index_2("0.400208");
index_3("0.001");
index_4("0.2049228, 0.2288798, 0.2835496, 0.3376822, 0.3911442");
values("1.69996, 1.54993, 1.44992, 1.54993, 1.69996");
}
vector ("ccsn_pnlh") {
index_1("1.31389");
index_2("0.265089");
index_3("0.001");
index_4("0.1364989, 0.1559512, 0.1913174, 0.2433943, 0.2856520");
values("1.68719, 1.52951, 1.42438, 1.52951, 1.68719");
}
vector ("ccsn_pnlh") {
index_1("1.20228");
index_2("1.20062");
index_3("0.001");
index_4("0.5956411, 0.6667822, 0.8056963, 0.9688685, 1.0767308");
values("1.227, 0.793204, 0.504005, 0.793204, 1.227");
}
}
}
}
pin ("ENABLE_VDDIO") {
max_transition : 1.50;
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCHIB";
related_ground_pin : "VSSD";
always_on : true;
fall_capacitance : 0.051707;
capacitance : 0.052126;
rise_capacitance : 0.052544;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.0196528, -0.0197741, -0.0198954, -0.0198871, -0.0198792, -0.0198709, -0.0198626");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0202093, 0.0201009, 0.0199926, 0.0199895, 0.0199866, 0.0199836, 0.0199806");
}
}
ccsn_first_stage () {
is_needed : "true";
stage_type : "both";
is_inverting : "true";
miller_cap_fall : "0.00145923";
miller_cap_rise : "0.00051885";
dc_current ("ccsn_dc") {
index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
values("3.42798, 0.610543, 0.428185, 0.41954, 0.40904, 0.4028, 0.395651, 0.387314, 0.37743, 0.365649, 0.351872, 0.336318, 0.319232, 0.300725, 0.280821, 0.259511, 0.236775, 0.212585, 0.186913, 0.159728, 0.130999, 0.100694, 0.0687815, 0.035228, -9.24485e-09, -0.072621, -0.146786, -0.415699, -2.91428", \
"3.29358, 0.466754, 0.252642, 0.248593, 0.243957, 0.241351, 0.238502, 0.235355, 0.231835, 0.227837, 0.223206, 0.217717, 0.211042, 0.202807, 0.192816, 0.181126, 0.167812, 0.152879, 0.136301, 0.118039, 0.0980543, 0.0763024, 0.0527395, 0.0273207, -6.76313e-09, -0.0577831, -0.118395, -0.348497, -2.90792", \
"3.21905, 0.387586, 0.153276, 0.151001, 0.14847, 0.147084, 0.1456, 0.144, 0.142261, 0.140353, 0.138234, 0.135846, 0.133102, 0.129871, 0.125944, 0.121003, 0.114665, 0.106693, 0.0970078, 0.0855596, 0.072296, 0.0571632, 0.0401068, 0.0210713, -6.61407e-09, -0.0461677, -0.09641, -0.294969, -2.90204", \
"3.19614, 0.363578, 0.122465, 0.120666, 0.118684, 0.117607, 0.116462, 0.115237, 0.113917, 0.112483, 0.110909, 0.10916, 0.107186, 0.104913, 0.102225, 0.0989336, 0.0947295, 0.0892099, 0.0820711, 0.0731764, 0.0624503, 0.0498299, 0.0352549, 0.0186652, -2.79349e-09, -0.0417222, -0.0880501, -0.274687, -2.89988", \
"3.17469, 0.341572, 0.0934303, 0.092054, 0.0905538, 0.0897463, 0.0888931, 0.0879871, 0.087019, 0.0859775, 0.0848473, 0.0836084, 0.0822329, 0.0806808, 0.0788922, 0.0767716, 0.0741571, 0.0707623, 0.066151, 0.0599267, 0.0518923, 0.041952, 0.0300341, 0.0160722, -3.40932e-09, -0.0369473, -0.0791042, -0.253014, -2.89761", \
"3.16463, 0.331477, 0.0797452, 0.0785569, 0.077274, 0.0765867, 0.075863, 0.0750974, 0.0742829, 0.0734108, 0.0724698, 0.071445, 0.070316, 0.0690541, 0.0676174, 0.0659401, 0.0639134, 0.0613401, 0.0578579, 0.0529705, 0.0463327, 0.037796, 0.0272756, 0.0147, -3.53603e-09, -0.0344274, -0.0743969, -0.241616, -2.89642", \
"3.15507, 0.322095, 0.0667416, 0.0657048, 0.0646236, 0.0640472, 0.0634424, 0.0628052, 0.0621302, 0.0614111, 0.0606396, 0.0598047, 0.058892, 0.0578813, 0.0567435, 0.0554347, 0.0538836, 0.0519639, 0.0494274, 0.0458066, 0.0405825, 0.0334893, 0.0244131, 0.0132744, -2.72718e-09, -0.0318142, -0.0695253, -0.229817, -2.89519", \
"3.14609, 0.313529, 0.0546414, 0.0535912, 0.0526957, 0.052221, 0.0517249, 0.0512044, 0.0506555, 0.0500737, 0.0494531, 0.0487859, 0.0480621, 0.0472678, 0.0463834, 0.0453802, 0.0442128, 0.0428038, 0.0410035, 0.0384806, 0.0346458, 0.029029, 0.0214431, 0.0117929, -1.13024e-08, -0.0291039, -0.0644835, -0.217595, -2.89393", \
"3.13777, 0.305888, 0.0441249, 0.0423245, 0.041595, 0.0412132, 0.0408157, 0.0404004, 0.0399647, 0.0395054, 0.0390182, 0.038498, 0.037938, 0.037329, 0.0366582, 0.0359075, 0.0350488, 0.0340363, 0.0327861, 0.0311111, 0.0285448, 0.024415, 0.0183623, 0.0102531, -5.92545e-08, -0.0262927, -0.0592657, -0.204934, -2.89263", \
"3.1302, 0.299272, 0.0359787, 0.0320462, 0.0314381, 0.03114, 0.0308312, 0.0305101, 0.030175, 0.0298236, 0.0294534, 0.0290608, 0.0286415, 0.0281898, 0.0276977, 0.027154, 0.0265423, 0.0258367, 0.0249921, 0.023916, 0.0223543, 0.0196547, 0.0151678, 0.00865145, -3.94392e-07, -0.0233771, -0.0538667, -0.19184, -2.89131", \
"3.12346, 0.293747, 0.0300911, 0.0230656, 0.0223505, 0.0221265, 0.0218964, 0.0216585, 0.0214116, 0.0211544, 0.0208853, 0.020602, 0.0203021, 0.0199821, 0.0196375, 0.0192618, 0.0188459, 0.0183761, 0.0178295, 0.0171634, 0.0162726, 0.0147798, 0.0118559, 0.00698187, -2.71893e-06, -0.0203553, -0.0482839, -0.178373, -2.89", \
"3.11768, 0.289348, 0.0261175, 0.0162068, 0.0144685, 0.0143035, 0.0141414, 0.0139752, 0.013804, 0.013627, 0.0134432, 0.0132516, 0.0130507, 0.0128386, 0.0126132, 0.0123715, 0.0121086, 0.0118176, 0.0114881, 0.0111019, 0.0106208, 0.0099178, 0.00842035, 0.00522509, -1.88618e-05, -0.0172353, -0.0425273, -0.164666, -2.8887", \
"3.11299, 0.286073, 0.0238992, 0.0119615, 0.00797415, 0.00780905, 0.00769616, 0.007585, 0.00747255, 0.00735792, 0.00724048, 0.00711962, 0.00699472, 0.00686542, 0.00673296, 0.00659514, 0.0064482, 0.00628921, 0.00611408, 0.00591623, 0.00568349, 0.00538535, 0.00485903, 0.00329565, -0.000132552, -0.014096, -0.0366843, -0.150956, -2.88748", \
"3.10969, 0.284263, 0.0235449, 0.0100493, 0.00323246, 0.00268649, 0.00253442, 0.00242724, 0.00233106, 0.00223959, 0.00215059, 0.0020632, 0.00197804, 0.00190027, 0.00183436, 0.00177072, 0.00170502, 0.001636, 0.00156244, 0.00148257, 0.0013935, 0.0012894, 0.00115052, 0.000763923, -0.000867302, -0.0114534, -0.0312945, -0.137907, -2.88668", \
"3.10821, 0.284263, 0.0253663, 0.0103948, 0.00075921, -0.00116307, -0.00182405, -0.00210854, -0.00229851, -0.00244976, -0.00257834, -0.00268886, -0.00277818, -0.00283697, -0.00286982, -0.00289421, -0.00291658, -0.00293848, -0.00296051, -0.00298311, -0.00300677, -0.00303225, -0.00306129, -0.00310583, -0.00348496, -0.0105777, -0.0276758, -0.12688, -2.88707", \
"3.10834, 0.285758, 0.0287741, 0.0122998, 9.90841e-05, -0.00360854, -0.00562641, -0.00655271, -0.00704948, -0.00738165, -0.00762852, -0.00781499, -0.00794462, -0.00801726, -0.00805153, -0.00807075, -0.00808443, -0.00809552, -0.00810518, -0.00811395, -0.00812217, -0.00813005, -0.00813784, -0.0081462, -0.00819073, -0.0118834, -0.0262072, -0.118176, -2.8888", \
"3.10908, 0.287732, 0.0324215, 0.0144648, 9.06642e-06, -0.00525854, -0.00888902, -0.0110636, -0.0122593, -0.0129678, -0.0134349, -0.0137535, -0.0139574, -0.0140698, -0.0141273, -0.014161, -0.0141841, -0.0142019, -0.0142164, -0.0142289, -0.0142399, -0.0142499, -0.014259, -0.0142676, -0.0142786, -0.0154695, -0.0264744, -0.111178, -2.89144", \
"3.10992, 0.289724, 0.035772, 0.0164109, 6.75767e-07, -0.00653487, -0.0115518, -0.0151252, -0.017442, -0.0188553, -0.0197269, -0.020275, -0.0206055, -0.0207879, -0.0208884, -0.0209509, -0.020995, -0.021029, -0.0210568, -0.0210805, -0.0211012, -0.0211197, -0.0211366, -0.0211521, -0.0211668, -0.0213805, -0.0282322, -0.105366, -2.89463", \
"3.11073, 0.29164, 0.0387647, 0.0181018, 5.27976e-08, -0.00756754, -0.0136978, -0.0184548, -0.0219377, -0.0243183, -0.0258519, -0.0267984, -0.0273519, -0.0276594, -0.0278371, -0.0279523, -0.0280353, -0.0280998, -0.0281526, -0.0281975, -0.0282367, -0.0282717, -0.0283033, -0.0283324, -0.0283593, -0.0284274, -0.0314666, -0.100294, -2.8981", \
"3.11148, 0.293455, 0.041427, 0.0195705, 1.06868e-08, -0.00841942, -0.015445, -0.0211374, -0.0255743, -0.0288631, -0.0311547, -0.032639, -0.033526, -0.0340339, -0.0343408, -0.0345467, -0.034698, -0.0348169, -0.0349147, -0.034998, -0.0350707, -0.0351355, -0.035194, -0.0352476, -0.0352971, -0.0353882, -0.0362414, -0.0954727, -2.90152", \
"3.11218, 0.295148, 0.0437971, 0.0208521, 7.91304e-09, -0.00913298, -0.0168894, -0.0233232, -0.0284984, -0.0324949, -0.0354147, -0.0373919, -0.0386191, -0.0393534, -0.0398183, -0.0401411, -0.0403839, -0.0405774, -0.0407382, -0.0408761, -0.0409971, -0.0411052, -0.0412031, -0.0412929, -0.041376, -0.0415263, -0.041774, -0.0903877, -2.90453", \
"3.11282, 0.296711, 0.0459112, 0.0219766, 7.72743e-09, -0.00973877, -0.0181015, -0.0251333, -0.0308852, -0.0354168, -0.0388009, -0.0411408, -0.0426257, -0.0435427, -0.0441435, -0.044572, -0.0449005, -0.0451657, -0.0453884, -0.0455807, -0.0457504, -0.0459028, -0.0460413, -0.0461688, -0.046287, -0.0465017, -0.0467071, -0.0848533, -2.90689", \
"3.1134, 0.298143, 0.0478023, 0.0229686, 7.71178e-09, -0.0102592, -0.0191325, -0.0266558, -0.0328676, -0.0378114, -0.0415387, -0.0441356, -0.0458004, -0.0468487, -0.0475506, -0.0480602, -0.0484555, -0.0487778, -0.0490501, -0.0492865, -0.049496, -0.0496846, -0.0498567, -0.0500153, -0.0501628, -0.0504312, -0.0506734, -0.0793118, -2.90861", \
"3.11392, 0.299451, 0.049499, 0.0238486, 7.70794e-09, -0.0107108, -0.0200198, -0.0279537, -0.0345404, -0.0398098, -0.0437977, -0.0465813, -0.0483741, -0.0495175, -0.0502944, -0.050865, -0.0513115, -0.0516776, -0.0519883, -0.0522589, -0.0524994, -0.0527163, -0.0529146, -0.0530976, -0.053268, -0.0535785, -0.0538577, -0.0745893, -2.90986", \
"3.11439, 0.300642, 0.0510263, 0.0246331, 7.70533e-09, -0.0111064, -0.0207912, -0.0290733, -0.0359711, -0.0415037, -0.0456952, -0.0486189, -0.0505064, -0.0517211, -0.0525552, -0.0531731, -0.0536595, -0.05406, -0.054401, -0.0546987, -0.0549636, -0.055203, -0.055422, -0.0556244, -0.0558129, -0.0561567, -0.056466, -0.0714632, -2.91079", \
"3.11518, 0.302722, 0.053655, 0.0259686, 7.70095e-09, -0.0117661, -0.0220663, -0.0309063, -0.0382907, -0.0442222, -0.0487096, -0.0518273, -0.0538444, -0.0551588, -0.0560747, -0.0567609, -0.0573055, -0.0577565, -0.0581421, -0.0584798, -0.0587809, -0.0590536, -0.0593033, -0.0595343, -0.0597497, -0.0601429, -0.0604969, -0.070431, -2.91218", \
"3.11583, 0.304416, 0.0558257, 0.0270592, 7.69708e-09, -0.0122936, -0.023076, -0.0323435, -0.0400905, -0.0463097, -0.0510004, -0.0542448, -0.0563458, -0.0577264, -0.058698, -0.0594314, -0.0600166, -0.0605031, -0.0609201, -0.061286, -0.0616128, -0.061909, -0.0621806, -0.0624319, -0.0626663, -0.0630945, -0.0634801, -0.0726014, -2.91351", \
"3.11717, 0.308149, 0.0604801, 0.0293699, 7.68769e-09, -0.0133847, -0.0251386, -0.0352421, -0.0436753, -0.0504157, -0.0554521, -0.0588981, -0.0611331, -0.0626243, -0.063691, -0.0645067, -0.0651635, -0.0657129, -0.066186, -0.0666024, -0.0669753, -0.0673138, -0.0676245, -0.0679123, -0.068181, -0.0686721, -0.0691146, -0.0782347, -2.917", \
"3.11831, 0.311589, 0.0648492, 0.0315196, 7.67853e-09, -0.0143764, -0.0269851, -0.037799, -0.0467924, -0.0539357, -0.0592175, -0.0627949, -0.0651197, -0.0666892, -0.0678259, -0.0687033, -0.0694144, -0.070012, -0.0705283, -0.0709839, -0.0713924, -0.0717638, -0.0721051, -0.0724214, -0.0727168, -0.0732569, -0.0737437, -0.0829469, -2.92");
}
output_voltage_rise () {
vector ("ccsn_ovrf") {
index_1("0.01");
index_2("0.001");
index_3("0.1031292, 0.2409167, 0.3809640, 0.5044836, 0.6644850");
values("0.195, 0.585, 0.975, 1.365, 1.755");
}
vector ("ccsn_ovrf") {
index_1("0.1");
index_2("0.001");
index_3("0.1719577, 0.3094758, 0.4506616, 0.5739375, 0.7356512");
values("0.195, 0.585, 0.975, 1.365, 1.755");
}
}
output_voltage_fall () {
vector ("ccsn_ovrf") {
index_1("0.01");
index_2("0.001");
index_3("0.1411594, 0.2749152, 0.4367432, 0.6628533, 1.0126625");
values("1.755, 1.365, 0.975, 0.585, 0.195");
}
vector ("ccsn_ovrf") {
index_1("0.1");
index_2("0.001");
index_3("0.2069896, 0.3410303, 0.5029552, 0.7286762, 1.0819313");
values("1.755, 1.365, 0.975, 0.585, 0.195");
}
}
propagated_noise_low () {
vector ("ccsn_pnlh") {
index_1("1.31255");
index_2("16.7404");
index_3("0.001");
index_4("8.3480637, 9.0083334, 10.1955746, 11.3514845, 12.0518054");
values("0.473886, 0.758218, 0.947773, 0.758218, 0.473886");
}
vector ("ccsn_pnlh") {
index_1("1.41667");
index_2("9.57571");
index_3("0.001");
index_4("4.7896056, 5.2584266, 6.2427872, 6.9711356, 7.4667711");
values("0.495021, 0.792034, 0.990043, 0.792034, 0.495021");
}
vector ("ccsn_pnlh") {
index_1("1.50842");
index_2("6.44687");
index_3("0.001");
index_4("3.2350192, 3.5991025, 4.3409521, 4.9468935, 5.3382550");
values("0.505609, 0.808975, 1.01122, 0.808975, 0.505609");
}
vector ("ccsn_pnlh") {
index_1("1.31255");
index_2("8.3702");
index_3("0.001");
index_4("4.1965762, 4.5289027, 5.1215588, 5.7497713, 6.1490821");
values("0.242969, 0.388751, 0.485938, 0.388751, 0.242969");
}
vector ("ccsn_pnlh") {
index_1("1.41667");
index_2("4.78785");
index_3("0.001");
index_4("2.4108079, 2.6390589, 3.0165440, 3.5395166, 3.8362592");
values("0.251345, 0.402153, 0.502691, 0.402153, 0.251345");
}
vector ("ccsn_pnlh") {
index_1("1.50842");
index_2("3.22343");
index_3("0.001");
index_4("1.6333137, 1.8112053, 2.1686827, 2.5142592, 2.7595438");
values("0.253959, 0.406335, 0.507919, 0.406335, 0.253959");
}
vector ("ccsn_pnlh") {
index_1("1.41667");
index_2("14.3636");
index_3("0.001");
index_4("7.2676797, 7.9873818, 9.1047273, 10.2436148, 10.9765952");
values("0.809235, 1.29478, 1.61847, 1.29478, 0.809235");
}
}
propagated_noise_high () {
vector ("ccsn_pnlh") {
index_1("1.1201");
index_2("20.3831");
index_3("0.001");
index_4("10.1511910, 10.7778458, 12.0946096, 13.4294696, 14.1000314");
values("1.46178, 1.16885, 0.973566, 1.16885, 1.46178");
}
vector ("ccsn_pnlh") {
index_1("1.20489");
index_2("11.5656");
index_3("0.001");
index_4("5.7685645, 6.2170579, 7.0813322, 8.2227724, 8.6765921");
values("1.43821, 1.13113, 0.926411, 1.13113, 1.43821");
}
vector ("ccsn_pnlh") {
index_1("1.2832");
index_2("7.63352");
index_3("0.001");
index_4("3.8188112, 4.1630734, 4.8423122, 5.7402400, 6.0866868");
values("1.42913, 1.11661, 0.908262, 1.11661, 1.42913");
}
vector ("ccsn_pnlh") {
index_1("1.1201");
index_2("10.1916");
index_3("0.001");
index_4("5.1602671, 5.5091905, 6.1643429, 6.7150155, 7.0903857");
values("1.6978, 1.54647, 1.44559, 1.54647, 1.6978");
}
vector ("ccsn_pnlh") {
index_1("1.20489");
index_2("5.78279");
index_3("0.001");
index_4("2.9588333, 3.2096058, 3.6312256, 4.0932167, 4.3654779");
values("1.68292, 1.52266, 1.41583, 1.52266, 1.68292");
}
vector ("ccsn_pnlh") {
index_1("1.2832");
index_2("3.81676");
index_3("0.001");
index_4("1.9713263, 2.1628114, 2.4658847, 2.8586101, 3.0673071");
values("1.679, 1.51639, 1.40799, 1.51639, 1.679");
}
vector ("ccsn_pnlh") {
index_1("1.20489");
index_2("17.3484");
index_3("0.001");
index_4("8.5123556, 9.2244472, 10.8849518, 12.2932854, 13.0147223");
values("1.26102, 0.847631, 0.572039, 0.847631, 1.26102");
}
}
}
timing() { /* check skew between enable_vddio and enable_h */
related_pin : "ENABLE_H";
timing_type : non_seq_hold_falling;
fall_constraint (scalar) {
values ("-100.0");
}
}
}
pin ("ANALOG_SEL") {
max_transition : 1.50;
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCD";
related_ground_pin : "VSSD";
always_on : false;
rise_capacitance : 0.016757;
capacitance : 0.016704;
fall_capacitance : 0.016651;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0254142, 0.0264072, 0.0274003, 0.0297905, 0.0320852, 0.0344754, 0.0368656");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0297630, 0.0309483, 0.0321337, 0.0346784, 0.0371214, 0.0396662, 0.0422110");
}
}
ccsn_first_stage () {
is_needed : "true";
stage_type : "both";
is_inverting : "true";
miller_cap_fall : "0.000704878";
miller_cap_rise : "0.000447112";
dc_current ("ccsn_dc") {
index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
values("3.14074, 0.809172, 0.739793, 0.709237, 0.672842, 0.65247, 0.630684, 0.607493, 0.5829, 0.556908, 0.529517, 0.500727, 0.47054, 0.438957, 0.405979, 0.371608, 0.335845, 0.298694, 0.260157, 0.220236, 0.178935, 0.136258, 0.0922064, 0.0467859, -8.21555e-09, -0.0918079, -0.178371, -0.452011, -2.32319", \
"3.04295, 0.626174, 0.581043, 0.565785, 0.548802, 0.539399, 0.529164, 0.517847, 0.505102, 0.490505, 0.47369, 0.454529, 0.433058, 0.409323, 0.383339, 0.355108, 0.32463, 0.291904, 0.25693, 0.219709, 0.180243, 0.138534, 0.0945874, 0.0484073, -1.76872e-09, -0.095934, -0.18663, -0.46869, -2.32801", \
"2.9639, 0.473106, 0.42629, 0.415749, 0.404547, 0.398619, 0.392416, 0.385873, 0.378906, 0.371395, 0.363167, 0.353961, 0.343378, 0.330847, 0.315747, 0.297732, 0.27673, 0.25273, 0.225721, 0.195691, 0.16263, 0.126532, 0.0873941, 0.045216, -1.77892e-09, -0.0915175, -0.17968, -0.457779, -2.32635", \
"2.94091, 0.438881, 0.36643, 0.357188, 0.347478, 0.342397, 0.337127, 0.33163, 0.325853, 0.319727, 0.313152, 0.305985, 0.298006, 0.288869, 0.278032, 0.26478, 0.248537, 0.229083, 0.206354, 0.18032, 0.150958, 0.118254, 0.0821962, 0.0427792, -1.78731e-09, -0.0877771, -0.173501, -0.447503, -2.32481", \
"2.91769, 0.432449, 0.303672, 0.295654, 0.287321, 0.283003, 0.278561, 0.273971, 0.269202, 0.264213, 0.25895, 0.253334, 0.247251, 0.240527, 0.232879, 0.223836, 0.212665, 0.198563, 0.18107, 0.160025, 0.135365, 0.107054, 0.0750659, 0.0393852, -1.8005e-09, -0.0824581, -0.164655, -0.432608, -2.32261", \
"2.90573, 0.433074, 0.271629, 0.264198, 0.256521, 0.252562, 0.248505, 0.244331, 0.240016, 0.235532, 0.230835, 0.22587, 0.220555, 0.214769, 0.20832, 0.200885, 0.19191, 0.180545, 0.16598, 0.147814, 0.12591, 0.100208, 0.0706711, 0.0372745, -1.84193e-09, -0.0791159, -0.159083, -0.423145, -2.32122", \
"2.89369, 0.434072, 0.239556, 0.23259, 0.225553, 0.221942, 0.218254, 0.214477, 0.210592, 0.206577, 0.202402, 0.198026, 0.193393, 0.188418, 0.182972, 0.176845, 0.169668, 0.160777, 0.149199, 0.134138, 0.115264, 0.0924604, 0.0656716, 0.0348604, -2.67222e-09, -0.0752717, -0.152666, -0.412186, -2.31963", \
"2.88171, 0.435419, 0.208928, 0.201122, 0.194714, 0.19144, 0.18811, 0.184714, 0.181237, 0.177665, 0.173974, 0.170138, 0.166116, 0.161851, 0.157259, 0.152205, 0.146459, 0.139593, 0.1308, 0.118975, 0.103385, 0.0837708, 0.0600354, 0.0321245, -2.25813e-08, -0.0708934, -0.14535, -0.39962, -2.3178", \
"2.86995, 0.437468, 0.184687, 0.170173, 0.164356, 0.161414, 0.158432, 0.155403, 0.152318, 0.149165, 0.145929, 0.142591, 0.139124, 0.135491, 0.131636, 0.127476, 0.122873, 0.117576, 0.11108, 0.102378, 0.0902618, 0.0741141, 0.0537389, 0.029052, -5.0121e-07, -0.0659542, -0.13709, -0.385352, -2.31574", \
"2.85861, 0.440495, 0.17168, 0.140573, 0.134899, 0.132282, 0.129641, 0.126969, 0.124261, 0.121508, 0.118701, 0.115827, 0.112869, 0.109802, 0.106593, 0.103191, 0.0995158, 0.0954286, 0.0906588, 0.0845849, 0.0759341, 0.0634837, 0.0467634, 0.0256241, -1.16347e-05, -0.0604449, -0.127861, -0.369326, -2.31343", \
"2.8479, 0.444759, 0.167582, 0.115401, 0.106817, 0.104511, 0.102196, 0.0998649, 0.0975135, 0.0951371, 0.0927293, 0.0902822, 0.0877851, 0.0852237, 0.0825778, 0.0798184, 0.076901, 0.0737523, 0.0702387, 0.0660651, 0.0604265, 0.0517522, 0.0389364, 0.0216475, -0.00023487, -0.0545749, -0.117879, -0.351823, -2.31112", \
"2.83807, 0.450944, 0.168834, 0.100558, 0.0805793, 0.0783825, 0.0762753, 0.0741647, 0.0720464, 0.0699171, 0.0677734, 0.0656109, 0.0634237, 0.061204, 0.0589409, 0.056619, 0.0542156, 0.0516947, 0.0489947, 0.0459928, 0.0423625, 0.0370188, 0.0281555, 0.0148911, -0.0030043, -0.0508679, -0.109859, -0.336207, -2.31147", \
"2.8294, 0.459434, 0.173965, 0.0960077, 0.0565348, 0.0528129, 0.0503265, 0.0478992, 0.045483, 0.0430687, 0.0406526, 0.0382319, 0.0358037, 0.0333642, 0.0309086, 0.0284299, 0.0259182, 0.0233579, 0.020724, 0.0179708, 0.0149954, 0.0114353, 0.00585368, -0.00363471, -0.017666, -0.0593003, -0.114426, -0.335047, -2.32435", \
"2.82217, 0.470764, 0.182619, 0.0973608, 0.0355436, 0.0242722, 0.0197948, 0.016219, 0.0128176, 0.009484, 0.00618631, 0.00291126, -0.000348071, -0.00359612, -0.00683639, -0.0100724, -0.0133083, -0.0165498, -0.0198055, -0.0230901, -0.0264312, -0.0299027, -0.0338903, -0.0401716, -0.0505484, -0.0860555, -0.13763, -0.354139, -2.35334", \
"2.8167, 0.485508, 0.19489, 0.102304, 0.019095, -0.00515269, -0.0155885, -0.0211775, -0.0258182, -0.0301446, -0.0343191, -0.0384028, -0.0424246, -0.0464001, -0.0503387, -0.0542463, -0.0581275, -0.061986, -0.0658257, -0.0696515, -0.0734705, -0.0772968, -0.0811736, -0.0854972, -0.0923168, -0.12074, -0.167887, -0.378951, -2.3857", \
"2.81332, 0.504291, 0.211095, 0.110307, 0.00793378, -0.0293639, -0.0497167, -0.0588393, -0.0649635, -0.070214, -0.0750838, -0.0797436, -0.0842693, -0.0887007, -0.0930609, -0.0973647, -0.101622, -0.105839, -0.110024, -0.114179, -0.118311, -0.122424, -0.126529, -0.130651, -0.135306, -0.155985, -0.197569, -0.401275, -2.41493", \
"2.81238, 0.527762, 0.231525, 0.121468, 0.00216458, -0.046594, -0.0780839, -0.0929368, -0.101114, -0.107418, -0.112981, -0.118166, -0.123126, -0.127938, -0.132647, -0.137278, -0.141852, -0.146379, -0.15087, -0.155333, -0.159774, -0.164199, -0.168615, -0.173031, -0.177496, -0.191553, -0.22643, -0.421186, -2.44136", \
"2.8141, 0.555878, 0.255252, 0.13484, 0.000392897, -0.0583074, -0.100477, -0.123225, -0.134455, -0.1422, -0.148671, -0.154529, -0.160038, -0.165327, -0.170466, -0.175498, -0.18045, -0.185342, -0.190186, -0.194994, -0.199773, -0.204532, -0.209277, -0.214018, -0.218767, -0.229497, -0.255995, -0.439948, -2.46604", \
"2.81781, 0.586536, 0.279508, 0.14808, 6.74048e-05, -0.0672806, -0.119108, -0.151088, -0.166614, -0.176247, -0.183823, -0.190461, -0.196581, -0.202383, -0.20797, -0.213406, -0.21873, -0.223969, -0.229142, -0.234263, -0.239343, -0.244392, -0.24942, -0.254436, -0.259452, -0.269701, -0.287831, -0.458483, -2.48966", \
"2.82223, 0.617517, 0.302418, 0.16003, 1.22604e-05, -0.0748258, -0.135167, -0.17669, -0.197903, -0.209932, -0.218799, -0.226291, -0.23305, -0.239367, -0.245391, -0.251211, -0.256881, -0.262436, -0.267903, -0.2733, -0.278642, -0.28394, -0.289208, -0.294455, -0.299695, -0.31023, -0.323192, -0.477409, -2.51259", \
"2.82678, 0.648103, 0.323377, 0.170595, 2.19446e-06, -0.0813202, -0.149109, -0.199708, -0.227974, -0.243074, -0.253472, -0.261913, -0.269351, -0.276196, -0.282656, -0.288848, -0.294847, -0.300699, -0.306437, -0.312085, -0.317662, -0.323182, -0.32866, -0.334109, -0.339541, -0.350424, -0.361838, -0.497166, -2.53507", \
"2.83136, 0.678129, 0.342193, 0.179893, 3.30039e-07, -0.0869414, -0.161235, -0.220019, -0.256375, -0.27542, -0.28767, -0.297194, -0.305369, -0.312769, -0.319674, -0.32624, -0.332562, -0.3387, -0.344697, -0.350581, -0.356377, -0.362101, -0.367771, -0.373401, -0.379006, -0.39021, -0.401594, -0.518101, -2.55725", \
"2.83593, 0.707543, 0.358863, 0.188066, 3.22624e-08, -0.0918166, -0.171791, -0.237757, -0.282655, -0.306701, -0.321235, -0.332016, -0.341011, -0.349007, -0.356378, -0.363327, -0.369973, -0.376395, -0.382645, -0.388758, -0.394762, -0.40068, -0.40653, -0.412328, -0.418093, -0.429592, -0.441199, -0.540511, -2.57921", \
"2.84049, 0.736322, 0.37351, 0.195243, 4.73118e-09, -0.0960488, -0.180988, -0.253188, -0.306447, -0.336627, -0.354008, -0.366277, -0.376199, -0.384846, -0.392713, -0.400061, -0.407041, -0.41375, -0.420252, -0.426591, -0.4328, -0.438906, -0.444928, -0.450888, -0.456802, -0.468577, -0.480426, -0.564663, -2.60103", \
"2.84505, 0.764452, 0.386328, 0.201536, 4.101e-09, -0.0997241, -0.189005, -0.266607, -0.327556, -0.364877, -0.385824, -0.399878, -0.410864, -0.420234, -0.428637, -0.436407, -0.443735, -0.450739, -0.457497, -0.464063, -0.470476, -0.476767, -0.482959, -0.489075, -0.495135, -0.507172, -0.519253, -0.590809, -2.62277", \
"2.85415, 0.818673, 0.407302, 0.211866, 6.61501e-09, -0.105684, -0.202081, -0.288454, -0.361999, -0.414996, -0.445795, -0.464682, -0.478363, -0.489467, -0.499105, -0.507819, -0.515904, -0.523538, -0.530834, -0.53787, -0.544701, -0.551365, -0.557897, -0.564322, -0.570666, -0.583206, -0.595723, -0.649911, -2.66615", \
"2.86321, 0.869897, 0.42326, 0.219721, 1.07822e-08, -0.110157, -0.211992, -0.305033, -0.387764, -0.454972, -0.499237, -0.525437, -0.542942, -0.556337, -0.567518, -0.57736, -0.586321, -0.594664, -0.602553, -0.610097, -0.61737, -0.624427, -0.631309, -0.638051, -0.644682, -0.657722, -0.670655, -0.717642, -2.70954", \
"2.89005, 0.997989, 0.450847, 0.233014, 3.70632e-08, -0.117627, -0.22902, -0.334007, -0.432269, -0.522965, -0.603478, -0.667373, -0.710134, -0.737712, -0.757302, -0.772664, -0.785562, -0.796893, -0.807154, -0.816647, -0.825564, -0.834036, -0.842155, -0.84999, -0.857593, -0.872279, -0.886524, -0.931086, -2.83763", \
"2.92932, 1.07618, 0.460187, 0.23655, 1.46296e-07, -0.119708, -0.235171, -0.346332, -0.453121, -0.555446, -0.653148, -0.74588, -0.83277, -0.911515, -0.977316, -1.02612, -1.06041, -1.08555, -1.10529, -1.12169, -1.1359, -1.1486, -1.16018, -1.17094, -1.18104, -1.19978, -1.21713, -1.26559, -3.03414");
}
output_voltage_rise () {
vector ("ccsn_ovrf") {
index_1("0.01");
index_2("0.001");
index_3("0.0187681, 0.0318305, 0.0461040, 0.0628126, 0.0872592");
values("0.195, 0.585, 0.975, 1.365, 1.755");
}
vector ("ccsn_ovrf") {
index_1("0.1");
index_2("0.001");
index_3("0.0791456, 0.0976510, 0.1125844, 0.1294277, 0.1543311");
values("0.195, 0.585, 0.975, 1.365, 1.755");
}
}
output_voltage_fall () {
vector ("ccsn_ovrf") {
index_1("0.01");
index_2("0.001");
index_3("0.0139886, 0.0207261, 0.0284040, 0.0371979, 0.0485362");
values("1.755, 1.365, 0.975, 0.585, 0.195");
}
vector ("ccsn_ovrf") {
index_1("0.1");
index_2("0.001");
index_3("0.0616281, 0.0767803, 0.0882831, 0.0986147, 0.1099561");
values("1.755, 1.365, 0.975, 0.585, 0.195");
}
}
propagated_noise_low () {
vector ("ccsn_pnlh") {
index_1("1.17377");
index_2("1.38517");
index_3("0.001");
index_4("0.6764619, 0.7117517, 0.7550555, 0.8221173, 0.8563486");
values("0.277389, 0.443823, 0.554779, 0.443823, 0.277389");
}
vector ("ccsn_pnlh") {
index_1("1.28837");
index_2("0.809978");
index_3("0.001");
index_4("0.3964683, 0.4266815, 0.4676335, 0.5235193, 0.5522842");
values("0.386316, 0.618106, 0.772632, 0.618106, 0.386316");
}
vector ("ccsn_pnlh") {
index_1("1.39316");
index_2("0.548326");
index_3("0.001");
index_4("0.2696824, 0.2965163, 0.3389490, 0.3782717, 0.4045766");
values("0.441538, 0.706461, 0.883076, 0.706461, 0.441538");
}
vector ("ccsn_pnlh") {
index_1("1.17377");
index_2("0.692584");
index_3("0.001");
index_4("0.3392619, 0.3605676, 0.3978346, 0.4228610, 0.4428935");
values("0.165152, 0.264243, 0.330304, 0.264243, 0.165152");
}
vector ("ccsn_pnlh") {
index_1("1.28837");
index_2("0.404989");
index_3("0.001");
index_4("0.2018897, 0.2178392, 0.2469241, 0.2696164, 0.2862348");
values("0.220178, 0.352284, 0.440355, 0.352284, 0.220178");
}
vector ("ccsn_pnlh") {
index_1("1.39316");
index_2("0.274163");
index_3("0.001");
index_4("0.1383363, 0.1523308, 0.1728960, 0.1949547, 0.2102382");
values("0.246014, 0.393623, 0.492028, 0.393623, 0.246014");
}
vector ("ccsn_pnlh") {
index_1("1.28837");
index_2("1.21497");
index_3("0.001");
index_4("0.5895727, 0.6341014, 0.6974591, 0.7693360, 0.8130766");
values("0.519945, 0.831911, 1.03989, 0.831911, 0.519945");
}
}
propagated_noise_high () {
vector ("ccsn_pnlh") {
index_1("0.990219");
index_2("0.810568");
index_3("0.001");
index_4("0.3965359, 0.4223816, 0.4643560, 0.5229260, 0.5606813");
values("1.5833, 1.36329, 1.21661, 1.36329, 1.5833");
}
vector ("ccsn_pnlh") {
index_1("1.10308");
index_2("0.435424");
index_3("0.001");
index_4("0.2138976, 0.2335343, 0.2670167, 0.3142477, 0.3444667");
values("1.50941, 1.24506, 1.06882, 1.24506, 1.50941");
}
vector ("ccsn_pnlh") {
index_1("1.21857");
index_2("0.27597");
index_3("0.001");
index_4("0.1362743, 0.1522178, 0.1811945, 0.2181815, 0.2424233");
values("1.46891, 1.18026, 0.987829, 1.18026, 1.46891");
}
vector ("ccsn_pnlh") {
index_1("0.990219");
index_2("0.405284");
index_3("0.001");
index_4("0.2019171, 0.2159516, 0.2397500, 0.2705378, 0.2969039");
values("1.7359, 1.60745, 1.52181, 1.60745, 1.7359");
}
vector ("ccsn_pnlh") {
index_1("1.10308");
index_2("0.217712");
index_3("0.001");
index_4("0.1092805, 0.1195171, 0.1322871, 0.1658118, 0.1854123");
values("1.70064, 1.55103, 1.45129, 1.55103, 1.70064");
}
vector ("ccsn_pnlh") {
index_1("1.21857");
index_2("0.137985");
index_3("0.001");
index_4("0.0708324, 0.0794735, 0.0930939, 0.1141431, 0.1314051");
values("1.67754, 1.51407, 1.40509, 1.51407, 1.67754");
}
vector ("ccsn_pnlh") {
index_1("1.10308");
index_2("0.653136");
index_3("0.001");
index_4("0.3158493, 0.3447028, 0.4028474, 0.4598864, 0.5026477");
values("1.36998, 1.02196, 0.78995, 1.02196, 1.36998");
}
}
}
}
pin (OUT) {
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCHIB";
related_ground_pin : "VSSD";
always_on : false;
fall_capacitance : 0.036384;
capacitance : 0.036900;
rise_capacitance : 0.037416;
max_transition : 1.500000;
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_setup_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.4176429, -0.3575159, -0.3187278, -0.3105062, -0.3061541, -0.3070897, -0.3110751", \
"-0.4790140, -0.4061732, -0.3831168, -0.3713695, -0.3629739, -0.3608524, -0.3678896", \
"-0.4802971, -0.4091637, -0.3784376, -0.3762193, -0.3686707, -0.3741840, -0.3667904", \
"-0.4621348, -0.4078074, -0.3543885, -0.3537521, -0.3582964, -0.3654038, -0.3540621", \
"-0.4441330, -0.3854591, -0.3286425, -0.3446467, -0.3512454, -0.3550826, -0.3375862", \
"-0.4223693, -0.3530143, -0.3210217, -0.3122019, -0.3216115, -0.3042077, -0.3158225", \
"-0.3799515, -0.3228035, -0.2645746, -0.2653855, -0.2990651, -0.3091684, -0.3031069");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.4420612, -0.3120531, -0.2180196, -0.1335040, -0.0635391, 0.0041898, 0.0630236", \
"-0.5034325, -0.3648154, -0.2763601, -0.1918446, -0.1190680, -0.0541507, 0.0059508", \
"-0.5062159, -0.3576506, -0.2806868, -0.1929691, -0.1260560, -0.0492321, 0.0048262", \
"-0.4865532, -0.3626174, -0.2614320, -0.1882687, -0.1019487, -0.0220127, 0.0167265", \
"-0.4670256, -0.3387105, -0.2441120, -0.1615412, -0.0869987, -0.0442926, 0.0408318", \
"-0.4483137, -0.3092520, -0.2092910, -0.1306223, -0.0606574, 0.0024939, 0.0734931", \
"-0.4028441, -0.2777784, -0.1774586, -0.1215839, -0.0511454, 0.0045484, 0.0870071");
}
}
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_hold_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.5498151, 0.4836818, 0.4540796, 0.4426732, 0.4368013, 0.4362110, 0.4401964", \
"0.6111867, 0.5358417, 0.5153455, 0.5053925, 0.5042977, 0.4972970, 0.5017279", \
"0.6154633, 0.5354061, 0.5179959, 0.5082484, 0.5069481, 0.5063578, 0.5103432", \
"0.5958334, 0.5267224, 0.4999922, 0.4917706, 0.4904702, 0.4868282, 0.5060724", \
"0.5763057, 0.5104070, 0.4774370, 0.4585099, 0.4648390, 0.4749299, 0.4651824", \
"0.5652234, 0.5100001, 0.4336618, 0.4275910, 0.4369718, 0.4394333, 0.4373152", \
"0.5273830, 0.4658108, 0.4136530, 0.3943285, 0.4067611, 0.4092225, 0.4254150");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.4491129, 0.3193438, 0.2235425, 0.1390269, 0.0705879, 0.0028589, -0.0593609", \
"0.5120075, 0.3712344, 0.2849378, 0.1966741, 0.1319832, 0.0585409, -0.0004249", \
"0.4974323, 0.3634133, 0.2863785, 0.2000209, 0.1315819, 0.0544420, 0.0064144", \
"0.5042836, 0.3703434, 0.2670965, 0.1934263, 0.1077649, 0.0457361, -0.0103932", \
"0.4740747, 0.3458069, 0.2508769, 0.1670671, 0.0894728, 0.0254525, -0.0235992", \
"0.4675033, 0.3157590, 0.2085744, 0.1391999, 0.0746242, 0.0039423, -0.0706417", \
"0.4358332, 0.2851261, 0.1875725, 0.1301610, 0.0543804, 0.0001065, -0.0812554");
}
}
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.2112057, 0.3019650, 0.3927244, 0.4124578, 0.4314019, 0.4511353, 0.4708687");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.5604494, 0.5991437, 0.6378380, 0.6417467, 0.6454991, 0.6494078, 0.6533165");
}
}
timing() { /* This is for power up */
related_pin : "HLD_H_N";
timing_type : non_seq_setup_rising;
sdf_cond : "ENABLE_H==1'b1";
when : "( ENABLE_H )";
rise_constraint (scalar) {
values ("5.0");
}
fall_constraint (scalar) {
values ("5.0");
}
}
ccsn_first_stage () {
is_needed : "true";
stage_type : "both";
is_inverting : "true";
/* when : "( INP_DIS & !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )"; */
miller_cap_fall : "0.00213872";
miller_cap_rise : "0.00152684";
dc_current ("ccsn_dc") {
index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
values("5.01163, 1.68228, 1.5424, 1.47452, 1.39546, 1.35175, 1.30524, 1.25594, 1.20386, 1.14901, 1.0914, 1.03102, 0.967902, 0.902043, 0.833456, 0.762152, 0.688141, 0.611434, 0.532044, 0.44998, 0.365256, 0.277884, 0.187875, 0.095243, -7.83903e-09, -0.189305, -0.372812, -0.950697, -3.91623", \
"4.70936, 1.16004, 1.10736, 1.08606, 1.06041, 1.04515, 1.02757, 1.00695, 0.982563, 0.953944, 0.92092, 0.88345, 0.841526, 0.795159, 0.744369, 0.689181, 0.629625, 0.565732, 0.497536, 0.425071, 0.348374, 0.267481, 0.182429, 0.0932555, -7.85134e-09, -0.187801, -0.371585, -0.984563, -3.92705", \
"4.50492, 0.793437, 0.734481, 0.722799, 0.709923, 0.702891, 0.695348, 0.68717, 0.678183, 0.668132, 0.656639, 0.643111, 0.626638, 0.606022, 0.58019, 0.54859, 0.511046, 0.467534, 0.418083, 0.362743, 0.301576, 0.234649, 0.162029, 0.0837885, -7.93708e-09, -0.17294, -0.346346, -0.961854, -3.927", \
"4.44444, 0.73645, 0.602113, 0.592546, 0.582206, 0.576661, 0.570804, 0.564566, 0.55786, 0.550561, 0.542492, 0.533387, 0.522826, 0.510119, 0.494147, 0.473428, 0.446766, 0.413643, 0.373934, 0.327649, 0.274844, 0.215593, 0.149977, 0.0780835, -7.98978e-09, -0.163765, -0.330656, -0.939342, -3.92503", \
"4.38716, 0.72502, 0.469718, 0.461999, 0.4538, 0.449472, 0.444956, 0.440218, 0.435211, 0.429876, 0.424128, 0.417849, 0.410865, 0.402901, 0.393496, 0.38183, 0.366453, 0.345493, 0.317705, 0.282692, 0.240405, 0.1909, 0.134263, 0.0705947, -8.0597e-09, -0.151683, -0.310024, -0.909308, -3.92174", \
"4.36, 0.729886, 0.404711, 0.397784, 0.390552, 0.38676, 0.382827, 0.378726, 0.374426, 0.369883, 0.365042, 0.359824, 0.354115, 0.347745, 0.340439, 0.331718, 0.320672, 0.305609, 0.284427, 0.255934, 0.219821, 0.176082, 0.124795, 0.0660632, -8.10383e-09, -0.144372, -0.297566, -0.890979, -3.91953", \
"4.33414, 0.740659, 0.342143, 0.335066, 0.328746, 0.325456, 0.32206, 0.318541, 0.314876, 0.311037, 0.306985, 0.302668, 0.298014, 0.292916, 0.287212, 0.280629, 0.272671, 0.262317, 0.247543, 0.226041, 0.196735, 0.159415, 0.114116, 0.060938, -8.23828e-09, -0.136112, -0.283516, -0.870129, -3.91689", \
"4.30989, 0.757181, 0.288443, 0.274577, 0.269116, 0.266297, 0.263402, 0.26042, 0.257334, 0.254125, 0.250769, 0.247231, 0.243465, 0.239408, 0.234961, 0.229972, 0.22418, 0.217071, 0.207469, 0.192923, 0.170981, 0.140752, 0.102122, 0.0551651, -2.84601e-08, -0.126822, -0.267747, -0.846481, -3.91377", \
"4.28765, 0.779444, 0.255866, 0.217402, 0.212509, 0.210137, 0.207714, 0.20523, 0.202677, 0.20004, 0.197305, 0.194449, 0.191446, 0.188255, 0.184822, 0.18106, 0.176834, 0.171896, 0.16572, 0.156909, 0.142479, 0.119968, 0.0887143, 0.0486899, -7.6822e-07, -0.116423, -0.250135, -0.819725, -3.91002", \
"4.26789, 0.807545, 0.245098, 0.166913, 0.159902, 0.157954, 0.155975, 0.153959, 0.151897, 0.149784, 0.147608, 0.145358, 0.143016, 0.14056, 0.137959, 0.135168, 0.132117, 0.128687, 0.124657, 0.119489, 0.111461, 0.0969924, 0.0737956, 0.0414438, -2.14922e-05, -0.104857, -0.230581, -0.789542, -3.90485", \
"4.25117, 0.841657, 0.249928, 0.133804, 0.112372, 0.110755, 0.109183, 0.107591, 0.105975, 0.104329, 0.102648, 0.100924, 0.0991496, 0.0973115, 0.0953937, 0.0933732, 0.0912161, 0.0888687, 0.0862386, 0.0831366, 0.0790146, 0.071686, 0.0570021, 0.033038, -0.000423495, -0.0924375, -0.209377, -0.756016, -3.89695", \
"4.23817, 0.882018, 0.266602, 0.124638, 0.0710149, 0.0686853, 0.0673396, 0.0660236, 0.0647059, 0.0633786, 0.062037, 0.0606765, 0.0592924, 0.0578786, 0.0564275, 0.0549286, 0.0533669, 0.0517201, 0.0499525, 0.0479995, 0.0457153, 0.0425473, 0.0356865, 0.0205924, -0.00415606, -0.0821771, -0.189628, -0.722243, -3.89141", \
"4.22973, 0.929033, 0.293548, 0.132232, 0.0374517, 0.0273155, 0.0251109, 0.0235511, 0.0221167, 0.0207301, 0.0193656, 0.0180113, 0.0166598, 0.0153058, 0.0139443, 0.0125702, 0.0111774, 0.00975759, 0.00829865, 0.00678108, 0.00516828, 0.00336601, 0.0008384, -0.00593594, -0.0215236, -0.0844125, -0.181757, -0.698436, -3.89609", \
"4.22706, 0.983644, 0.330483, 0.150079, 0.0145684, -0.0167108, -0.0260582, -0.0293875, -0.0316984, -0.0336747, -0.0354911, -0.0372157, -0.0388815, -0.0405074, -0.042105, -0.0436826, -0.0452462, -0.0468014, -0.0483532, -0.049908, -0.0514744, -0.0530684, -0.0547369, -0.0569935, -0.0639983, -0.110158, -0.196293, -0.693432, -3.91658", \
"4.23149, 1.0469, 0.377004, 0.175751, 0.00366073, -0.0522398, -0.0799985, -0.0893135, -0.0938493, -0.0970902, -0.0997904, -0.102202, -0.104438, -0.106554, -0.108586, -0.110555, -0.112476, -0.114358, -0.116209, -0.118037, -0.119846, -0.121642, -0.123434, -0.125248, -0.127863, -0.156278, -0.229587, -0.702021, -3.94814", \
"4.24182, 1.11613, 0.428379, 0.205101, 0.000660693, -0.0773873, -0.127945, -0.150682, -0.159908, -0.165397, -0.169522, -0.172982, -0.176056, -0.178882, -0.181535, -0.184062, -0.186494, -0.18885, -0.191146, -0.193394, -0.195603, -0.197781, -0.199936, -0.202076, -0.204272, -0.217864, -0.275715, -0.717177, -3.98528", \
"4.2547, 1.1863, 0.478014, 0.233085, 0.000101713, -0.0970567, -0.168975, -0.211211, -0.229178, -0.238305, -0.244477, -0.249333, -0.253471, -0.257163, -0.260555, -0.263732, -0.266748, -0.26964, -0.272433, -0.275147, -0.277796, -0.280393, -0.282949, -0.285475, -0.287986, -0.294765, -0.333059, -0.7367, -4.02519", \
"4.26851, 1.25535, 0.523071, 0.258084, 1.10232e-05, -0.113534, -0.20413, -0.267286, -0.299237, -0.314109, -0.323138, -0.329776, -0.335191, -0.339878, -0.34409, -0.347969, -0.351603, -0.355049, -0.358348, -0.361529, -0.364614, -0.367622, -0.370567, -0.373463, -0.376326, -0.382227, -0.402067, -0.76134, -4.06688", \
"4.28288, 1.3229, 0.563067, 0.280085, 6.06591e-07, -0.1276, -0.234319, -0.316645, -0.366821, -0.390579, -0.403641, -0.412575, -0.419531, -0.425364, -0.430487, -0.435124, -0.43941, -0.44343, -0.447244, -0.450894, -0.454411, -0.457819, -0.46114, -0.464391, -0.467592, -0.473934, -0.483653, -0.791986, -4.11039", \
"4.29779, 1.38883, 0.598306, 0.299373, 2.25535e-08, -0.139678, -0.260296, -0.35922, -0.428916, -0.465558, -0.484396, -0.496345, -0.505191, -0.512364, -0.518514, -0.523982, -0.528965, -0.533589, -0.537936, -0.542064, -0.546015, -0.549823, -0.553514, -0.557111, -0.560636, -0.567559, -0.574938, -0.828997, -4.15567", \
"4.31328, 1.45302, 0.629292, 0.316264, 2.35631e-09, -0.150076, -0.282686, -0.395827, -0.483683, -0.536887, -0.563999, -0.579984, -0.591194, -0.599958, -0.607284, -0.613676, -0.619418, -0.624686, -0.629593, -0.634216, -0.638613, -0.642826, -0.646889, -0.65083, -0.654676, -0.662182, -0.669672, -0.872475, -4.20255", \
"4.32939, 1.51524, 0.656528, 0.331042, 1.8233e-09, -0.159043, -0.302016, -0.427354, -0.5309, -0.602458, -0.641065, -0.66255, -0.676772, -0.68746, -0.69615, -0.703584, -0.710163, -0.716128, -0.721631, -0.726776, -0.731636, -0.736266, -0.740708, -0.744997, -0.749164, -0.757248, -0.765198, -0.922494, -4.25082", \
"4.34618, 1.57517, 0.680458, 0.34396, 1.94199e-09, -0.166784, -0.318724, -0.454566, -0.571362, -0.66067, -0.714102, -0.743135, -0.76127, -0.774321, -0.784621, -0.793245, -0.800757, -0.807483, -0.813628, -0.819327, -0.824675, -0.82974, -0.834573, -0.839219, -0.843712, -0.852376, -0.860827, -0.979246, -4.3003", \
"4.36371, 1.63237, 0.701464, 0.355236, 2.15328e-09, -0.173471, -0.333179, -0.478097, -0.606089, -0.710992, -0.781511, -0.82076, -0.844064, -0.860071, -0.872296, -0.882297, -0.890861, -0.89843, -0.905274, -0.911568, -0.917434, -0.922955, -0.928198, -0.933213, -0.938042, -0.947295, -0.95625, -1.04314, -4.35088", \
"4.38203, 1.68627, 0.719878, 0.365064, 2.46034e-09, -0.179248, -0.345689, -0.498468, -0.635989, -0.75396, -0.841843, -0.894296, -0.924495, -0.944265, -0.958829, -0.970444, -0.980208, -0.988719, -0.99633, -1.00327, -1.00969, -1.0157, -1.02137, -1.02677, -1.03195, -1.04181, -1.05127, -1.1148, -4.40244", \
"4.4212, 1.78142, 0.750043, 0.381025, 3.44944e-09, -0.188536, -0.365873, -0.53139, -0.684123, -0.821954, -0.939028, -1.02392, -1.07508, -1.10608, -1.12715, -1.143, -1.15576, -1.16654, -1.17596, -1.18438, -1.19204, -1.19913, -1.20574, -1.21198, -1.21791, -1.22905, -1.23957, -1.28125, -4.50826", \
"4.45937, 1.85565, 0.772849, 0.392948, 5.09775e-09, -0.19541, -0.380911, -0.556035, -0.720139, -0.87207, -1.00904, -1.1237, -1.20508, -1.25506, -1.28669, -1.30887, -1.32583, -1.33963, -1.35133, -1.36158, -1.37074, -1.37909, -1.38679, -1.39398, -1.40075, -1.41331, -1.42498, -1.46296, -4.61726", \
"4.52055, 1.9678, 0.810324, 0.412074, 1.59255e-08, -0.206421, -0.405587, -0.597263, -0.781183, -0.957014, -1.12427, -1.28205, -1.42833, -1.55821, -1.66241, -1.73521, -1.78335, -1.81677, -1.84174, -1.86157, -1.87805, -1.8922, -1.90466, -1.91585, -1.92604, -1.9442, -1.96022, -2.00261, -4.94161", \
"4.52107, 1.99322, 0.815887, 0.414124, 6.30387e-08, -0.208166, -0.411457, -0.609775, -0.803022, -0.991091, -1.17387, -1.35122, -1.52299, -1.68895, -1.84876, -2.00176, -2.1466, -2.28038, -2.39747, -2.49127, -2.56061, -2.61101, -2.64889, -2.67869, -2.70311, -2.74175, -2.772, -2.83827, -5.44372");
}
output_voltage_rise () {
vector ("ccsn_ovrf") {
index_1("0.01");
index_2("0.001");
index_3("0.0317222, 0.0530699, 0.0792968, 0.1091437, 0.1576791");
values("0.195, 0.585, 0.975, 1.365, 1.755");
}
vector ("ccsn_ovrf") {
index_1("0.1");
index_2("0.001");
index_3("0.0983167, 0.1204535, 0.1466261, 0.1759831, 0.2263209");
values("0.195, 0.585, 0.975, 1.365, 1.755");
}
}
output_voltage_fall () {
vector ("ccsn_ovrf") {
index_1("0.01");
index_2("0.001");
index_3("0.0183664, 0.0278790, 0.0386995, 0.0511559, 0.0688609");
values("1.755, 1.365, 0.975, 0.585, 0.195");
}
vector ("ccsn_ovrf") {
index_1("0.1");
index_2("0.001");
index_3("0.0742943, 0.0901396, 0.1021498, 0.1144247, 0.1318964");
values("1.755, 1.365, 0.975, 0.585, 0.195");
}
}
propagated_noise_low () {
vector ("ccsn_pnlh") {
index_1("1.31405");
index_2("3.29652");
index_3("0.001");
index_4("1.6270724, 1.7176141, 1.8642152, 2.0026402, 2.0956819");
values("0.425722, 0.681155, 0.851444, 0.681155, 0.425722");
}
vector ("ccsn_pnlh") {
index_1("1.41376");
index_2("1.89268");
index_3("0.001");
index_4("0.9354078, 1.0086004, 1.1388459, 1.2416920, 1.3034251");
values("0.490672, 0.785075, 0.981343, 0.785075, 0.490672");
}
vector ("ccsn_pnlh") {
index_1("1.50257");
index_2("1.27038");
index_3("0.001");
index_4("0.6293090, 0.6885694, 0.7788140, 0.8794330, 0.9282573");
values("0.51647, 0.826352, 1.03294, 0.826352, 0.51647");
}
vector ("ccsn_pnlh") {
index_1("1.31405");
index_2("1.64826");
index_3("0.001");
index_4("0.8249075, 0.8702452, 0.9501908, 1.0163148, 1.0590527");
values("0.242683, 0.388293, 0.485366, 0.388293, 0.242683");
}
vector ("ccsn_pnlh") {
index_1("1.41376");
index_2("0.946338");
index_3("0.001");
index_4("0.4772639, 0.5121085, 0.5827139, 0.6278674, 0.6610066");
values("0.274147, 0.438636, 0.548295, 0.438636, 0.274147");
}
vector ("ccsn_pnlh") {
index_1("1.50257");
index_2("0.635191");
index_3("0.001");
index_4("0.3231901, 0.3513432, 0.3931032, 0.4459413, 0.4718652");
values("0.288937, 0.4623, 0.577875, 0.4623, 0.288937");
}
vector ("ccsn_pnlh") {
index_1("1.41376");
index_2("2.83901");
index_3("0.001");
index_4("1.3964453, 1.5087643, 1.6887996, 1.8420056, 1.9353557");
values("0.681365, 1.09018, 1.36273, 1.09018, 0.681365");
}
}
propagated_noise_high () {
vector ("ccsn_pnlh") {
index_1("1.07497");
index_2("1.09409");
index_3("0.001");
index_4("0.5457140, 0.5952255, 0.6885760, 0.8043111, 0.8732240");
values("1.45467, 1.15748, 0.959349, 1.15748, 1.45467");
}
vector ("ccsn_pnlh") {
index_1("1.19384");
index_2("0.620372");
index_3("0.001");
index_4("0.3119109, 0.3472945, 0.4258049, 0.5016221, 0.5511514");
values("1.42852, 1.11563, 0.907036, 1.11563, 1.42852");
}
vector ("ccsn_pnlh") {
index_1("1.30644");
index_2("0.411478");
index_3("0.001");
index_4("0.2083655, 0.2360794, 0.2921845, 0.3573563, 0.3966019");
values("1.41795, 1.09873, 0.885906, 1.09873, 1.41795");
}
vector ("ccsn_pnlh") {
index_1("1.07497");
index_2("0.547044");
index_3("0.001");
index_4("0.2786364, 0.3048571, 0.3542074, 0.4114711, 0.4559708");
values("1.69675, 1.5448, 1.4435, 1.5448, 1.69675");
}
vector ("ccsn_pnlh") {
index_1("1.19384");
index_2("0.310186");
index_3("0.001");
index_4("0.1605392, 0.1788324, 0.2122522, 0.2585439, 0.2927492");
values("1.6897, 1.53352, 1.4294, 1.53352, 1.6897");
}
vector ("ccsn_pnlh") {
index_1("1.30644");
index_2("0.205739");
index_3("0.001");
index_4("0.1083616, 0.1227336, 0.1508469, 0.1849896, 0.2132559");
values("1.68795, 1.53072, 1.4259, 1.53072, 1.68795");
}
vector ("ccsn_pnlh") {
index_1("1.19384");
index_2("0.930558");
index_3("0.001");
index_4("0.4622690, 0.5181711, 0.6213466, 0.7369678, 0.8110930");
values("1.19058, 0.734927, 0.431158, 0.734927, 1.19058");
}
}
}
}
pin ("ENABLE_INP_H") {
max_transition : 3.75;
input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "input";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
always_on : true;
fall_capacitance : 0.012664;
capacitance : 0.012997;
rise_capacitance : 0.013330;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("9.0413111, 16.2959050, 23.5504980, 25.2256580, 26.8338110, 28.5089700, 30.1841300");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("7.3676846, 15.1718310, 22.9759770, 23.4187000, 23.8437150, 24.2864380, 24.7291610");
}
}
ccsn_first_stage () {
is_needed : "true";
stage_type : "pull_up";
is_inverting : "true";
miller_cap_fall : "0.00164854";
miller_cap_rise : "0.00148964";
dc_current ("ccsn_dc") {
index_1("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
index_2("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
values("18.2291, 8.24311, 2.7424, 2.66667, 2.58832, 2.5383, 2.47682, 2.40101, 2.31321, 2.21851, 2.11908, 2.0153, 1.90712, 1.79436, 1.67671, 1.55378, 1.42487, 1.28878, 1.14295, 0.983635, 0.811221, 0.626831, 0.430349, 0.2215, -1.66175e-08, -0.460214, -0.961228, -5.46471, -15.2005", \
"17.8577, 7.77147, 2.13477, 1.99879, 1.96333, 1.94364, 1.92194, 1.89758, 1.86968, 1.83687, 1.79695, 1.74613, 1.67954, 1.59792, 1.5071, 1.40905, 1.30348, 1.18922, 1.06371, 0.922823, 0.766576, 0.596318, 0.411977, 0.213293, -4.58534e-09, -0.44568, -0.938374, -5.46244, -15.1989", \
"17.69, 7.51084, 1.81979, 1.59625, 1.57085, 1.55756, 1.54339, 1.52802, 1.51113, 1.49229, 1.4709, 1.44606, 1.41631, 1.37903, 1.32943, 1.26209, 1.17993, 1.08677, 0.981059, 0.858474, 0.718552, 0.562871, 0.391396, 0.203861, 1.39767e-09, -0.429035, -0.912175, -5.45998, -15.197", \
"17.6362, 7.42871, 1.72132, 1.46418, 1.44102, 1.42921, 1.41675, 1.40336, 1.38878, 1.37269, 1.35467, 1.33412, 1.31011, 1.28107, 1.24414, 1.19396, 1.12527, 1.04163, 0.944465, 0.829815, 0.69702, 0.547761, 0.38202, 0.199524, -6.24015e-09, -0.421452, -0.900309, -5.4589, -15.1962", \
"17.5818, 7.35138, 1.62994, 1.33356, 1.31218, 1.30163, 1.29062, 1.27891, 1.26625, 1.25241, 1.23708, 1.21985, 1.20008, 1.17679, 1.1483, 1.11138, 1.05992, 0.989122, 0.901949, 0.796433, 0.671857, 0.530037, 0.370977, 0.194392, -6.56314e-09, -0.412538, -0.886441, -5.45765, -15.1953", \
"17.5531, 7.31412, 1.58828, 1.26926, 1.24822, 1.23822, 1.22787, 1.21689, 1.20509, 1.19223, 1.17806, 1.16221, 1.14418, 1.12316, 1.09782, 1.06572, 1.02198, 0.959451, 0.878112, 0.777693, 0.657698, 0.520038, 0.364728, 0.191479, -2.94546e-08, -0.407505, -0.878651, -5.45697, -15.1948", \
"17.5229, 7.27738, 1.54806, 1.21373, 1.18463, 1.17514, 1.16539, 1.1551, 1.14408, 1.13212, 1.119, 1.10441, 1.08791, 1.06886, 1.04618, 1.01801, 0.980618, 0.926886, 0.852308, 0.757411, 0.642351, 0.509177, 0.357926, 0.188298, -4.72325e-06, -0.402046, -0.870235, -5.45624, -15.1943", \
"17.4908, 7.2409, 1.50859, 1.16626, 1.12129, 1.11222, 1.10299, 1.09332, 1.08299, 1.07184, 1.05965, 1.04616, 1.03101, 1.01365, 0.993225, 0.968257, 0.935931, 0.890362, 0.8237, 0.734814, 0.62504, 0.496665, 0.349752, 0.183987, -0.000944097, -0.397333, -0.862775, -5.4581, -15.2017", \
"17.4563, 7.20436, 1.46901, 1.1168, 1.05487, 1.0438, 1.03456, 1.02516, 1.01525, 1.00465, 0.993153, 0.980522, 0.966441, 0.950451, 0.931847, 0.909451, 0.881094, 0.84226, 0.784298, 0.702031, 0.597805, 0.474372, 0.331811, 0.169761, -0.0121474, -0.404534, -0.87033, -5.4823, -15.2684", \
"17.4188, 7.16736, 1.42847, 1.06364, 0.989241, 0.970726, 0.960296, 0.95091, 0.941338, 0.931241, 0.920398, 0.908582, 0.89551, 0.880789, 0.863828, 0.843669, 0.818607, 0.785204, 0.735899, 0.661393, 0.56335, 0.445421, 0.307739, 0.149884, -0.0285773, -0.416463, -0.882648, -5.51217, -15.3469", \
"17.3777, 7.12961, 1.38707, 1.00854, 0.925991, 0.900022, 0.885604, 0.875717, 0.866353, 0.856713, 0.846489, 0.835445, 0.823318, 0.809763, 0.794278, 0.77607, 0.753769, 0.724706, 0.682899, 0.617254, 0.526133, 0.414356, 0.282222, 0.129263, -0.0450229, -0.426913, -0.891904, -5.53757, -15.4134", \
"17.3336, 7.09122, 1.34533, 0.952647, 0.864465, 0.833385, 0.813416, 0.80166, 0.792247, 0.782989, 0.773342, 0.763025, 0.751781, 0.739299, 0.725146, 0.708652, 0.688693, 0.663137, 0.627312, 0.570797, 0.487479, 0.382514, 0.256611, 0.10927, -0.0600686, -0.434317, -0.894655, -5.55637, -15.463", \
"17.2861, 7.05233, 1.30373, 0.896666, 0.804413, 0.769967, 0.745725, 0.730485, 0.720442, 0.711465, 0.702367, 0.692753, 0.682354, 0.67088, 0.657948, 0.642987, 0.625051, 0.60239, 0.571257, 0.522906, 0.448354, 0.350893, 0.231915, 0.0909299, -0.0726714, -0.437572, -0.88948, -5.56723, -15.492", \
"17.2351, 7.01305, 1.26257, 0.841112, 0.745847, 0.709119, 0.682255, 0.664055, 0.652579, 0.64378, 0.635269, 0.626395, 0.616851, 0.606366, 0.594596, 0.581044, 0.564899, 0.544687, 0.517296, 0.475472, 0.410101, 0.320895, 0.209551, 0.075669, -0.0813917, -0.435204, -0.876463, -5.56842, -15.4962", \
"17.1802, 6.9735, 1.22211, 0.786464, 0.688965, 0.650635, 0.622222, 0.602748, 0.590309, 0.581567, 0.573675, 0.565559, 0.556859, 0.547315, 0.53662, 0.524339, 0.509764, 0.49162, 0.467235, 0.430393, 0.373305, 0.293083, 0.190031, 0.0639323, -0.0858744, -0.427157, -0.855933, -5.56132, -15.4799", \
"17.1217, 6.93387, 1.18268, 0.733265, 0.634151, 0.594669, 0.56534, 0.545636, 0.533379, 0.524601, 0.517092, 0.509603, 0.501627, 0.492897, 0.483134, 0.471946, 0.458704, 0.442279, 0.420309, 0.387267, 0.336562, 0.265556, 0.171435, 0.053752, -0.0881726, -0.415911, -0.831061, -5.55114, -15.4551", \
"17.0598, 6.89452, 1.14474, 0.682218, 0.582018, 0.541705, 0.511841, 0.49235, 0.480659, 0.47209, 0.464682, 0.457622, 0.45025, 0.442224, 0.43327, 0.423027, 0.410925, 0.39594, 0.375932, 0.34584, 0.299702, 0.236756, 0.152189, 0.0436202, -0.0897427, -0.403011, -0.803654, -5.54066, -15.428", \
"16.9954, 6.85605, 1.10895, 0.634322, 0.533514, 0.492619, 0.462463, 0.44335, 0.43225, 0.424052, 0.416806, 0.409946, 0.403038, 0.395616, 0.387365, 0.37794, 0.36681, 0.35303, 0.334618, 0.306838, 0.263965, 0.20668, 0.131597, 0.0329153, -0.0910939, -0.388866, -0.774094, -5.53083, -15.401", \
"16.9303, 6.81956, 1.07634, 0.591061, 0.490087, 0.44882, 0.418546, 0.399869, 0.389317, 0.38152, 0.374569, 0.367885, 0.361243, 0.3543, 0.346646, 0.337914, 0.327599, 0.314807, 0.297669, 0.271678, 0.231151, 0.177365, 0.109519, 0.0213498, -0.0923835, -0.373457, -0.742131, -5.52193, -15.3753", \
"16.8682, 6.78688, 1.04853, 0.554664, 0.453911, 0.412457, 0.382203, 0.363989, 0.353943, 0.346536, 0.339914, 0.333494, 0.327035, 0.320382, 0.31319, 0.30502, 0.295357, 0.283339, 0.267172, 0.242503, 0.203609, 0.151924, 0.0878182, 0.00878847, -0.0936567, -0.356623, -0.707385, -5.5141, -15.3514", \
"16.8157, 6.76113, 1.02797, 0.528388, 0.428107, 0.386619, 0.356502, 0.338774, 0.329198, 0.322157, 0.315856, 0.30972, 0.303486, 0.296995, 0.290073, 0.282306, 0.273122, 0.261658, 0.246156, 0.222356, 0.184479, 0.133953, 0.071457, -0.00370835, -0.0949335, -0.338261, -0.670983, -5.50746, -15.3299", \
"16.7938, 6.75163, 1.02093, 0.519978, 0.419697, 0.378107, 0.348078, 0.330741, 0.321495, 0.314687, 0.308577, 0.302602, 0.296492, 0.290061, 0.28314, 0.275467, 0.266465, 0.255218, 0.239964, 0.216457, 0.178899, 0.1287, 0.0665797, -0.00807213, -0.0960012, -0.31923, -0.635515, -5.50202, -15.3108", \
"16.7939, 6.75162, 1.02105, 0.520452, 0.419517, 0.377627, 0.347506, 0.330352, 0.32122, 0.314448, 0.308343, 0.302355, 0.296211, 0.28971, 0.282657, 0.274806, 0.265716, 0.254445, 0.239186, 0.215682, 0.17813, 0.127938, 0.0658247, -0.00881784, -0.0967201, -0.302886, -0.602562, -5.4977, -15.2943", \
"16.7945, 6.75179, 1.02126, 0.520992, 0.419467, 0.377313, 0.347118, 0.33012, 0.321078, 0.314332, 0.308231, 0.302233, 0.296065, 0.289523, 0.282395, 0.274409, 0.265154, 0.253807, 0.238524, 0.215013, 0.17746, 0.127269, 0.0651574, -0.00948236, -0.0973801, -0.295491, -0.572951, -5.49434, -15.2802", \
"16.7951, 6.7516, 1.02157, 0.521467, 0.419423, 0.377042, 0.346791, 0.32993, 0.320964, 0.31424, 0.308143, 0.30214, 0.295959, 0.289392, 0.282221, 0.27416, 0.264771, 0.253276, 0.237929, 0.214399, 0.17684, 0.126647, 0.064536, -0.0101023, -0.0979975, -0.295782, -0.551682, -5.49178, -15.2685", \
"16.796, 6.75185, 1.02187, 0.522255, 0.41935, 0.376603, 0.346271, 0.32964, 0.32079, 0.314104, 0.308016, 0.30201, 0.295815, 0.289222, 0.282009, 0.273879, 0.26437, 0.252662, 0.237041, 0.213328, 0.175701, 0.125482, 0.0633611, -0.0112809, -0.0991764, -0.296961, -0.545764, -5.48965, -15.252", \
"16.7968, 6.75207, 1.02198, 0.522872, 0.419294, 0.376266, 0.345885, 0.329431, 0.320668, 0.314008, 0.30793, 0.301924, 0.295723, 0.28912, 0.281889, 0.273731, 0.264177, 0.252393, 0.23663, 0.212657, 0.17471, 0.12428, 0.0620698, -0.0126126, -0.10053, -0.298346, -0.547141, -5.49026, -15.2437", \
"16.7985, 6.75256, 1.02241, 0.524026, 0.419192, 0.375645, 0.345191, 0.32907, 0.320458, 0.313849, 0.307789, 0.301788, 0.295585, 0.288972, 0.281726, 0.273544, 0.263955, 0.25211, 0.236237, 0.212044, 0.173642, 0.122317, 0.0586728, -0.017974, -0.108305, -0.31079, -0.561235, -5.5006, -15.247", \
"16.7997, 6.7529, 1.02267, 0.524677, 0.419149, 0.375285, 0.344781, 0.328861, 0.320337, 0.313756, 0.307707, 0.30171, 0.295508, 0.288893, 0.281643, 0.273455, 0.263856, 0.251995, 0.23609, 0.211832, 0.173299, 0.121718, 0.0575869, -0.0199585, -0.111914, -0.322431, -0.59084, -5.56118, -15.3229");
}
output_voltage_rise () {
vector ("ccsn_ovrf") {
index_1("0.01");
index_2("0.001");
index_3("0.0162977, 0.0246761, 0.0336284, 0.0445239, 0.0629605");
values("0.55, 1.65, 2.75, 3.85, 4.95");
}
vector ("ccsn_ovrf") {
index_1("0.1");
index_2("0.001");
index_3("0.0189566, 0.0537599, 0.0709619, 0.0856617, 0.1070520");
values("0.55, 1.65, 2.75, 3.85, 4.95");
}
}
propagated_noise_low () {
vector ("ccsn_pnlh") {
index_1("2.475");
index_2("0.0809506");
index_3("0.001");
index_4("0.0746002, 0.1372174, 0.4996453, 0.8620732, 0.9246904");
values("2.59523, 4.15237, 5.19046, 4.15237, 2.59523");
}
vector ("ccsn_pnlh") {
index_1("2.75");
index_2("0.0779017");
index_3("0.001");
index_4("0.0705935, 0.1327359, 0.4548361, 0.7769363, 0.8390787");
values("2.59523, 4.15237, 5.19046, 4.15237, 2.59523");
}
vector ("ccsn_pnlh") {
index_1("3.16905");
index_2("0.0731957");
index_3("0.001");
index_4("0.0643869, 0.1249217, 1.0682589, 2.0115961, 2.0721309");
values("2.59521, 4.15233, 5.19041, 4.15233, 2.59521");
}
vector ("ccsn_pnlh") {
index_1("2.475");
index_2("0.0404753");
index_3("0.001");
index_4("0.0791874, 0.1447697, 0.4694070, 0.7940444, 0.8596266");
values("2.59522, 4.15234, 5.19043, 4.15234, 2.59522");
}
vector ("ccsn_pnlh") {
index_1("2.75");
index_2("0.0389508");
index_3("0.001");
index_4("0.0766899, 0.1420407, 1.0570862, 1.9721316, 2.0374825");
values("2.59522, 4.15236, 5.19045, 4.15236, 2.59522");
}
vector ("ccsn_pnlh") {
index_1("3.16905");
index_2("0.0365979");
index_3("0.001");
index_4("0.0729939, 0.1383994, 0.5614711, 0.9845427, 1.0499482");
values("2.59524, 4.15238, 5.19048, 4.15238, 2.59524");
}
vector ("ccsn_pnlh") {
index_1("2.75");
index_2("0.116853");
index_3("0.001");
index_4("0.0734769, 0.1223527, 0.4936337, 0.8649148, 0.9137906");
values("2.59521, 4.15234, 5.19043, 4.15234, 2.59521");
}
}
}
}
pin ("VTRIP_SEL") {
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCD";
related_ground_pin : "VSSD";
always_on : false;
fall_capacitance : 0.006701;
capacitance : 0.006861;
rise_capacitance : 0.007022;
max_transition : 1.500000;
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_hold_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.3300597, 0.2623177, 0.2189672, 0.2076939, 0.1972383, 0.1951221, 0.1991075", \
"0.3944831, 0.3077261, 0.2882137, 0.2779404, 0.2565743, 0.2592497, 0.2620432", \
"0.3972333, 0.3019502, 0.2864255, 0.2677257, 0.2684244, 0.2637431, 0.2481796", \
"0.3776039, 0.3071991, 0.2730055, 0.2445781, 0.2417519, 0.2548946, 0.2527765", \
"0.3580762, 0.2860115, 0.2378269, 0.2514490, 0.2210177, 0.2572403, 0.2225676", \
"0.3439419, 0.2568277, 0.2192034, 0.1926449, 0.1882536, 0.2064446, 0.2267439", \
"0.3106794, 0.2332003, 0.1714332, 0.1671369, 0.1855978, 0.1772889, 0.1812744");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.1820954, 0.0471345, -0.0544258, -0.1414033, -0.2125286, -0.2650952, -0.3158471", \
"0.2404158, 0.1095182, 0.0008101, -0.0841194, -0.1664645, -0.2392754, -0.3037676", \
"0.2522215, 0.1092516, 0.0122035, -0.0819142, -0.1579206, -0.2334262, -0.3009874", \
"0.2372694, 0.1004031, 0.0017230, -0.0870044, -0.1717612, -0.2453660, -0.3031956", \
"0.2116382, 0.0701943, -0.0268050, -0.1005911, -0.1851854, -0.2727508, -0.3324274", \
"0.1929264, 0.0423271, -0.0307410, -0.1311440, -0.2088624, -0.2868850, -0.3515642", \
"0.1581379, -0.0077203, -0.0624776, -0.1587088, -0.2390836, -0.2777405, -0.3665162");
}
}
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_setup_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.1093872, -0.0339807, -0.0089744, -0.0068563, -0.0025042, -0.0080174, 0.0063077", \
"-0.1707583, -0.0954671, -0.0611808, -0.0514637, -0.0305727, -0.0373661, -0.0367738", \
"-0.1537308, -0.1085480, -0.0771029, -0.0721677, -0.0387304, -0.0384906, -0.0440019", \
"-0.1599826, -0.0951219, -0.0698102, -0.0418385, 0.3455607, -0.0250645, -0.0443184", \
"-0.1389290, -0.0633872, -0.0219171, -0.0225950, -0.0208678, -0.0159349, -0.0221483", \
"-0.1095360, -0.0370459, 0.0030628, 0.0033914, 0.0109241, 0.0077000, 0.0279851", \
"-0.0777993, 0.0130013, 0.0317478, 0.0380231, 0.0339744, 0.0534314, 0.0419139");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.1643647, -0.0294029, 0.0664352, 0.1564663, 0.2262156, 0.2792643, 0.3218196", \
"-0.2242099, -0.0905112, 0.0105051, 0.0971228, 0.1766806, 0.2479733, 0.3136853", \
"-0.2178636, -0.0959782, 0.0075516, 0.0938961, 0.1820920, 0.2550837, 0.3164430", \
"-0.2195376, -0.0886556, 0.0099252, 0.1033922, 0.1803389, 0.2530218, 0.3249540", \
"-0.1984841, -0.0569209, 0.0356674, 0.1174003, 0.1968148, 0.2874320, 0.3410309", \
"-0.1690910, -0.0290537, 0.0440426, 0.1483864, 0.2193611, 0.2939368, 0.3677712", \
"-0.1327768, 0.0194679, 0.0757793, 0.1778018, 0.2501966, 0.2865811, 0.3827232");
}
}
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0427426, 0.0421909, 0.0416391, 0.0417909, 0.0419366, 0.0420884, 0.0422401");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0541270, 0.0533502, 0.0525735, 0.0530537, 0.0535148, 0.0539950, 0.0544752");
}
}
timing() { /* This is for power up */
related_pin : "HLD_H_N";
timing_type : non_seq_setup_rising;
sdf_cond : "ENABLE_H==1'b1";
when : "( ENABLE_H )";
rise_constraint (scalar) {
values ("5.0");
}
fall_constraint (scalar) {
values ("5.0");
}
}
ccsn_first_stage () {
is_needed : "true";
stage_type : "both";
is_inverting : "true";
miller_cap_fall : "0.00105891";
miller_cap_rise : "0.000882002";
dc_current ("ccsn_dc") {
index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
values("3.84941, 0.661865, 0.580803, 0.559672, 0.533787, 0.519003, 0.502979, 0.485708, 0.467189, 0.447417, 0.426392, 0.404112, 0.380576, 0.355783, 0.329732, 0.302424, 0.273857, 0.244031, 0.212946, 0.180603, 0.147, 0.112138, 0.0760175, 0.038638, -3.90883e-09, -0.0767358, -0.150398, -0.392865, -3.05885", \
"3.70605, 0.483953, 0.403234, 0.396124, 0.387641, 0.382655, 0.376972, 0.370373, 0.362585, 0.353341, 0.342452, 0.32982, 0.315398, 0.299168, 0.281117, 0.261241, 0.239537, 0.216002, 0.190637, 0.163439, 0.134411, 0.103552, 0.0708632, 0.0363454, -1.87966e-09, -0.0734332, -0.145037, -0.387578, -3.05898", \
"3.61521, 0.368545, 0.265391, 0.261486, 0.257153, 0.254776, 0.252221, 0.249446, 0.246395, 0.242987, 0.239102, 0.234551, 0.229032, 0.222102, 0.213304, 0.202362, 0.18916, 0.173658, 0.155836, 0.135688, 0.113211, 0.0884029, 0.0612643, 0.0317961, -1.88777e-09, -0.066096, -0.132499, -0.368743, -3.05565", \
"3.59151, 0.35384, 0.217501, 0.214341, 0.210895, 0.209035, 0.207063, 0.204954, 0.202678, 0.200195, 0.197444, 0.194339, 0.190741, 0.186418, 0.180962, 0.173796, 0.164443, 0.152683, 0.138438, 0.121681, 0.102401, 0.0805935, 0.0562573, 0.0293924, -1.89211e-09, -0.0621644, -0.125762, -0.358617, -3.05385", \
"3.57017, 0.355697, 0.170048, 0.167534, 0.164841, 0.163409, 0.161907, 0.160322, 0.158639, 0.156835, 0.154883, 0.152742, 0.150351, 0.147616, 0.144379, 0.140335, 0.134903, 0.127374, 0.11732, 0.104596, 0.0891542, 0.0709781, 0.0500615, 0.0264021, -1.89782e-09, -0.057255, -0.117352, -0.346111, -3.05159", \
"3.56045, 0.361656, 0.146938, 0.144646, 0.142291, 0.141047, 0.139749, 0.138387, 0.136952, 0.135426, 0.13379, 0.132017, 0.130066, 0.12788, 0.125361, 0.122336, 0.118446, 0.112992, 0.10522, 0.0947609, 0.0815001, 0.0654026, 0.0464561, 0.0246556, -1.90972e-09, -0.054382, -0.112434, -0.338884, -3.05027", \
"3.55147, 0.370961, 0.12519, 0.122359, 0.12032, 0.11925, 0.118139, 0.11698, 0.115766, 0.114486, 0.113126, 0.111667, 0.110084, 0.108339, 0.106373, 0.10409, 0.101301, 0.0975682, 0.0920548, 0.0839994, 0.0730982, 0.0592664, 0.0424781, 0.0227238, -2.18657e-09, -0.0512007, -0.106991, -0.330969, -3.04881", \
"3.54333, 0.383727, 0.108399, 0.100911, 0.0991585, 0.0982501, 0.0973117, 0.0963387, 0.0953253, 0.0942644, 0.0931464, 0.0919592, 0.0906859, 0.0893029, 0.0877753, 0.0860478, 0.0840228, 0.0814903, 0.0779081, 0.07229, 0.0639106, 0.0525351, 0.0381024, 0.0205931, -1.07575e-08, -0.0476885, -0.100985, -0.322346, -3.0472", \
"3.53619, 0.400076, 0.101776, 0.0807174, 0.0790722, 0.0783149, 0.0775363, 0.0767334, 0.0759021, 0.0750377, 0.0741341, 0.0731832, 0.0721745, 0.0710935, 0.0699193, 0.0686206, 0.0671463, 0.0653995, 0.0631433, 0.059691, 0.0539186, 0.0451806, 0.0333055, 0.0182501, -2.75253e-07, -0.0438229, -0.0943788, -0.313001, -3.04543", \
"3.5302, 0.420091, 0.104944, 0.0633489, 0.0603637, 0.0597462, 0.0591158, 0.058469, 0.0578034, 0.0571159, 0.0564025, 0.0556585, 0.0548772, 0.0540502, 0.0531653, 0.0522053, 0.0511432, 0.0499335, 0.0484823, 0.0465157, 0.0431574, 0.0371838, 0.0280629, 0.0156761, -7.81309e-06, -0.0395896, -0.0871416, -0.302939, -3.04349", \
"3.52558, 0.443826, 0.115091, 0.0537441, 0.0433732, 0.0428484, 0.0423492, 0.0418407, 0.0413208, 0.0407876, 0.0402385, 0.0396709, 0.039081, 0.0384639, 0.0378131, 0.0371193, 0.0363691, 0.0355409, 0.0345965, 0.0334476, 0.0317699, 0.0284525, 0.0222393, 0.0127275, -0.000161979, -0.0351172, -0.0793972, -0.292346, -3.04156", \
"3.52259, 0.471329, 0.130404, 0.0549682, 0.0284687, 0.0275669, 0.027123, 0.0266895, 0.0262536, 0.0258119, 0.0253624, 0.0249033, 0.0244325, 0.0239474, 0.0234447, 0.0229198, 0.0223664, 0.021775, 0.0211302, 0.0204026, 0.019513, 0.0180716, 0.0147026, 0.0082044, -0.00169981, -0.0316991, -0.0724987, -0.282732, -3.04108", \
"3.52154, 0.502689, 0.149946, 0.0637238, 0.0161257, 0.0117867, 0.0109509, 0.0103648, 0.00982615, 0.00930447, 0.00878965, 0.00827678, 0.00776281, 0.00724539, 0.00672233, 0.00619124, 0.00564923, 0.00509237, 0.00451488, 0.00390723, 0.0032501, 0.0024785, 0.00112591, -0.00244245, -0.00927549, -0.0341108, -0.0713463, -0.279012, -3.04656", \
"3.52289, 0.537227, 0.173322, 0.0765849, 0.00710885, -0.00719684, -0.0110125, -0.0124003, -0.0133859, -0.0142362, -0.0150216, -0.0157699, -0.0164951, -0.017205, -0.0179048, -0.0185981, -0.0192876, -0.0199761, -0.020666, -0.0213609, -0.0220658, -0.022791, -0.0235829, -0.0249959, -0.0288303, -0.0481861, -0.0816509, -0.286035, -3.06182", \
"3.52721, 0.577068, 0.200374, 0.0921926, 0.00210046, -0.0248791, -0.0369193, -0.0407791, -0.0427655, -0.0442273, -0.0454632, -0.0465768, -0.0476147, -0.0486017, -0.0495525, -0.0504762, -0.0513794, -0.0522665, -0.0531413, -0.0540065, -0.0548652, -0.0557204, -0.0565776, -0.0574734, -0.0591422, -0.0726198, -0.101867, -0.301652, -3.08447", \
"3.53436, 0.620585, 0.229869, 0.109386, 0.000419298, -0.0386875, -0.0618698, -0.0712131, -0.0751179, -0.0775633, -0.0794512, -0.0810585, -0.0825, -0.0838332, -0.0850906, -0.0862922, -0.0874512, -0.0885767, -0.0896756, -0.0907529, -0.0918129, -0.0928594, -0.0938961, -0.0949283, -0.0960603, -0.103893, -0.128291, -0.322212, -3.11114", \
"3.54294, 0.665401, 0.25921, 0.126156, 6.96324e-05, -0.050004, -0.0844426, -0.102297, -0.10954, -0.113462, -0.116232, -0.118464, -0.120392, -0.12213, -0.123736, -0.125248, -0.126688, -0.128073, -0.129413, -0.130717, -0.131993, -0.133245, -0.134479, -0.1357, -0.136925, -0.141051, -0.159371, -0.345911, -3.14015", \
"3.55205, 0.709892, 0.286777, 0.141526, 8.80397e-06, -0.0598482, -0.104688, -0.132637, -0.145141, -0.151212, -0.155134, -0.158125, -0.160617, -0.162805, -0.164789, -0.166629, -0.16836, -0.170009, -0.171592, -0.173122, -0.174609, -0.176061, -0.177485, -0.178889, -0.18028, -0.183332, -0.194797, -0.371906, -3.17063", \
"3.56143, 0.75335, 0.311988, 0.155367, 6.00708e-07, -0.0685407, -0.122764, -0.160905, -0.180724, -0.189879, -0.195305, -0.199216, -0.202358, -0.205045, -0.207436, -0.209621, -0.211653, -0.213569, -0.215395, -0.217148, -0.218841, -0.220486, -0.222092, -0.223669, -0.225224, -0.228346, -0.234623, -0.399721, -3.20206", \
"3.57106, 0.795398, 0.334712, 0.167756, 2.55591e-08, -0.0762303, -0.13885, -0.18647, -0.215139, -0.228639, -0.236043, -0.241079, -0.244973, -0.248219, -0.251052, -0.253603, -0.255949, -0.258141, -0.260212, -0.262187, -0.264085, -0.265919, -0.267702, -0.269445, -0.271158, -0.274541, -0.27862, -0.429062, -3.2341", \
"3.58094, 0.835848, 0.355034, 0.178811, 2.57296e-09, -0.0830257, -0.153131, -0.209277, -0.247396, -0.266762, -0.276789, -0.283217, -0.287996, -0.291872, -0.295191, -0.298135, -0.300812, -0.30329, -0.305613, -0.307815, -0.309918, -0.31194, -0.313897, -0.315803, -0.317668, -0.321331, -0.325097, -0.459758, -3.26654", \
"3.59106, 0.87465, 0.373137, 0.188649, 1.81035e-09, -0.0890218, -0.165785, -0.229516, -0.276807, -0.303556, -0.317075, -0.325249, -0.331077, -0.335674, -0.339531, -0.342903, -0.345933, -0.348711, -0.351296, -0.35373, -0.356042, -0.358255, -0.360387, -0.362454, -0.36447, -0.368408, -0.372333, -0.49173, -3.29923", \
"3.60145, 0.91183, 0.389223, 0.197381, 1.78997e-09, -0.0943039, -0.176977, -0.247436, -0.303096, -0.338335, -0.356465, -0.366858, -0.373949, -0.379378, -0.383839, -0.387678, -0.391088, -0.394185, -0.397045, -0.39972, -0.402247, -0.404654, -0.406962, -0.409192, -0.411359, -0.415569, -0.419725, -0.524979, -3.33206", \
"3.6121, 0.947417, 0.403487, 0.20511, 1.80243e-09, -0.098949, -0.186858, -0.263282, -0.326342, -0.370466, -0.394513, -0.407755, -0.416389, -0.422789, -0.427934, -0.43229, -0.436112, -0.43955, -0.442701, -0.445628, -0.448378, -0.450985, -0.453475, -0.455869, -0.458188, -0.462669, -0.467065, -0.559595, -3.36498", \
"3.62301, 0.981386, 0.41611, 0.211929, 1.82832e-09, -0.103025, -0.195567, -0.277277, -0.346807, -0.399484, -0.430733, -0.447656, -0.458197, -0.465747, -0.471674, -0.476607, -0.480881, -0.484687, -0.488146, -0.49134, -0.494323, -0.497138, -0.499814, -0.502378, -0.504851, -0.509606, -0.514239, -0.595767, -3.39795", \
"3.64551, 1.04396, 0.437049, 0.223181, 1.93443e-09, -0.10971, -0.209943, -0.300478, -0.380608, -0.447753, -0.495626, -0.523173, -0.53913, -0.549736, -0.557625, -0.563948, -0.569274, -0.573917, -0.578067, -0.581845, -0.585334, -0.588593, -0.591666, -0.594586, -0.597381, -0.602699, -0.607809, -0.673488, -3.46403", \
"3.66403, 1.09764, 0.453127, 0.231741, 2.14591e-09, -0.114769, -0.220943, -0.318371, -0.406663, -0.48453, -0.547831, -0.590328, -0.614968, -0.630204, -0.640851, -0.649009, -0.655662, -0.661323, -0.666288, -0.670742, -0.674805, -0.678561, -0.68207, -0.685379, -0.688523, -0.694439, -0.700042, -0.756098, -3.53032", \
"3.69325, 1.189, 0.480187, 0.245817, 3.89718e-09, -0.123133, -0.23971, -0.349674, -0.452932, -0.549287, -0.638218, -0.718198, -0.78512, -0.833257, -0.863817, -0.883684, -0.897793, -0.908622, -0.917416, -0.924852, -0.931326, -0.93709, -0.942308, -0.947097, -0.95154, -0.95963, -0.966963, -1.00576, -3.72404", \
"3.69337, 1.21149, 0.484819, 0.247587, 1.36202e-08, -0.124722, -0.245001, -0.360822, -0.472168, -0.579019, -0.681342, -0.779077, -0.872096, -0.960107, -1.04239, -1.11715, -1.18069, -1.22907, -1.26306, -1.2871, -1.30501, -1.3191, -1.33068, -1.34052, -1.3491, -1.36362, -1.37574, -1.40913, -4.02156");
}
output_voltage_rise () {
vector ("ccsn_ovrf") {
index_1("0.01");
index_2("0.001");
index_3("0.0353344, 0.0656160, 0.1030595, 0.1458410, 0.2139358");
values("0.195, 0.585, 0.975, 1.365, 1.755");
}
vector ("ccsn_ovrf") {
index_1("0.1");
index_2("0.001");
index_3("0.1012553, 0.1316349, 0.1689006, 0.2116700, 0.2794220");
values("0.195, 0.585, 0.975, 1.365, 1.755");
}
}
output_voltage_fall () {
vector ("ccsn_ovrf") {
index_1("0.01");
index_2("0.001");
index_3("0.0189804, 0.0300283, 0.0415407, 0.0542692, 0.0709178");
values("1.755, 1.365, 0.975, 0.585, 0.195");
}
vector ("ccsn_ovrf") {
index_1("0.1");
index_2("0.001");
index_3("0.0764922, 0.0933711, 0.1057679, 0.1183760, 0.1343663");
values("1.755, 1.365, 0.975, 0.585, 0.195");
}
}
propagated_noise_low () {
vector ("ccsn_pnlh") {
index_1("1.29693");
index_2("4.18545");
index_3("0.001");
index_4("2.0658022, 2.1743704, 2.3216477, 2.5239498, 2.6148987");
values("0.381852, 0.610964, 0.763705, 0.610964, 0.381852");
}
vector ("ccsn_pnlh") {
index_1("1.39989");
index_2("2.41186");
index_3("0.001");
index_4("1.1898114, 1.2827219, 1.4345620, 1.5608119, 1.6342176");
values("0.459968, 0.735949, 0.919936, 0.735949, 0.459968");
}
vector ("ccsn_pnlh") {
index_1("1.49154");
index_2("1.62561");
index_3("0.001");
index_4("0.8023583, 0.8794378, 1.0125702, 1.1125277, 1.1692210");
values("0.489774, 0.783638, 0.979547, 0.783638, 0.489774");
}
vector ("ccsn_pnlh") {
index_1("1.29693");
index_2("2.09272");
index_3("0.001");
index_4("1.0432648, 1.0992678, 1.1957395, 1.2708285, 1.3191279");
values("0.216853, 0.346965, 0.433706, 0.346965, 0.216853");
}
vector ("ccsn_pnlh") {
index_1("1.39989");
index_2("1.20593");
index_3("0.001");
index_4("0.6044990, 0.6490701, 0.7295724, 0.7878050, 0.8255335");
values("0.255114, 0.408182, 0.510228, 0.408182, 0.255114");
}
vector ("ccsn_pnlh") {
index_1("1.49154");
index_2("0.812803");
index_3("0.001");
index_4("0.4099026, 0.4479644, 0.5002286, 0.5625673, 0.5921428");
values("0.271527, 0.434443, 0.543054, 0.434443, 0.271527");
}
vector ("ccsn_pnlh") {
index_1("1.39989");
index_2("3.6178");
index_3("0.001");
index_4("1.7751677, 1.9182923, 2.1123600, 2.3269389, 2.4313488");
values("0.635991, 1.01759, 1.27198, 1.01759, 0.635991");
}
}
propagated_noise_high () {
vector ("ccsn_pnlh") {
index_1("1.08421");
index_2("1.20401");
index_3("0.001");
index_4("0.6002549, 0.6549751, 0.7551825, 0.8979506, 0.9842547");
values("1.44551, 1.14281, 0.941012, 1.14281, 1.44551");
}
vector ("ccsn_pnlh") {
index_1("1.20268");
index_2("0.682363");
index_3("0.001");
index_4("0.3436712, 0.3843044, 0.4603746, 0.5604229, 0.6255722");
values("1.41858, 1.09972, 0.887154, 1.09972, 1.41858");
}
vector ("ccsn_pnlh") {
index_1("1.31449");
index_2("0.452664");
index_3("0.001");
index_4("0.2297364, 0.2601492, 0.3119187, 0.4001209, 0.4496755");
values("1.40747, 1.08195, 0.864935, 1.08195, 1.40747");
}
vector ("ccsn_pnlh") {
index_1("1.08421");
index_2("0.602005");
index_3("0.001");
index_4("0.3062411, 0.3354463, 0.3914434, 0.4579357, 0.5148226");
values("1.69274, 1.53839, 1.43549, 1.53839, 1.69274");
}
vector ("ccsn_pnlh") {
index_1("1.20268");
index_2("0.341182");
index_3("0.001");
index_4("0.1766096, 0.1974612, 0.2289989, 0.2886036, 0.3324891");
values("1.68188, 1.52101, 1.41376, 1.52101, 1.68188");
}
vector ("ccsn_pnlh") {
index_1("1.31449");
index_2("0.226332");
index_3("0.001");
index_4("0.1193699, 0.1358753, 0.1655061, 0.2077771, 0.2422361");
values("1.67847, 1.51555, 1.40693, 1.51555, 1.67847");
}
vector ("ccsn_pnlh") {
index_1("1.20268");
index_2("1.02354");
index_3("0.001");
index_4("0.5101547, 0.5711875, 0.6767979, 0.8211416, 0.9160089");
values("1.17305, 0.706874, 0.396092, 0.706874, 1.17305");
}
}
}
}
pin ("ANALOG_POL") {
max_transition : 1.50;
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCD";
related_ground_pin : "VSSD";
always_on : false;
fall_capacitance : 0.017565;
capacitance : 0.017619;
rise_capacitance : 0.017673;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.1334713, 0.2267727, 0.3200742, 0.3385628, 0.3563119, 0.3748005, 0.3932890");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.4547570, 0.4933850, 0.5320130, 0.5346143, 0.5371116, 0.5397129, 0.5423142");
}
}
ccsn_first_stage () {
is_needed : "true";
stage_type : "both";
is_inverting : "true";
miller_cap_fall : "0.000690271";
miller_cap_rise : "0.000443326";
dc_current ("ccsn_dc") {
index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
values("3.17667, 0.806351, 0.736681, 0.705661, 0.668864, 0.648339, 0.626428, 0.603142, 0.578485, 0.55246, 0.52507, 0.496317, 0.466202, 0.434731, 0.401904, 0.367727, 0.332201, 0.295332, 0.257124, 0.21758, 0.176705, 0.134505, 0.0909836, 0.0461468, -8.48741e-09, -0.0905978, -0.176134, -0.444347, -2.17689", \
"3.09151, 0.62361, 0.579768, 0.564422, 0.547305, 0.537807, 0.527451, 0.515976, 0.503026, 0.488185, 0.471122, 0.45175, 0.430119, 0.406273, 0.380229, 0.351992, 0.321568, 0.288958, 0.254169, 0.217204, 0.178069, 0.136773, 0.0933236, 0.0477291, -1.75804e-09, -0.0946115, -0.184177, -0.46064, -2.18146", \
"3.0232, 0.469605, 0.425632, 0.415073, 0.403841, 0.397894, 0.391665, 0.385091, 0.378083, 0.370519, 0.362219, 0.352913, 0.342191, 0.329476, 0.314174, 0.295986, 0.274862, 0.250801, 0.223798, 0.193849, 0.160955, 0.125116, 0.0863389, 0.0446301, -1.76872e-09, -0.0903012, -0.177366, -0.450171, -2.17986", \
"3.00446, 0.435081, 0.365932, 0.356681, 0.346956, 0.341865, 0.336582, 0.331069, 0.325271, 0.319118, 0.312507, 0.305291, 0.297244, 0.288008, 0.277032, 0.263608, 0.247205, 0.227634, 0.204848, 0.178828, 0.149561, 0.117043, 0.0812742, 0.0422574, -1.77742e-09, -0.0866493, -0.171316, -0.440229, -2.17839", \
"2.98563, 0.429736, 0.303313, 0.295292, 0.286953, 0.282631, 0.278183, 0.273586, 0.268808, 0.263807, 0.258528, 0.25289, 0.246778, 0.240011, 0.2323, 0.223163, 0.211862, 0.197622, 0.180024, 0.158931, 0.134294, 0.106091, 0.07431, 0.038946, -1.79125e-09, -0.081451, -0.16265, -0.425772, -2.17628", \
"2.9759, 0.431061, 0.27133, 0.263899, 0.256219, 0.252258, 0.248198, 0.24402, 0.2397, 0.235207, 0.230501, 0.225522, 0.220189, 0.214377, 0.207889, 0.200396, 0.19133, 0.179846, 0.165165, 0.146926, 0.125014, 0.0993818, 0.0700088, 0.0368829, -1.83283e-09, -0.0781812, -0.157187, -0.416562, -2.17495", \
"2.96609, 0.432713, 0.239298, 0.232348, 0.225311, 0.221699, 0.21801, 0.21423, 0.210342, 0.206323, 0.202143, 0.197759, 0.193115, 0.188124, 0.182656, 0.176495, 0.169265, 0.160289, 0.148605, 0.133456, 0.114545, 0.0917757, 0.0651078, 0.0345198, -2.65972e-09, -0.074417, -0.150893, -0.405871, -2.17342", \
"2.95633, 0.434657, 0.208617, 0.200931, 0.194524, 0.191251, 0.187921, 0.184523, 0.181046, 0.177471, 0.173778, 0.169938, 0.16591, 0.161637, 0.157033, 0.15196, 0.146185, 0.139271, 0.1304, 0.118487, 0.10284, 0.083228, 0.0595728, 0.0318373, -2.24796e-08, -0.0701257, -0.143714, -0.393581, -2.17168", \
"2.94677, 0.437247, 0.184165, 0.170024, 0.164212, 0.161271, 0.158289, 0.155261, 0.152175, 0.149022, 0.145785, 0.142446, 0.138976, 0.135339, 0.131478, 0.127309, 0.122692, 0.117372, 0.110833, 0.102062, 0.0898803, 0.0737093, 0.0533773, 0.0288193, -4.99124e-07, -0.0652791, -0.135602, -0.379583, -2.1697", \
"2.93757, 0.440764, 0.17106, 0.140435, 0.134793, 0.132178, 0.129537, 0.126866, 0.124159, 0.121407, 0.1186, 0.115726, 0.112767, 0.109699, 0.106488, 0.103082, 0.0994006, 0.0953034, 0.0905152, 0.084404, 0.0756962, 0.0632068, 0.0464988, 0.0254453, -1.15911e-05, -0.0598664, -0.12653, -0.363806, -2.1675", \
"2.92893, 0.445471, 0.167107, 0.11519, 0.106743, 0.104439, 0.102125, 0.0997949, 0.0974445, 0.0950689, 0.0926619, 0.0902154, 0.0877187, 0.0851573, 0.0825111, 0.0797507, 0.0768314, 0.0736793, 0.0701591, 0.0659717, 0.0603013, 0.0515864, 0.0387607, 0.0215203, -0.000234099, -0.0540938, -0.116712, -0.346511, -2.1653", \
"2.92106, 0.452056, 0.16862, 0.100281, 0.0805252, 0.0783345, 0.0762285, 0.074119, 0.0720017, 0.0698735, 0.0677308, 0.0655692, 0.0633828, 0.0611637, 0.0589011, 0.0565796, 0.0541762, 0.0516548, 0.0489534, 0.045948, 0.0423083, 0.0369406, 0.0280584, 0.014815, -0.00299607, -0.0504734, -0.108847, -0.331034, -2.16576", \
"2.9142, 0.460903, 0.17405, 0.0958259, 0.0564675, 0.0527784, 0.0502943, 0.0478684, 0.0454533, 0.0430402, 0.0406251, 0.0382055, 0.0357782, 0.0333397, 0.030885, 0.0284072, 0.0258963, 0.0233367, 0.0207034, 0.0179504, 0.0149746, 0.0114108, 0.00582124, -0.00365557, -0.0176297, -0.0589501, -0.113518, -0.329949, -2.17869", \
"2.90861, 0.472553, 0.183011, 0.0973695, 0.0354394, 0.0242305, 0.0197681, 0.0161951, 0.0127951, 0.0094625, 0.0061657, 0.00289147, -0.000367098, -0.00361442, -0.00685401, -0.0100893, -0.0133246, -0.0165654, -0.0198205, -0.0231044, -0.0264447, -0.0299149, -0.0338981, -0.0401593, -0.0504858, -0.0857146, -0.136771, -0.349126, -2.20765", \
"2.90456, 0.487584, 0.195584, 0.102552, 0.0189926, -0.00523989, -0.0156267, -0.0212048, -0.0258427, -0.030168, -0.034342, -0.0384255, -0.0424474, -0.046423, -0.0503618, -0.0542697, -0.0581512, -0.0620101, -0.0658502, -0.0696764, -0.0734958, -0.0773222, -0.0811983, -0.0855086, -0.092277, -0.12043, -0.167088, -0.374053, -2.24", \
"2.90235, 0.506627, 0.21208, 0.110821, 0.00787194, -0.029515, -0.0498051, -0.0588896, -0.0650045, -0.0702524, -0.0751216, -0.0797815, -0.0843079, -0.0887402, -0.0931016, -0.0974066, -0.101665, -0.105884, -0.11007, -0.114227, -0.11836, -0.122475, -0.126581, -0.130704, -0.135332, -0.155747, -0.196859, -0.396496, -2.26926", \
"2.90228, 0.530337, 0.232787, 0.122241, 0.00214285, -0.0468274, -0.0782837, -0.0930419, -0.101191, -0.107486, -0.113046, -0.118231, -0.123191, -0.128004, -0.132714, -0.137347, -0.141922, -0.146451, -0.150944, -0.155409, -0.159852, -0.164279, -0.168697, -0.173115, -0.177578, -0.191436, -0.225833, -0.416517, -2.29573", \
"2.90454, 0.558677, 0.256779, 0.135843, 0.000388142, -0.0586469, -0.100847, -0.12343, -0.134588, -0.14231, -0.148772, -0.154626, -0.160134, -0.165423, -0.170563, -0.175596, -0.180549, -0.185443, -0.190289, -0.195098, -0.19988, -0.20464, -0.209389, -0.214132, -0.218883, -0.229538, -0.255542, -0.435383, -2.32047", \
"2.9085, 0.589555, 0.281313, 0.149293, 6.6461e-05, -0.0677375, -0.119683, -0.151452, -0.166829, -0.176411, -0.183968, -0.190596, -0.196712, -0.202512, -0.208099, -0.213535, -0.21886, -0.2241, -0.229274, -0.234397, -0.239479, -0.24453, -0.249561, -0.25458, -0.259598, -0.269839, -0.287573, -0.454025, -2.34415", \
"2.91305, 0.62078, 0.304531, 0.161441, 1.20676e-05, -0.0754008, -0.135962, -0.177283, -0.198234, -0.210167, -0.218996, -0.22647, -0.23322, -0.239532, -0.245555, -0.251373, -0.257043, -0.262599, -0.268067, -0.273465, -0.278808, -0.284109, -0.289379, -0.294629, -0.299871, -0.310412, -0.323183, -0.473071, -2.36714", \
"2.91771, 0.651637, 0.325828, 0.172192, 2.15657e-06, -0.0820091, -0.150124, -0.200588, -0.228472, -0.243401, -0.253733, -0.262144, -0.269566, -0.276401, -0.282856, -0.289046, -0.295043, -0.300895, -0.306633, -0.312282, -0.31786, -0.323382, -0.328862, -0.334313, -0.339749, -0.350639, -0.362016, -0.492972, -2.38969", \
"2.92239, 0.681952, 0.344997, 0.181665, 3.23885e-07, -0.0877377, -0.162464, -0.221219, -0.257102, -0.275868, -0.28801, -0.297484, -0.305634, -0.31302, -0.319916, -0.326476, -0.332795, -0.338931, -0.344927, -0.350811, -0.356607, -0.362333, -0.368004, -0.373636, -0.379245, -0.390457, -0.401845, -0.514087, -2.41192", \
"2.92705, 0.711663, 0.362016, 0.19, 3.16211e-08, -0.0927127, -0.173223, -0.239282, -0.283685, -0.307309, -0.321672, -0.332377, -0.341333, -0.349307, -0.356664, -0.363604, -0.370245, -0.376663, -0.38291, -0.389022, -0.395027, -0.400945, -0.406796, -0.412596, -0.418363, -0.429871, -0.441488, -0.536724, -2.43393", \
"2.93172, 0.740739, 0.376994, 0.197326, 4.63192e-09, -0.0970366, -0.182609, -0.255025, -0.307847, -0.337447, -0.354567, -0.366722, -0.376586, -0.385201, -0.393048, -0.400382, -0.407354, -0.414057, -0.420555, -0.426892, -0.4331, -0.439205, -0.445228, -0.451189, -0.457106, -0.468888, -0.480748, -0.561163, -2.4558", \
"2.93637, 0.769158, 0.390113, 0.203755, 4.01097e-09, -0.100795, -0.1908, -0.268735, -0.32937, -0.365974, -0.386536, -0.400423, -0.411326, -0.42065, -0.429024, -0.436776, -0.444091, -0.451087, -0.457839, -0.464401, -0.470812, -0.477101, -0.483293, -0.48941, -0.495471, -0.507515, -0.519608, -0.587666, -2.47757", \
"2.94565, 0.823944, 0.411596, 0.21432, 6.45923e-09, -0.106898, -0.204181, -0.291091, -0.364641, -0.416871, -0.446947, -0.465494, -0.479012, -0.490029, -0.499616, -0.508296, -0.516358, -0.523976, -0.531261, -0.538289, -0.545113, -0.551774, -0.558303, -0.564727, -0.571071, -0.583616, -0.596145, -0.647706, -2.52099", \
"2.95489, 0.875741, 0.427951, 0.222363, 1.05151e-08, -0.111486, -0.214341, -0.308086, -0.391106, -0.45784, -0.501087, -0.52665, -0.543852, -0.55709, -0.568181, -0.577966, -0.586888, -0.595204, -0.603074, -0.610604, -0.617867, -0.624916, -0.631793, -0.638531, -0.64516, -0.658201, -0.671145, -0.716458, -2.56437", \
"2.98222, 1.00613, 0.456252, 0.235998, 3.60693e-08, -0.119161, -0.231839, -0.337866, -0.436912, -0.528072, -0.608474, -0.67132, -0.712786, -0.739573, -0.758744, -0.773867, -0.786618, -0.797852, -0.808045, -0.817489, -0.826369, -0.834812, -0.842909, -0.850726, -0.858317, -0.872986, -0.887228, -0.931411, -2.69219", \
"3.02218, 1.08833, 0.465889, 0.239644, 1.4232e-07, -0.121311, -0.238205, -0.350625, -0.458506, -0.561756, -0.660208, -0.753487, -0.840616, -0.919014, -0.983566, -1.03073, -1.06381, -1.0882, -1.10748, -1.12359, -1.1376, -1.15015, -1.16163, -1.1723, -1.18234, -1.20099, -1.21828, -1.26668, -2.88817");
}
output_voltage_rise () {
vector ("ccsn_ovrf") {
index_1("0.01");
index_2("0.001");
index_3("0.0228926, 0.0436072, 0.0672519, 0.0940876, 0.1346420");
values("0.195, 0.585, 0.975, 1.365, 1.755");
}
vector ("ccsn_ovrf") {
index_1("0.1");
index_2("0.001");
index_3("0.0856721, 0.1097166, 0.1334942, 0.1604934, 0.2009303");
values("0.195, 0.585, 0.975, 1.365, 1.755");
}
}
output_voltage_fall () {
vector ("ccsn_ovrf") {
index_1("0.01");
index_2("0.001");
index_3("0.0161621, 0.0273083, 0.0396062, 0.0541574, 0.0730294");
values("1.755, 1.365, 0.975, 0.585, 0.195");
}
vector ("ccsn_ovrf") {
index_1("0.1");
index_2("0.001");
index_3("0.0688558, 0.0870830, 0.1014405, 0.1156623, 0.1334311");
values("1.755, 1.365, 0.975, 0.585, 0.195");
}
}
propagated_noise_low () {
vector ("ccsn_pnlh") {
index_1("1.17374");
index_2("2.14922");
index_3("0.001");
index_4("1.0481231, 1.1046750, 1.1834837, 1.2757261, 1.3296216");
values("0.270624, 0.432999, 0.541249, 0.432999, 0.270624");
}
vector ("ccsn_pnlh") {
index_1("1.28818");
index_2("1.25604");
index_3("0.001");
index_4("0.6140751, 0.6614192, 0.7344527, 0.8109714, 0.8563699");
values("0.375419, 0.60067, 0.750838, 0.60067, 0.375419");
}
vector ("ccsn_pnlh") {
index_1("1.39294");
index_2("0.849788");
index_3("0.001");
index_4("0.4160569, 0.4573707, 0.5156382, 0.5868092, 0.6250592");
values("0.426098, 0.681757, 0.852196, 0.681757, 0.426098");
}
vector ("ccsn_pnlh") {
index_1("1.17374");
index_2("1.07461");
index_3("0.001");
index_4("0.5272347, 0.5580942, 0.6083196, 0.6523436, 0.6847180");
values("0.162721, 0.260354, 0.325442, 0.260354, 0.162721");
}
vector ("ccsn_pnlh") {
index_1("1.28818");
index_2("0.628018");
index_3("0.001");
index_4("0.3109012, 0.3366089, 0.3771298, 0.4171515, 0.4422684");
values("0.213747, 0.341995, 0.427493, 0.341995, 0.213747");
}
vector ("ccsn_pnlh") {
index_1("1.39294");
index_2("0.424894");
index_3("0.001");
index_4("0.2120493, 0.2340893, 0.2657118, 0.3012436, 0.3226189");
values("0.236323, 0.378116, 0.472645, 0.378116, 0.236323");
}
vector ("ccsn_pnlh") {
index_1("1.28818");
index_2("1.88405");
index_3("0.001");
index_4("0.9125662, 0.9819434, 1.1026785, 1.1946731, 1.2599962");
values("0.501606, 0.80257, 1.00321, 0.80257, 0.501606");
}
}
propagated_noise_high () {
vector ("ccsn_pnlh") {
index_1("0.990438");
index_2("1.23247");
index_3("0.001");
index_4("0.6012331, 0.6417130, 0.7195259, 0.7972144, 0.8555834");
values("1.59766, 1.38626, 1.24532, 1.38626, 1.59766");
}
vector ("ccsn_pnlh") {
index_1("1.10322");
index_2("0.662314");
index_3("0.001");
index_4("0.3240325, 0.3545086, 0.4202195, 0.4780190, 0.5248205");
values("1.52773, 1.27437, 1.10546, 1.27437, 1.52773");
}
vector ("ccsn_pnlh") {
index_1("1.21865");
index_2("0.419748");
index_3("0.001");
index_4("0.2066224, 0.2311568, 0.2695752, 0.3314323, 0.3687501");
values("1.49511, 1.22217, 1.04021, 1.22217, 1.49511");
}
vector ("ccsn_pnlh") {
index_1("0.990438");
index_2("0.616235");
index_3("0.001");
index_4("0.3048623, 0.3267665, 0.3730316, 0.4132045, 0.4516120");
values("1.74427, 1.62083, 1.53853, 1.62083, 1.74427");
}
vector ("ccsn_pnlh") {
index_1("1.10322");
index_2("0.331157");
index_3("0.001");
index_4("0.1663651, 0.1825138, 0.2080356, 0.2479897, 0.2796140");
values("1.71306, 1.5709, 1.47612, 1.5709, 1.71306");
}
vector ("ccsn_pnlh") {
index_1("1.21865");
index_2("0.209874");
index_3("0.001");
index_4("0.1072298, 0.1207174, 0.1407527, 0.1726917, 0.1987990");
values("1.69912, 1.5486, 1.44825, 1.5486, 1.69912");
}
vector ("ccsn_pnlh") {
index_1("1.10322");
index_2("0.993471");
index_3("0.001");
index_4("0.4796566, 0.5237412, 0.6158307, 0.7009702, 0.7656274");
values("1.39278, 1.05845, 0.835563, 1.05845, 1.39278");
}
}
}
}
pin ("IB_MODE_SEL") {
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCD";
related_ground_pin : "VSSD";
always_on : false;
rise_capacitance : 0.005610;
capacitance : 0.005465;
fall_capacitance : 0.005320;
max_transition : 1.500000;
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_setup_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.1139954, -0.0309289, -0.0028709, 0.0053507, 0.0138398, 0.0057155, 0.0228615", \
"-0.1738406, -0.0937966, -0.0562932, -0.0306012, -0.0231941, -0.0148575, -0.0184633", \
"-0.1522355, -0.0990845, -0.0650384, -0.0577528, -0.0302107, -0.0232318, -0.0210801", \
"-0.1615390, -0.0887102, -0.0764602, -0.0506685, -0.0411523, -0.0115293, -0.0015841", \
"-0.1389596, -0.0569754, -0.0358361, -0.0359728, -0.0055338, -0.0031240, -0.0066351", \
"-0.1110925, -0.0291083, -0.0015148, 0.0230415, -0.0127013, 0.0181844, 0.0236717", \
"-0.0778300, 0.0209392, 0.0286960, 0.0507288, -0.0032750, 0.0400010, 0.0460708");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.1307486, 0.0056922, 0.1114818, 0.1969341, 0.2670591, 0.3209423, 0.3734768", \
"-0.1890680, -0.0570340, 0.0471262, 0.1430570, 0.2214431, 0.2983273, 0.3675841", \
"-0.1766179, -0.0675504, 0.0380752, 0.1396712, 0.2211503, 0.3054250, 0.3642760", \
"-0.1798182, -0.0556502, 0.0597638, 0.1439433, 0.2444258, 0.3145321, 0.3732930", \
"-0.1602905, -0.0254413, 0.0737564, 0.1622195, 0.2486947, 0.3347342, 0.3849479", \
"-0.1278457, 0.0024258, 0.1032397, 0.1890698, 0.2610602, 0.3595496, 0.4257546", \
"-0.0976349, 0.0509472, 0.1183072, 0.2277540, 0.2871952, 0.3989156, 0.4376548");
}
}
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_hold_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.3056778, 0.2333136, 0.1960790, 0.1695468, 0.1590912, 0.1569751, 0.1624864", \
"0.3715939, 0.2802476, 0.2574720, 0.2345677, 0.2233961, 0.2229457, 0.2208276", \
"0.3774290, 0.2791041, 0.2631741, 0.2274867, 0.2226920, 0.2065046, 0.2156806", \
"0.3547478, 0.2842688, 0.2579103, 0.2125347, 0.2081826, 0.1969112, 0.2131036", \
"0.3352201, 0.2616408, 0.2224356, 0.1960588, 0.2011869, 0.1773835, 0.1798430", \
"0.3226118, 0.2320690, 0.2044460, 0.1852560, 0.1347522, 0.1519571, 0.1489241", \
"0.2878233, 0.2063855, 0.1600055, 0.1581125, 0.1351546, 0.1411014, 0.1376422");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.1500057, 0.0135644, -0.0950405, -0.1846791, -0.2574487, -0.3216326, -0.3670861", \
"0.2052693, 0.0717532, -0.0345619, -0.1258801, -0.2113395, -0.2879919, -0.3544288", \
"0.2140232, 0.0838886, -0.0304745, -0.1201060, -0.2086993, -0.2859856, -0.3539795", \
"0.1990713, 0.0689366, -0.0468665, -0.1338398, -0.2271759, -0.2946528, -0.3661689", \
"0.1795436, 0.0417795, -0.0665462, -0.1455702, -0.2370653, -0.3215789, -0.3766312", \
"0.1455729, 0.0108606, -0.0690693, -0.1764253, -0.2517951, -0.3402908, -0.4141252", \
"0.1184139, -0.0346090, -0.1019418, -0.2119875, -0.2603963, -0.3537169, -0.4199219");
}
}
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0509861, 0.0504034, 0.0498208, 0.0501465, 0.0504593, 0.0507850, 0.0511108");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0612016, 0.0602466, 0.0592917, 0.0598489, 0.0603839, 0.0609411, 0.0614984");
}
}
timing() { /* This is for power up */
related_pin : "HLD_H_N";
timing_type : non_seq_setup_rising;
sdf_cond : "ENABLE_H==1'b1";
when : "( ENABLE_H )";
rise_constraint (scalar) {
values ("5.0");
}
fall_constraint (scalar) {
values ("5.0");
}
}
ccsn_first_stage () {
is_needed : "true";
stage_type : "both";
is_inverting : "true";
miller_cap_fall : "0.00105361";
miller_cap_rise : "0.000927197";
dc_current ("ccsn_dc") {
index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
values("4.45141, 0.663676, 0.580556, 0.559376, 0.533446, 0.518643, 0.502601, 0.485317, 0.466786, 0.447006, 0.425976, 0.403695, 0.380162, 0.355376, 0.329336, 0.302043, 0.273497, 0.243697, 0.212643, 0.180335, 0.146774, 0.111959, 0.0758918, 0.0385719, -3.94795e-09, -0.0766062, -0.150153, -0.391706, -3.01796", \
"4.32148, 0.487919, 0.403175, 0.396055, 0.387559, 0.382563, 0.376868, 0.370253, 0.362447, 0.353181, 0.342271, 0.329619, 0.315181, 0.298937, 0.280877, 0.260997, 0.239293, 0.215765, 0.190411, 0.163233, 0.13423, 0.103404, 0.0707561, 0.0362875, -1.88058e-09, -0.0733136, -0.144805, -0.386361, -3.01808", \
"4.24239, 0.375445, 0.265369, 0.261462, 0.257126, 0.254748, 0.252191, 0.249413, 0.246359, 0.242947, 0.239057, 0.234498, 0.228968, 0.222024, 0.213209, 0.202252, 0.189038, 0.173527, 0.155703, 0.135558, 0.11309, 0.0882995, 0.0611863, 0.0317523, -1.88853e-09, -0.0659976, -0.1323, -0.367502, -3.01479", \
"4.22369, 0.363232, 0.217487, 0.214325, 0.210878, 0.209017, 0.207044, 0.204934, 0.202657, 0.200171, 0.197418, 0.19431, 0.190708, 0.186377, 0.180911, 0.173732, 0.164365, 0.152593, 0.138341, 0.121582, 0.102306, 0.0805098, 0.0561925, 0.0293552, -1.89282e-09, -0.0620765, -0.12558, -0.35736, -3.013", \
"4.20752, 0.36777, 0.170038, 0.167524, 0.164831, 0.163398, 0.161895, 0.16031, 0.158626, 0.156822, 0.154869, 0.152726, 0.150333, 0.147597, 0.144356, 0.140305, 0.134865, 0.127323, 0.11726, 0.10453, 0.0890867, 0.0709157, 0.0500113, 0.0263724, -1.89843e-09, -0.0571793, -0.11719, -0.34483, -3.01077", \
"4.20042, 0.37484, 0.146929, 0.144639, 0.142284, 0.141039, 0.13974, 0.138379, 0.136942, 0.135416, 0.13378, 0.132006, 0.130054, 0.127866, 0.125345, 0.122317, 0.118422, 0.112959, 0.105177, 0.0947103, 0.0814462, 0.065351, 0.0464134, 0.0246299, -1.90995e-09, -0.054313, -0.112283, -0.337588, -3.00947", \
"4.19406, 0.385036, 0.125169, 0.122354, 0.120314, 0.119244, 0.118133, 0.116974, 0.11576, 0.114479, 0.113119, 0.11166, 0.110076, 0.10833, 0.106363, 0.104079, 0.101286, 0.0975486, 0.092027, 0.0839636, 0.0730575, 0.0592256, 0.0424432, 0.0227021, -2.17721e-09, -0.0511388, -0.106852, -0.329656, -3.00802", \
"4.18851, 0.398448, 0.108344, 0.100907, 0.0991545, 0.098246, 0.0973075, 0.0963344, 0.0953209, 0.0942597, 0.0931416, 0.0919541, 0.0906805, 0.0892971, 0.0877689, 0.0860406, 0.0840143, 0.0814796, 0.0778926, 0.0722674, 0.0638822, 0.0525046, 0.038075, 0.0205755, -1.04491e-08, -0.047634, -0.100859, -0.321013, -3.00643", \
"4.18392, 0.415214, 0.101803, 0.0807107, 0.0790695, 0.0783122, 0.0775336, 0.0767305, 0.0758992, 0.0750347, 0.074131, 0.07318, 0.0721711, 0.0710899, 0.0699154, 0.0686164, 0.0671415, 0.0653938, 0.0631357, 0.0596791, 0.0539011, 0.0451596, 0.0332854, 0.0182365, -2.65781e-07, -0.0437761, -0.0942653, -0.311645, -3.00468", \
"4.18043, 0.43548, 0.105298, 0.0633164, 0.0603621, 0.0597446, 0.0591142, 0.0584674, 0.0578018, 0.0571142, 0.0564008, 0.0556567, 0.0548754, 0.0540483, 0.0531633, 0.0522031, 0.0511408, 0.0499307, 0.0484789, 0.0465108, 0.0431488, 0.0371715, 0.0280496, 0.0156664, -7.55301e-06, -0.0395502, -0.0870415, -0.301558, -3.00276", \
"4.1782, 0.459399, 0.11598, 0.0536802, 0.0433726, 0.042849, 0.0423499, 0.0418416, 0.0413219, 0.0407888, 0.0402399, 0.0396724, 0.0390826, 0.0384657, 0.037815, 0.0371213, 0.0363712, 0.0355431, 0.0345986, 0.0334495, 0.0317707, 0.0284508, 0.0222357, 0.0127255, -0.000157356, -0.0350808, -0.0793061, -0.290934, -3.00085", \
"4.1775, 0.487142, 0.13198, 0.0550461, 0.0284701, 0.0275809, 0.0271388, 0.0267067, 0.0262721, 0.0258316, 0.0253833, 0.0249254, 0.0244558, 0.0239718, 0.0234702, 0.0229465, 0.0223942, 0.021804, 0.0211602, 0.0204337, 0.019545, 0.018104, 0.0147342, 0.00823687, -0.00166279, -0.0316362, -0.0723861, -0.281264, -3.00038", \
"4.1786, 0.518931, 0.152326, 0.0641716, 0.016129, 0.0118632, 0.0110412, 0.0104609, 0.00992663, 0.00940883, 0.00889762, 0.00838819, 0.00787757, 0.00736341, 0.00684356, 0.00631565, 0.00577677, 0.00522302, 0.00464861, 0.00404402, 0.00338992, 0.00262124, 0.00127085, -0.0022959, -0.00912542, -0.0339398, -0.0711306, -0.277438, -3.00589", \
"4.18192, 0.553983, 0.176614, 0.0776021, 0.00711093, -0.00708086, -0.0108005, -0.0121651, -0.0131394, -0.0139817, -0.0147606, -0.0155032, -0.0162231, -0.0169281, -0.0176232, -0.0183119, -0.0189971, -0.0196812, -0.020367, -0.0210577, -0.0217585, -0.0224796, -0.0232677, -0.0246779, -0.0285103, -0.0478538, -0.081283, -0.284373, -3.02129", \
"4.18798, 0.594886, 0.204699, 0.0939334, 0.00210085, -0.0249059, -0.0366919, -0.0404465, -0.0423997, -0.0438444, -0.0450688, -0.0461736, -0.0472041, -0.0481846, -0.0491294, -0.0500477, -0.0509458, -0.051828, -0.0526981, -0.0535588, -0.0544131, -0.055264, -0.0561171, -0.057009, -0.0586759, -0.0721515, -0.101376, -0.299987, -3.04419", \
"4.19664, 0.64, 0.235372, 0.111948, 0.00041927, -0.0390115, -0.061888, -0.0708994, -0.074699, -0.0771025, -0.0789672, -0.0805591, -0.0819891, -0.083313, -0.0845625, -0.0857571, -0.0869099, -0.0880297, -0.0891233, -0.0901956, -0.0912508, -0.0922928, -0.0933253, -0.0943534, -0.0954823, -0.103319, -0.12771, -0.320611, -3.07121", \
"4.20664, 0.687076, 0.266064, 0.129595, 6.9603e-05, -0.0507256, -0.0849881, -0.102215, -0.109165, -0.112984, -0.115705, -0.117908, -0.119817, -0.12154, -0.123135, -0.124637, -0.126069, -0.127446, -0.12878, -0.130078, -0.131348, -0.132595, -0.133825, -0.135042, -0.136263, -0.14039, -0.158721, -0.344421, -3.10062", \
"4.21715, 0.734579, 0.295146, 0.145863, 8.79657e-06, -0.061029, -0.105956, -0.133108, -0.144958, -0.150795, -0.154617, -0.157554, -0.160013, -0.162177, -0.164143, -0.165969, -0.167689, -0.169327, -0.170902, -0.172424, -0.173904, -0.17535, -0.176769, -0.178168, -0.179555, -0.182603, -0.194089, -0.370564, -3.13153", \
"4.22797, 0.781841, 0.321982, 0.1606, 5.99931e-07, -0.0702135, -0.12487, -0.162273, -0.180958, -0.189628, -0.194861, -0.198675, -0.201759, -0.204408, -0.206772, -0.208935, -0.210951, -0.212854, -0.214668, -0.21641, -0.218095, -0.219732, -0.221332, -0.222903, -0.224454, -0.227569, -0.233863, -0.398551, -3.16341", \
"4.23905, 0.828418, 0.346356, 0.173867, 2.55141e-08, -0.0784062, -0.141856, -0.188986, -0.216109, -0.228702, -0.23575, -0.240619, -0.244419, -0.247605, -0.250396, -0.252916, -0.255238, -0.25741, -0.259466, -0.261427, -0.263314, -0.265138, -0.266912, -0.268648, -0.270355, -0.273731, -0.277815, -0.42808, -3.19594", \
"4.2504, 0.87392, 0.368283, 0.185765, 2.56723e-09, -0.0857, -0.157059, -0.21307, -0.249484, -0.267345, -0.276749, -0.282898, -0.287528, -0.291312, -0.294569, -0.297468, -0.300112, -0.302562, -0.304864, -0.307048, -0.309135, -0.311145, -0.313091, -0.314987, -0.316846, -0.320499, -0.324265, -0.458972, -3.22886", \
"4.26204, 0.917998, 0.387897, 0.196404, 1.8055e-09, -0.0921786, -0.170629, -0.234629, -0.280365, -0.304945, -0.317423, -0.325147, -0.330744, -0.335204, -0.338971, -0.342279, -0.345262, -0.348004, -0.35056, -0.35297, -0.355262, -0.357458, -0.359575, -0.361631, -0.363637, -0.367564, -0.371488, -0.491142, -3.26204", \
"4.27397, 0.960366, 0.405383, 0.205888, 1.78442e-09, -0.0979196, -0.182711, -0.253859, -0.308348, -0.340898, -0.357385, -0.367066, -0.373808, -0.379037, -0.38337, -0.387122, -0.390467, -0.393515, -0.396336, -0.39898, -0.401481, -0.403866, -0.406156, -0.408371, -0.410526, -0.41472, -0.418875, -0.524584, -3.29538", \
"4.28619, 1.00082, 0.420931, 0.214314, 1.79611e-09, -0.102995, -0.193441, -0.270975, -0.333359, -0.374616, -0.396252, -0.408398, -0.416511, -0.422624, -0.427591, -0.431827, -0.435563, -0.438936, -0.442035, -0.444922, -0.447639, -0.450218, -0.452684, -0.45506, -0.457363, -0.461825, -0.466216, -0.559378, -3.3288", \
"4.2987, 1.03923, 0.434722, 0.221775, 1.82122e-09, -0.10747, -0.202949, -0.286178, -0.355553, -0.405585, -0.43362, -0.448896, -0.45867, -0.465813, -0.471494, -0.476266, -0.480425, -0.484146, -0.48754, -0.490681, -0.493622, -0.496402, -0.499049, -0.501589, -0.504043, -0.508772, -0.513398, -0.595707, -3.36227", \
"4.32448, 1.10945, 0.457673, 0.234142, 1.92566e-09, -0.114852, -0.218754, -0.311575, -0.392515, -0.458222, -0.502055, -0.526326, -0.540681, -0.550483, -0.557916, -0.563952, -0.569083, -0.573586, -0.577629, -0.581325, -0.584748, -0.587953, -0.590981, -0.593864, -0.59663, -0.601906, -0.606999, -0.673652, -3.42934", \
"4.34378, 1.16961, 0.475364, 0.243599, 2.13506e-09, -0.120478, -0.230945, -0.331339, -0.421258, -0.498999, -0.559213, -0.596854, -0.618379, -0.632061, -0.641875, -0.649537, -0.655865, -0.6613, -0.666099, -0.670426, -0.674389, -0.678064, -0.681508, -0.684761, -0.68786, -0.693712, -0.699284, -0.756385, -3.49658", \
"4.37739, 1.27358, 0.505328, 0.25926, 3.874e-09, -0.129867, -0.251994, -0.366387, -0.473002, -0.571636, -0.661585, -0.74057, -0.803048, -0.844731, -0.87066, -0.887946, -0.900586, -0.91051, -0.918703, -0.925715, -0.931877, -0.937401, -0.94243, -0.947067, -0.951385, -0.959287, -0.966499, -1.00612, -3.69295", \
"4.37852, 1.30004, 0.510631, 0.261293, 1.35382e-08, -0.131725, -0.258187, -0.379401, -0.495383, -0.606142, -0.711668, -0.811908, -0.906699, -0.995599, -1.07742, -1.14924, -1.20641, -1.24712, -1.27525, -1.29557, -1.31114, -1.32369, -1.3342, -1.34327, -1.35126, -1.36496, -1.37654, -1.40956, -3.99419");
}
output_voltage_rise () {
vector ("ccsn_ovrf") {
index_1("0.01");
index_2("0.001");
index_3("0.0390268, 0.0744387, 0.1158425, 0.1638199, 0.2406579");
values("0.195, 0.585, 0.975, 1.365, 1.755");
}
vector ("ccsn_ovrf") {
index_1("0.1");
index_2("0.001");
index_3("0.1057621, 0.1409824, 0.1827031, 0.2309465, 0.3076041");
values("0.195, 0.585, 0.975, 1.365, 1.755");
}
}
output_voltage_fall () {
vector ("ccsn_ovrf") {
index_1("0.01");
index_2("0.001");
index_3("0.0192064, 0.0317233, 0.0447680, 0.0589268, 0.0774501");
values("1.755, 1.365, 0.975, 0.585, 0.195");
}
vector ("ccsn_ovrf") {
index_1("0.1");
index_2("0.001");
index_3("0.0760389, 0.0943573, 0.1082363, 0.1224014, 0.1402771");
values("1.755, 1.365, 0.975, 0.585, 0.195");
}
}
propagated_noise_low () {
vector ("ccsn_pnlh") {
index_1("1.29692");
index_2("4.74746");
index_3("0.001");
index_4("2.3451883, 2.4703095, 2.6937046, 2.8596870, 2.9651851");
values("0.381941, 0.611105, 0.763881, 0.611105, 0.381941");
}
vector ("ccsn_pnlh") {
index_1("1.39987");
index_2("2.73577");
index_3("0.001");
index_4("1.3511926, 1.4575654, 1.6172485, 1.7740496, 1.8553276");
values("0.458705, 0.733928, 0.917409, 0.733928, 0.458705");
}
vector ("ccsn_pnlh") {
index_1("1.49153");
index_2("1.84396");
index_3("0.001");
index_4("0.9103644, 0.9970951, 1.1578431, 1.2618087, 1.3263384");
values("0.486621, 0.778593, 0.973241, 0.778593, 0.486621");
}
vector ("ccsn_pnlh") {
index_1("1.29692");
index_2("2.37373");
index_3("0.001");
index_4("1.1827553, 1.2466217, 1.3438088, 1.4404469, 1.4953811");
values("0.214985, 0.343976, 0.42997, 0.343976, 0.214985");
}
vector ("ccsn_pnlh") {
index_1("1.39987");
index_2("1.36789");
index_3("0.001");
index_4("0.6854897, 0.7366534, 0.8165338, 0.8931785, 0.9384387");
values("0.253692, 0.405908, 0.507385, 0.405908, 0.253692");
}
vector ("ccsn_pnlh") {
index_1("1.49153");
index_2("0.92198");
index_3("0.001");
index_4("0.4646343, 0.5077459, 0.5655864, 0.6313863, 0.6702139");
values("0.26751, 0.428016, 0.535019, 0.428016, 0.26751");
}
vector ("ccsn_pnlh") {
index_1("1.39987");
index_2("4.10366");
index_3("0.001");
index_4("2.0151981, 2.1771308, 2.4000158, 2.6394372, 2.7580227");
values("0.636213, 1.01794, 1.27243, 1.01794, 0.636213");
}
}
propagated_noise_high () {
vector ("ccsn_pnlh") {
index_1("1.08523");
index_2("1.31855");
index_3("0.001");
index_4("0.6572295, 0.7177996, 0.8426499, 0.9838303, 1.0791376");
values("1.46024, 1.16638, 0.970472, 1.16638, 1.46024");
}
vector ("ccsn_pnlh") {
index_1("1.20367");
index_2("0.747186");
index_3("0.001");
index_4("0.3752106, 0.4187244, 0.5113102, 0.6150679, 0.6842712");
values("1.43276, 1.12242, 0.915523, 1.12242, 1.43276");
}
vector ("ccsn_pnlh") {
index_1("1.31541");
index_2("0.495704");
index_3("0.001");
index_4("0.2509885, 0.2852453, 0.3432237, 0.4385687, 0.4938894");
values("1.42252, 1.10603, 0.895042, 1.10603, 1.42252");
}
vector ("ccsn_pnlh") {
index_1("1.08523");
index_2("0.659274");
index_3("0.001");
index_4("0.3339764, 0.3649225, 0.4141748, 0.5027577, 0.5659715");
values("1.69867, 1.54788, 1.44735, 1.54788, 1.69867");
}
vector ("ccsn_pnlh") {
index_1("1.20367");
index_2("0.373593");
index_3("0.001");
index_4("0.1928007, 0.2153678, 0.2532432, 0.3161886, 0.3653091");
values("1.68965, 1.53344, 1.4293, 1.53344, 1.68965");
}
vector ("ccsn_pnlh") {
index_1("1.31541");
index_2("0.247852");
index_3("0.001");
index_4("0.1298786, 0.1476266, 0.1831662, 0.2279326, 0.2663150");
values("1.6868, 1.52888, 1.4236, 1.52888, 1.6868");
}
vector ("ccsn_pnlh") {
index_1("1.20367");
index_2("1.12078");
index_3("0.001");
index_4("0.5571199, 0.6256442, 0.7484080, 0.9027555, 1.0054695");
values("1.1842, 0.724726, 0.418407, 0.724726, 1.1842");
}
}
}
}
pin ("HLD_H_N") {
min_pulse_width_high : 15.5 ;
min_pulse_width_low : 15.5 ;
input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "input";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
always_on : true;
rise_capacitance : 0.005769;
capacitance : 0.005540;
fall_capacitance : 0.005310;
max_transition : 3.750000;
timing() { /* As per CDT 150283 */
related_pin : "ENABLE_H";
timing_type : non_seq_setup_rising;
fall_constraint (scalar) {
values ("1");
}
}
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.1071929, 0.1071764, 0.1071600, 0.1070779, 0.1069991, 0.1069171, 0.1068350");
}
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.1016934, -0.1011249, -0.1005564, -0.1005259, -0.1004967, -0.1004662, -0.1004358");
}
}
/* Copied from non_seq_hold_falling arc in pin dm[2] group */
timing () {
related_pin : "DM[2]";
timing_type : "non_seq_setup_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("0.2797057, 0.3380255, 0.3453534, 0.3272498, 0.3077221, 0.2951138, 0.2603253", \
"0.2073874, 0.2547905, 0.2513539, 0.2579696, 0.2385118, 0.1755906, 0.1803924", \
"0.1686132, 0.2330458, 0.2341908, 0.2222822, 0.1966808, 0.1736407, 0.1500568", \
"0.1558140, 0.2248363, 0.2183314, 0.1967786, 0.1899552, 0.1468293, 0.1403721", \
"0.1484101, 0.2098030, 0.2139793, 0.1929238, 0.1802983, 0.1423223, 0.1229476", \
"0.1493457, 0.2076869, 0.1969892, 0.1831488, 0.1590729, 0.1342576, 0.1366462", \
"0.1594347, 0.2101464, 0.2004392, 0.1918796, 0.1661101, 0.1412947, 0.1376303");
}
}
/* Copied from non_seq_setup_falling arc in pin dm[2] group */
timing () {
related_pin : "DM[2]";
timing_type : "non_seq_hold_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("-0.1139648, -0.1738101, -0.1567826, -0.1630344, -0.1404549, -0.1125877, -0.0793252", \
"-0.0370324, -0.0970234, -0.1070228, -0.0951226, -0.0633878, -0.0370466, 0.0130007", \
"-0.0135520, -0.0632206, -0.0771028, -0.0731150, -0.0249704, 0.0015370, 0.0286960", \
"-0.0114339, -0.0529159, -0.0735835, -0.0448581, -0.0240826, 0.0003557, 0.0346973", \
"-0.0070818, -0.0335661, -0.0704956, -0.0590268, -0.0208681, -0.0024108, -0.0297926", \
"-0.0141210, -0.0373661, -0.0400165, -0.0357456, -0.0159349, -0.0007521, 0.0251892", \
"0.0017301, -0.0382997, -0.0485796, -0.0443183, -0.0251532, 0.0144875, 0.0419119");
}
}
/* Copied from non_seq_setup_falling arc in pin out group */
timing () {
related_pin : "OUT";
timing_type : "non_seq_hold_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("-0.4176429, -0.4790140, -0.4802971, -0.4621348, -0.4441330, -0.4223693, -0.3799515", \
"-0.3575159, -0.4061732, -0.4091637, -0.4078074, -0.3854591, -0.3530143, -0.3228035", \
"-0.3187278, -0.3831168, -0.3784376, -0.3543885, -0.3286425, -0.3210217, -0.2645746", \
"-0.3105062, -0.3713695, -0.3762193, -0.3537521, -0.3446467, -0.3122019, -0.2653855", \
"-0.3061541, -0.3629739, -0.3686707, -0.3582964, -0.3512454, -0.3216115, -0.2990651", \
"-0.3070897, -0.3608524, -0.3741840, -0.3654038, -0.3550826, -0.3042077, -0.3091684", \
"-0.3110751, -0.3678896, -0.3667904, -0.3540621, -0.3375862, -0.3158225, -0.3031069");
}
}
/* Copied from non_seq_hold_falling arc in pin out group */
timing () {
related_pin : "OUT";
timing_type : "non_seq_setup_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("0.5498151, 0.6111867, 0.6154633, 0.5958334, 0.5763057, 0.5652234, 0.5273830", \
"0.4836818, 0.5358417, 0.5354061, 0.5267224, 0.5104070, 0.5100001, 0.4658108", \
"0.4540796, 0.5153455, 0.5179959, 0.4999922, 0.4774370, 0.4336618, 0.4136530", \
"0.4426732, 0.5053925, 0.5082484, 0.4917706, 0.4585099, 0.4275910, 0.3943285", \
"0.4368013, 0.5042977, 0.5069481, 0.4904702, 0.4648390, 0.4369718, 0.4067611", \
"0.4362110, 0.4972970, 0.5063578, 0.4868282, 0.4749299, 0.4394333, 0.4092225", \
"0.4401964, 0.5017279, 0.5103432, 0.5060724, 0.4651824, 0.4373152, 0.4254150");
}
}
/* Copied from non_seq_hold_falling arc in pin vtrip_sel group */
timing () {
related_pin : "VTRIP_SEL";
timing_type : "non_seq_setup_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("0.3300597, 0.3944831, 0.3972333, 0.3776039, 0.3580762, 0.3439419, 0.3106794", \
"0.2623177, 0.3077261, 0.3019502, 0.3071991, 0.2860115, 0.2568277, 0.2332003", \
"0.2189672, 0.2882137, 0.2864255, 0.2730055, 0.2378269, 0.2192034, 0.1714332", \
"0.2076939, 0.2779404, 0.2677257, 0.2445781, 0.2514490, 0.1926449, 0.1671369", \
"0.1972383, 0.2565743, 0.2684244, 0.2417519, 0.2210177, 0.1882536, 0.1855978", \
"0.1951221, 0.2592497, 0.2637431, 0.2548946, 0.2572403, 0.2064446, 0.1772889", \
"0.1991075, 0.2620432, 0.2481796, 0.2527765, 0.2225676, 0.2267439, 0.1812744");
}
}
/* Copied from non_seq_setup_falling arc in pin vtrip_sel group */
timing () {
related_pin : "VTRIP_SEL";
timing_type : "non_seq_hold_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("-0.1093872, -0.1707583, -0.1537308, -0.1599826, -0.1389290, -0.1095360, -0.0777993", \
"-0.0339807, -0.0954671, -0.1085480, -0.0951219, -0.0633872, -0.0370459, 0.0130013", \
"-0.0089744, -0.0611808, -0.0771029, -0.0698102, -0.0219171, 0.0030628, 0.0317478", \
"-0.0068563, -0.0514637, -0.0721677, -0.0418385, -0.0225950, 0.0033914, 0.0380231", \
"-0.0025042, -0.0305727, -0.0387304, 0.3455607, -0.0208678, 0.0109241, 0.0339744", \
"-0.0080174, -0.0373661, -0.0384906, -0.0250645, -0.0159349, 0.0077000, 0.0534314", \
"0.0063077, -0.0367738, -0.0440019, -0.0443184, -0.0221483, 0.0279851, 0.0419139");
}
}
/* Copied from non_seq_setup_falling arc in pin ib_mode_sel group */
timing () {
related_pin : "IB_MODE_SEL";
timing_type : "non_seq_hold_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("-0.1139954, -0.1738406, -0.1522355, -0.1615390, -0.1389596, -0.1110925, -0.0778300", \
"-0.0309289, -0.0937966, -0.0990845, -0.0887102, -0.0569754, -0.0291083, 0.0209392", \
"-0.0028709, -0.0562932, -0.0650384, -0.0764602, -0.0358361, -0.0015148, 0.0286960", \
"0.0053507, -0.0306012, -0.0577528, -0.0506685, -0.0359728, 0.0230415, 0.0507288", \
"0.0138398, -0.0231941, -0.0302107, -0.0411523, -0.0055338, -0.0127013, -0.0032750", \
"0.0057155, -0.0148575, -0.0232318, -0.0115293, -0.0031240, 0.0181844, 0.0400010", \
"0.0228615, -0.0184633, -0.0210801, -0.0015841, -0.0066351, 0.0236717, 0.0460708");
}
}
/* Copied from non_seq_hold_falling arc in pin ib_mode_sel group */
timing () {
related_pin : "IB_MODE_SEL";
timing_type : "non_seq_setup_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("0.3056778, 0.3715939, 0.3774290, 0.3547478, 0.3352201, 0.3226118, 0.2878233", \
"0.2333136, 0.2802476, 0.2791041, 0.2842688, 0.2616408, 0.2320690, 0.2063855", \
"0.1960790, 0.2574720, 0.2631741, 0.2579103, 0.2224356, 0.2044460, 0.1600055", \
"0.1695468, 0.2345677, 0.2274867, 0.2125347, 0.1960588, 0.1852560, 0.1581125", \
"0.1590912, 0.2233961, 0.2226920, 0.2081826, 0.2011869, 0.1347522, 0.1351546", \
"0.1569751, 0.2229457, 0.2065046, 0.1969112, 0.1773835, 0.1519571, 0.1411014", \
"0.1624864, 0.2208276, 0.2156806, 0.2131036, 0.1798430, 0.1489241, 0.1376422");
}
}
/* Copied from non_seq_setup_falling arc in pin dm[1] group */
timing () {
related_pin : "DM[1]";
timing_type : "non_seq_hold_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("-0.0956636, -0.1524571, -0.1400072, -0.1432073, -0.1267314, -0.0942866, -0.0640758", \
"-0.0126184, -0.0753460, -0.0905160, -0.0740381, -0.0453551, -0.0159621, 0.0325593", \
"0.0122167, -0.0398489, -0.0605432, -0.0599826, -0.0152587, 0.0183216, 0.0575196", \
"0.0253194, -0.0137196, -0.0194525, -0.0096794, 0.0119999, -0.0009296, 0.0694052", \
"0.0205315, -0.0061192, -0.0100892, -0.0350945, 0.0036369, -0.0139744, 0.0136946", \
"0.0544025, 0.0038327, -0.0079730, 0.0156763, 0.0153844, 0.0343195, 0.0238613", \
"0.0515230, 0.0013731, -0.0081985, -0.0001965, 0.0435387, 0.0143937, 0.0460526");
}
}
/* Copied from non_seq_hold_falling arc in pin dm[1] group */
timing () {
related_pin : "DM[1]";
timing_type : "non_seq_setup_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("0.2751423, 0.3349880, 0.3423167, 0.3226865, 0.3031588, 0.2890245, 0.2557620", \
"0.1953368, 0.2478500, 0.2440996, 0.2461108, 0.2294492, 0.1697726, 0.1700002", \
"0.1609839, 0.2193863, 0.2281245, 0.2101923, 0.1951994, 0.1617092, 0.1350172", \
"0.1375035, 0.1971935, 0.2014991, 0.2014083, 0.1655412, 0.1407258, 0.1212889", \
"0.1270479, 0.1884407, 0.1909331, 0.1746133, 0.1489821, 0.1151796, 0.1061629", \
"0.1264576, 0.1847987, 0.1843974, 0.1672585, 0.1377106, 0.1098435, 0.1084850", \
"0.1334948, 0.1781030, 0.1766754, 0.1658014, 0.1310149, 0.1016219, 0.1124305");
}
}
/* Copied from non_seq_setup_falling arc in pin oe_n group */
timing () {
related_pin : "OE_N";
timing_type : "non_seq_hold_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("-0.4161244, -0.4774955, -0.4787786, -0.4606163, -0.4426145, -0.4208509, -0.3784330", \
"-0.3492507, -0.4048890, -0.4046609, -0.4047781, -0.3824802, -0.3500354, -0.3198246", \
"-0.3236217, -0.3845993, -0.3843217, -0.3591457, -0.3255649, -0.3054625, -0.2538937", \
"-0.3074544, -0.3714145, -0.3776005, -0.3445693, -0.3461725, -0.3137918, -0.2578423", \
"-0.3076800, -0.3658987, -0.3564637, -0.3445635, -0.3527419, -0.3185309, -0.2530384", \
"-0.3101414, -0.3641070, -0.3741840, -0.3715031, -0.3168688, -0.1653528, -0.3061021", \
"-0.3126010, -0.3680305, -0.3684383, -0.3525362, -0.3345344, -0.3112449, -0.2800285");
}
}
/* Copied from non_seq_hold_falling arc in pin oe_n group */
timing () {
related_pin : "OE_N";
timing_type : "non_seq_setup_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("0.5635576, 0.6249293, 0.6261535, 0.6080501, 0.5885224, 0.5789658, 0.5395997", \
"0.4960949, 0.5536486, 0.5508123, 0.5406739, 0.5195187, 0.5191887, 0.4768252", \
"0.4664253, 0.5306043, 0.5317288, 0.5154251, 0.4961810, 0.4411878, 0.4274994", \
"0.4548862, 0.5193309, 0.5250331, 0.5055688, 0.4768205, 0.4459016, 0.4034837", \
"0.4520600, 0.5119271, 0.5191551, 0.5057290, 0.4816237, 0.4507048, 0.4189681", \
"0.4514697, 0.5048005, 0.5167989, 0.5036129, 0.4886645, 0.4516404, 0.4214296", \
"0.4539292, 0.5148031, 0.5193702, 0.5243829, 0.4957000, 0.4571517, 0.4345703");
}
}
/* Copied from non_seq_hold_falling arc in pin inp_dis group */
timing () {
related_pin : "INP_DIS";
timing_type : "non_seq_setup_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("0.1164387, 0.1778102, 0.1607259, 0.1670345, 0.1444551, 0.1165899, 0.0848532", \
"0.0410317, 0.1026062, 0.1157435, 0.1038432, 0.0721085, 0.0457672, -0.0042800", \
"0.0160254, 0.0732326, 0.0826165, 0.0755057, 0.0273456, 0.0024630, -0.0307995", \
"0.0108556, 0.0569872, 0.0776058, 0.0474996, 0.0281251, 0.0036938, -0.0288965", \
"0.0065035, 0.0475991, 0.0450484, 0.0452016, 0.0264411, 0.0079532, 0.0337104", \
"0.0181203, 0.0428919, 0.0455424, 0.0305904, 0.0207044, -0.0222168, 0.0353822", \
"0.0022692, 0.0438256, 0.0541054, 0.0514687, 0.0291581, 0.0090205, -0.0348582");
}
}
/* Copied from non_seq_setup_falling arc in pin inp_dis group */
timing () {
related_pin : "INP_DIS";
timing_type : "non_seq_hold_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("-0.0971803, -0.1570256, -0.1399981, -0.1477758, -0.1251963, -0.0973291, -0.0655925", \
"-0.0263513, -0.0888814, -0.0994931, -0.0845411, -0.0543322, -0.0264651, 0.0220563", \
"0.0001809, -0.0519800, -0.0740951, -0.0632246, -0.0129105, 0.0137440, 0.0424289", \
"0.0022990, -0.0306047, -0.0663983, -0.0359123, -0.0151181, 0.0064576, 0.0445203", \
"0.0066511, -0.0231941, -0.0375448, -0.0367043, -0.0148830, -0.0038581, -0.0205533", \
"-0.0034398, -0.0206353, -0.0278095, -0.0190734, -0.0159275, 0.0181836, -0.0293402", \
"0.0153077, -0.0276186, -0.0348466, -0.0279684, -0.0066407, -0.0030174, 0.0470298");
}
}
/* Copied from non_seq_hold_falling arc in pin dm[0] group */
timing () {
related_pin : "DM[0]";
timing_type : "non_seq_setup_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("0.2903870, 0.3517586, 0.3575614, 0.3379311, 0.3199293, 0.3057951, 0.2710067", \
"0.2167194, 0.2625852, 0.2625753, 0.2691688, 0.2460805, 0.1849902, 0.1881843", \
"0.1808203, 0.2467908, 0.2418118, 0.2504172, 0.2011993, 0.1816046, 0.1584799", \
"0.1725987, 0.2339915, 0.2259608, 0.2155865, 0.1991105, 0.1762141, 0.1505920", \
"0.1621431, 0.2186547, 0.2226899, 0.2097085, 0.1832588, 0.1707501, 0.1290511", \
"0.1630787, 0.2198939, 0.2174785, 0.2162974, 0.1728058, 0.1534140, 0.1425583", \
"0.1701159, 0.2208276, 0.2234780, 0.2009380, 0.1813689, 0.1504500, 0.1463680");
}
}
/* Copied from non_seq_setup_falling arc in pin dm[0] group */
timing () {
related_pin : "DM[0]";
timing_type : "non_seq_hold_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("-0.1124389, -0.1753359, -0.1567825, -0.1615085, -0.1435067, -0.1156395, -0.0823769", \
"-0.0370324, -0.1037286, -0.1085488, -0.0935969, -0.0618622, -0.0355209, 0.0145264", \
"-0.0135520, -0.0692222, -0.0785950, -0.0731147, -0.0280285, -0.0030407, 0.0271701", \
"-0.0114339, -0.0529159, -0.0811010, -0.0463695, -0.0270542, 0.0003558, 0.0346976", \
"-0.0070818, -0.0380022, -0.0751202, -0.0590268, -0.0237812, -0.0024108, -0.0313320", \
"-0.0125950, -0.0405197, -0.0415424, -0.0357456, -0.0159349, -0.0007527, 0.0251892", \
"0.0032560, -0.0428774, -0.0531572, -0.0459212, -0.0266130, 0.0144875, 0.0389393");
}
}
/* Copied from non_seq_hold_falling arc in pin hld_ovr group */
timing () {
related_pin : "HLD_OVR";
timing_type : "non_seq_setup_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("0.0401464, 0.0902616, 0.0801597, 0.0720220, 0.0589593, 0.0311387, -0.0005980", \
"-0.0319955, 0.0328425, 0.0379332, 0.0214553, -0.0072277, -0.0366207, -0.0805644", \
"-0.0508464, 0.0011243, 0.0195824, 0.0049240, -0.0468701, -0.0723050, -0.1116711", \
"-0.0630536, -0.0086232, -0.0170660, -0.0343604, -0.0629655, -0.0910794, -0.1207755", \
"-0.0726757, -0.0155827, -0.0225338, -0.0435874, -0.0555606, -0.0922486, -0.1242293", \
"-0.0566480, -0.0178888, -0.0184135, -0.0273610, -0.0682830, -0.0792919, -0.1247429", \
"-0.0727984, -0.0356707, -0.0160850, -0.0249335, -0.0749788, -0.0982683, -0.1029943");
}
}
/* Copied from non_seq_setup_falling arc in pin hld_ovr group */
timing () {
related_pin : "HLD_OVR";
timing_type : "non_seq_hold_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("0.1911957, 0.1328897, 0.1317651, 0.1482449, 0.1769279, 0.2154762, 0.2578940", \
"0.2622777, 0.2039572, 0.1965283, 0.2130062, 0.2355856, 0.2649787, 0.2982412", \
"0.2986574, 0.2339628, 0.2393988, 0.2608314, 0.2757939, 0.3051869, 0.3384494", \
"0.3006326, 0.2484051, 0.2564661, 0.2830027, 0.3089867, 0.3383798, 0.3701165", \
"0.2934695, 0.2575190, 0.2670089, 0.3455944, 0.3133627, 0.3229729, 0.3562079", \
"0.3002102, 0.2756194, 0.2624624, 0.2648525, 0.3052328, 0.3236182, 0.3553617", \
"0.2925064, 0.2625650, 0.2464527, 0.2654447, 0.2849724, 0.3311501, 0.3561143");
}
}
/* Copied from non_seq_setup_falling arc in pin slow group */
timing () {
related_pin : "SLOW";
timing_type : "non_seq_hold_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("-0.1109123, -0.1738093, -0.1552560, -0.1599819, -0.1389283, -0.1095353, -0.0777986", \
"-0.0385583, -0.0969710, -0.1070890, -0.0936629, -0.0619282, -0.0355869, 0.0144603", \
"-0.0120261, -0.0652548, -0.0785798, -0.0730436, -0.0265090, -0.0015148, 0.0317478", \
"-0.0083822, -0.0514637, -0.0735814, -0.0449284, -0.0240668, 0.0003823, 0.0364499", \
"-0.0040301, -0.0335661, -0.0705168, 0.3455767, -0.0208976, 0.0109363, 0.0325273", \
"-0.0095433, -0.0388919, -0.0400165, -0.0265904, -0.0159316, -0.0007468, 0.0579320", \
"0.0063077, -0.0382997, -0.0485796, -0.0443127, -0.0236529, 0.0279863, 0.0404254");
}
}
/* Copied from non_seq_hold_falling arc in pin slow group */
timing () {
related_pin : "SLOW";
timing_type : "non_seq_setup_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("0.3529474, 0.4064645, 0.4159108, 0.4004915, 0.3824897, 0.3683555, 0.3335670", \
"0.2778699, 0.3278714, 0.3234929, 0.3274976, 0.3063761, 0.2782602, 0.2475418", \
"0.2525366, 0.3093518, 0.3105473, 0.2819817, 0.2752695, 0.2286226, 0.2156406", \
"0.2321080, 0.2881486, 0.3022548, 0.2842510, 0.2514600, 0.2536408, 0.2188524", \
"0.2247041, 0.2901778, 0.2856180, 0.2831144, 0.2512159, 0.2111418, 0.2017354", \
"0.2225880, 0.2840833, 0.2827541, 0.2854121, 0.2857209, 0.2142007, 0.2108583", \
"0.2265734, 0.2879662, 0.2749328, 0.2726129, 0.2363005, 0.2450545, 0.2048541");
}
}
ccsn_first_stage () {
is_needed : "true";
stage_type : "pull_up";
is_inverting : "true";
miller_cap_fall : "0.00162598";
miller_cap_rise : "0.00152105";
dc_current ("ccsn_dc") {
index_1("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
index_2("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
values("21.4307, 9.35042, 2.72779, 2.6579, 2.57692, 2.52473, 2.4604, 2.38197, 2.29302, 2.1981, 2.09871, 1.99512, 1.88723, 1.77485, 1.6577, 1.53535, 1.40711, 1.27174, 1.12658, 0.968272, 0.797654, 0.615664, 0.422204, 0.217057, -1.95654e-08, -0.450179, -0.938422, -5.00708, -13.9069", \
"21.0786, 8.9595, 2.11254, 1.99557, 1.95979, 1.93981, 1.91772, 1.89285, 1.86427, 1.83052, 1.78921, 1.73633, 1.66744, 1.58472, 1.49361, 1.39549, 1.28997, 1.17582, 1.05039, 0.909874, 0.75482, 0.586412, 0.4046, 0.209193, -8.12665e-09, -0.436214, -0.916671, -5.0049, -13.9053", \
"20.9096, 8.74013, 1.81432, 1.59432, 1.56891, 1.55553, 1.54122, 1.52568, 1.50856, 1.48943, 1.46767, 1.44232, 1.41182, 1.37339, 1.322, 1.25294, 1.17011, 1.07659, 0.970519, 0.847798, 0.708554, 0.55423, 0.384818, 0.200134, -3.04021e-09, -0.420234, -0.891668, -5.00253, -13.9036", \
"20.8593, 8.67089, 1.7243, 1.46252, 1.43943, 1.42756, 1.41501, 1.40149, 1.38675, 1.37046, 1.35218, 1.3313, 1.30682, 1.27708, 1.23906, 1.18714, 1.117, 1.03273, 0.935047, 0.820074, 0.687758, 0.539659, 0.375789, 0.195962, -1.05663e-08, -0.412944, -0.880322, -5.00149, -13.9029", \
"20.8113, 8.60696, 1.64288, 1.33212, 1.31088, 1.3003, 1.28923, 1.27742, 1.26465, 1.25067, 1.23517, 1.2177, 1.19763, 1.17391, 1.14477, 1.10679, 1.05368, 0.981653, 0.893757, 0.787723, 0.663415, 0.522543, 0.365141, 0.191021, -1.08401e-08, -0.404367, -0.867045, -5.00029, -13.902", \
"20.7871, 8.57646, 1.60679, 1.26784, 1.24704, 1.23703, 1.22663, 1.21558, 1.20367, 1.19069, 1.17637, 1.16034, 1.14206, 1.1207, 1.09485, 1.06195, 1.01684, 0.952799, 0.870576, 0.769538, 0.649701, 0.512874, 0.359109, 0.188213, -4.10679e-08, -0.39952, -0.85958, -4.99963, -13.9016", \
"20.7624, 8.54653, 1.57231, 1.21189, 1.18356, 1.17407, 1.16428, 1.15393, 1.14283, 1.13077, 1.11752, 1.10278, 1.08608, 1.06675, 1.04368, 1.01489, 0.976453, 0.921161, 0.84546, 0.749835, 0.63482, 0.502364, 0.352539, 0.185145, -4.69983e-06, -0.394261, -0.851508, -4.99894, -13.9011", \
"20.7368, 8.51692, 1.53861, 1.16441, 1.12032, 1.11126, 1.102, 1.09228, 1.08189, 1.07065, 1.05836, 1.04474, 1.02942, 1.01184, 0.991097, 0.965656, 0.932547, 0.885631, 0.817585, 0.727844, 0.618, 0.490225, 0.344613, 0.180958, -0.000940402, -0.389754, -0.8444, -5.00087, -13.9087", \
"20.71, 8.48732, 1.50478, 1.11506, 1.05382, 1.04292, 1.03367, 1.02423, 1.01428, 1.00361, 0.992022, 0.979283, 0.965065, 0.948895, 0.930041, 0.907277, 0.878332, 0.838455, 0.778998, 0.695712, 0.591301, 0.468349, 0.326963, 0.166895, -0.0121032, -0.397124, -0.852218, -5.02557, -13.9775", \
"20.6811, 8.45726, 1.46986, 1.06202, 0.987988, 0.969845, 0.959492, 0.950089, 0.940477, 0.930325, 0.919412, 0.907508, 0.894325, 0.879458, 0.862297, 0.841851, 0.816342, 0.782162, 0.731494, 0.655767, 0.557418, 0.439849, 0.303211, 0.147204, -0.0284809, -0.409233, -0.864809, -5.05612, -14.0588", \
"20.6509, 8.42644, 1.43397, 1.00706, 0.924654, 0.899011, 0.884837, 0.874981, 0.865593, 0.855911, 0.845632, 0.834517, 0.822299, 0.808626, 0.792982, 0.774548, 0.751903, 0.722259, 0.679366, 0.612357, 0.520795, 0.409255, 0.278031, 0.126775, -0.04488, -0.419895, -0.874301, -5.08217, -14.1281", \
"20.6189, 8.395, 1.39768, 0.951306, 0.863118, 0.832275, 0.812597, 0.800982, 0.791574, 0.782289, 0.772599, 0.762225, 0.750908, 0.738331, 0.72405, 0.707377, 0.68715, 0.661154, 0.624507, 0.56667, 0.482752, 0.377902, 0.252769, 0.106979, -0.0598852, -0.427543, -0.876987, -5.1015, -14.1801", \
"20.5848, 8.36314, 1.36151, 0.89547, 0.8031, 0.768824, 0.744823, 0.729816, 0.71984, 0.710854, 0.701726, 0.692068, 0.681609, 0.670059, 0.657025, 0.641921, 0.623773, 0.600771, 0.569013, 0.519549, 0.44425, 0.34678, 0.228432, 0.0888408, -0.0724536, -0.431067, -0.872562, -5.11269, -14.2108", \
"20.5486, 8.33103, 1.32572, 0.840064, 0.744592, 0.707986, 0.681327, 0.663355, 0.652027, 0.64325, 0.63472, 0.625811, 0.616221, 0.605673, 0.593821, 0.580154, 0.563841, 0.54336, 0.515483, 0.472778, 0.406631, 0.317289, 0.206434, 0.0737833, -0.0811471, -0.428994, -0.860355, -5.11396, -14.2154", \
"20.5102, 8.29885, 1.29059, 0.785567, 0.687784, 0.649539, 0.621311, 0.602065, 0.589793, 0.581108, 0.573209, 0.565067, 0.556329, 0.546734, 0.535972, 0.523597, 0.508886, 0.490526, 0.465754, 0.428209, 0.37046, 0.289983, 0.187282, 0.062248, -0.0856139, -0.421267, -0.840689, -5.10674, -14.1986", \
"20.4697, 8.26685, 1.25642, 0.732519, 0.633051, 0.593623, 0.56447, 0.545011, 0.532911, 0.524196, 0.516696, 0.509188, 0.501182, 0.492411, 0.482592, 0.471327, 0.457975, 0.441375, 0.41909, 0.385471, 0.334259, 0.262959, 0.169055, 0.0522687, -0.087905, -0.410367, -0.816727, -5.09638, -14.1728", \
"20.4273, 8.23536, 1.2236, 0.681624, 0.581004, 0.540717, 0.511022, 0.491789, 0.480246, 0.471727, 0.464338, 0.45727, 0.449875, 0.441816, 0.432817, 0.422511, 0.410317, 0.395187, 0.37492, 0.344345, 0.297809, 0.234646, 0.15018, 0.0423396, -0.0894741, -0.397837, -0.790275, -5.08572, -14.1447", \
"20.3838, 8.20488, 1.19268, 0.633876, 0.532587, 0.491691, 0.461697, 0.44285, 0.431888, 0.423733, 0.416501, 0.409644, 0.402721, 0.395273, 0.386985, 0.377507, 0.366302, 0.3524, 0.333771, 0.305579, 0.262377, 0.204977, 0.129955, 0.0318391, -0.0908294, -0.384085, -0.761713, -5.07576, -14.1167", \
"20.3402, 8.1767, 1.16457, 0.590755, 0.489242, 0.447948, 0.417829, 0.39942, 0.388999, 0.381241, 0.374301, 0.367619, 0.360971, 0.354009, 0.346324, 0.337548, 0.327169, 0.314273, 0.29695, 0.270603, 0.229793, 0.175948, 0.108217, 0.0204774, -0.0921279, -0.369089, -0.730827, -5.06678, -14.0901", \
"20.2991, 8.15138, 1.14064, 0.554486, 0.453139, 0.411636, 0.381531, 0.363584, 0.35366, 0.346289, 0.339678, 0.333258, 0.326793, 0.32013, 0.312913, 0.304705, 0.294986, 0.282878, 0.266547, 0.241563, 0.202418, 0.150703, 0.0867544, 0.00811328, -0.0934148, -0.352689, -0.697229, -5.05891, -14.0654", \
"20.2649, 8.13171, 1.123, 0.528313, 0.427394, 0.385838, 0.355864, 0.338402, 0.328942, 0.321935, 0.315643, 0.309506, 0.303266, 0.296764, 0.289825, 0.282025, 0.272789, 0.261243, 0.245592, 0.221502, 0.183393, 0.132853, 0.0705261, -0.00423509, -0.0947106, -0.334771, -0.661896, -5.05226, -14.0432", \
"20.2513, 8.12451, 1.11702, 0.51995, 0.419004, 0.377338, 0.347454, 0.330386, 0.321252, 0.314476, 0.308373, 0.302397, 0.29628, 0.289836, 0.282898, 0.275196, 0.266145, 0.254818, 0.239418, 0.215628, 0.177842, 0.127631, 0.0656821, -0.00856538, -0.095791, -0.316165, -0.627382, -5.04683, -14.0235", \
"20.252, 8.12472, 1.11718, 0.520432, 0.41882, 0.376852, 0.346883, 0.330001, 0.320979, 0.314237, 0.30814, 0.30215, 0.295997, 0.289483, 0.282409, 0.274531, 0.265394, 0.254044, 0.23864, 0.214854, 0.177074, 0.12687, 0.0649286, -0.00930939, -0.0965084, -0.300222, -0.595223, -5.04254, -14.0064", \
"20.2529, 8.12505, 1.1174, 0.520978, 0.418765, 0.376533, 0.346496, 0.329773, 0.320838, 0.314122, 0.308028, 0.302027, 0.295851, 0.289294, 0.282145, 0.274129, 0.264829, 0.253405, 0.237978, 0.214185, 0.176405, 0.126202, 0.0642624, -0.00997262, -0.0971668, -0.293249, -0.566318, -5.03922, -13.9919", \
"20.2537, 8.12535, 1.1176, 0.521458, 0.418717, 0.376257, 0.346169, 0.329587, 0.320724, 0.31403, 0.30794, 0.301934, 0.295744, 0.289162, 0.281969, 0.273877, 0.264441, 0.252871, 0.237383, 0.213572, 0.175785, 0.125581, 0.063642, -0.0105914, -0.0977829, -0.293582, -0.545887, -5.03671, -13.9798", \
"20.2551, 8.12588, 1.11793, 0.522255, 0.418638, 0.37581, 0.345651, 0.329301, 0.320553, 0.313894, 0.307813, 0.301803, 0.295599, 0.288991, 0.281756, 0.273593, 0.264036, 0.25225, 0.236488, 0.212498, 0.174646, 0.124418, 0.0624688, -0.0117678, -0.0989593, -0.294758, -0.540562, -5.03466, -13.9626", \
"20.2563, 8.12632, 1.11818, 0.522879, 0.418577, 0.375467, 0.345266, 0.329096, 0.320431, 0.313799, 0.307727, 0.301717, 0.295507, 0.288889, 0.281635, 0.273445, 0.263842, 0.251978, 0.236071, 0.211819, 0.173649, 0.123214, 0.0611782, -0.0130978, -0.100311, -0.296141, -0.541939, -5.03527, -13.9538", \
"20.2589, 8.12723, 1.11865, 0.524046, 0.418465, 0.374835, 0.344576, 0.328742, 0.320224, 0.31364, 0.307586, 0.301581, 0.295368, 0.288741, 0.281472, 0.273257, 0.263618, 0.251693, 0.235674, 0.211197, 0.172564, 0.121223, 0.057744, -0.0185018, -0.108126, -0.308585, -0.556002, -5.04572, -13.9567", \
"20.2607, 8.12693, 1.11892, 0.524704, 0.418417, 0.374469, 0.344168, 0.328536, 0.320103, 0.313547, 0.307504, 0.301504, 0.295291, 0.288662, 0.281389, 0.273168, 0.263519, 0.251577, 0.235526, 0.210983, 0.172217, 0.120615, 0.056643, -0.0205125, -0.111779, -0.320342, -0.585754, -5.10764, -14.0334");
}
output_voltage_rise () {
vector ("ccsn_ovrf") {
index_1("0.01");
index_2("0.001");
index_3("0.0159726, 0.0242777, 0.0331560, 0.0441382, 0.0629835");
values("0.55, 1.65, 2.75, 3.85, 4.95");
}
vector ("ccsn_ovrf") {
index_1("0.1");
index_2("0.001");
index_3("0.0189514, 0.0532626, 0.0702823, 0.0851913, 0.1070699");
values("0.55, 1.65, 2.75, 3.85, 4.95");
}
}
propagated_noise_low () {
vector ("ccsn_pnlh") {
index_1("2.475");
index_2("0.0796957");
index_3("0.001");
index_4("0.0735107, 0.1359225, 2628.6068500, 5257.0777900, 5257.1402000");
values("2.59424, 4.15079, 5.18848, 4.15079, 2.59424");
}
vector ("ccsn_pnlh") {
index_1("2.75");
index_2("0.0766943");
index_3("0.001");
index_4("0.0697621, 0.1318378, 0.4570813, 0.7823249, 0.8444005");
values("2.59426, 4.15082, 5.18852, 4.15082, 2.59426");
}
vector ("ccsn_pnlh") {
index_1("3.17066");
index_2("0.0720435");
index_3("0.001");
index_4("0.0636548, 0.1237456, 1.0516934, 1.9796413, 2.0397320");
values("2.59428, 4.15085, 5.18856, 4.15085, 2.59428");
}
vector ("ccsn_pnlh") {
index_1("2.475");
index_2("0.0398479");
index_3("0.001");
index_4("0.0782737, 0.1432864, 0.5312179, 0.9191494, 0.9841621");
values("2.59429, 4.15087, 5.18858, 4.15087, 2.59429");
}
vector ("ccsn_pnlh") {
index_1("2.75");
index_2("0.0383472");
index_3("0.001");
index_4("0.0757886, 0.1408463, 4.5231952, 8.9055440, 8.9706017");
values("2.59426, 4.15082, 5.18852, 4.15082, 2.59426");
}
vector ("ccsn_pnlh") {
index_1("3.17066");
index_2("0.0360218");
index_3("0.001");
index_4("0.0721336, 0.1368017, 0.4727479, 0.8086942, 0.8733622");
values("2.59424, 4.15079, 5.18848, 4.15079, 2.59424");
}
vector ("ccsn_pnlh") {
index_1("2.75");
index_2("0.115042");
index_3("0.001");
index_4("0.0725006, 0.1208456, 4385.1090200, 8770.0971900, 8770.1455400");
values("2.59424, 4.15079, 5.18849, 4.15079, 2.59424");
}
}
}
}
pin ("OE_N") {
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCHIB";
related_ground_pin : "VSSD";
always_on : false;
fall_capacitance : 0.024267;
capacitance : 0.025004;
rise_capacitance : 0.025261;
max_transition : 1.500000;
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_setup_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.4161244, -0.3492507, -0.3236217, -0.3074544, -0.3076800, -0.3101414, -0.3126010", \
"-0.4774955, -0.4048890, -0.3845993, -0.3714145, -0.3658987, -0.3641070, -0.3680305", \
"-0.4787786, -0.4046609, -0.3843217, -0.3776005, -0.3564637, -0.3741840, -0.3684383", \
"-0.4606163, -0.4047781, -0.3591457, -0.3445693, -0.3445635, -0.3715031, -0.3525362", \
"-0.4426145, -0.3824802, -0.3255649, -0.3461725, -0.3527419, -0.3168688, -0.3345344", \
"-0.4208509, -0.3500354, -0.3054625, -0.3137918, -0.3185309, -0.1653528, -0.3112449", \
"-0.3784330, -0.3198246, -0.2538937, -0.2578423, -0.2530384, -0.3061021, -0.2800285");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.4435548, -0.3120807, -0.2210713, -0.1350299, -0.0635391, 0.0041898, 0.0663694", \
"-0.5049260, -0.3648154, -0.2810867, -0.1918446, -0.1188506, -0.0572025, 0.0059508", \
"-0.5024537, -0.3591414, -0.2793200, -0.1990188, -0.1245301, -0.0490517, 0.0048791", \
"-0.4895727, -0.3644734, -0.2629015, -0.1883245, -0.1034746, -0.0418492, 0.0353285", \
"-0.4853038, -0.3387834, -0.2441524, -0.1630671, -0.0869987, -0.0159404, 0.0435358", \
"-0.4498073, -0.3115982, -0.2106399, -0.1321481, -0.0621833, 0.0005660, 0.0279724", \
"-0.4058636, -0.2768418, -0.1791466, -0.1289941, -0.0512866, 0.0017338, 0.0757380");
}
}
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_hold_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.5635576, 0.4960949, 0.4664253, 0.4548862, 0.4520600, 0.4514697, 0.4539292", \
"0.6249293, 0.5536486, 0.5306043, 0.5193309, 0.5119271, 0.5048005, 0.5148031", \
"0.6261535, 0.5508123, 0.5317288, 0.5250331, 0.5191551, 0.5167989, 0.5193702", \
"0.6080501, 0.5406739, 0.5154251, 0.5055688, 0.5057290, 0.5036129, 0.5243829", \
"0.5885224, 0.5195187, 0.4961810, 0.4768205, 0.4816237, 0.4886645, 0.4957000", \
"0.5789658, 0.5191887, 0.4411878, 0.4459016, 0.4507048, 0.4516404, 0.4571517", \
"0.5395997, 0.4768252, 0.4274994, 0.4034837, 0.4189681, 0.4214296, 0.4345703");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.4506049, 0.3194301, 0.2265997, 0.1420811, 0.0705903, 0.0028614, -0.0594564", \
"0.5119765, 0.3748038, 0.2866930, 0.1971272, 0.1335091, 0.0627284, 0.0011010", \
"0.5147269, 0.3697950, 0.2894102, 0.2060977, 0.1331077, 0.0767728, 0.0021889", \
"0.4950972, 0.3737189, 0.2699703, 0.1938095, 0.1120522, 0.0439950, -0.0285854", \
"0.4786213, 0.3474069, 0.2508794, 0.1685929, 0.0940504, 0.0229758, -0.0356227", \
"0.4583836, 0.3185299, 0.2161686, 0.1391999, 0.0677092, 0.0076096, -0.0662840", \
"0.4296987, 0.2854618, 0.1863572, 0.1359568, 0.0566963, 0.0038462, -0.0596381");
}
}
timing() { /* This is for power up */
related_pin : "HLD_H_N";
timing_type : non_seq_setup_rising;
sdf_cond : "ENABLE_H==1'b1";
when : "( ENABLE_H )";
rise_constraint (scalar) {
values ("5.0");
}
fall_constraint (scalar) {
values ("5.0");
}
}
ccsn_first_stage () {
is_needed : "true";
stage_type : "both";
is_inverting : "true";
miller_cap_fall : "0.0022228";
miller_cap_rise : "0.00154151";
dc_current ("ccsn_dc") {
index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
values("5.01711, 1.68236, 1.54252, 1.47466, 1.39562, 1.3519, 1.3054, 1.2561, 1.20403, 1.14918, 1.09156, 1.03119, 0.968063, 0.902199, 0.833606, 0.762295, 0.688275, 0.611557, 0.532154, 0.450077, 0.365337, 0.277947, 0.187919, 0.095266, -7.17188e-09, -0.18935, -0.372899, -0.950937, -3.91994", \
"4.71451, 1.1601, 1.10739, 1.08609, 1.06045, 1.04519, 1.02762, 1.00701, 0.98263, 0.954019, 0.921004, 0.88354, 0.841622, 0.795259, 0.744471, 0.689283, 0.629725, 0.565828, 0.497626, 0.425153, 0.348445, 0.267538, 0.18247, 0.0932775, -6.6988e-09, -0.187846, -0.371672, -0.984834, -3.93077", \
"4.50985, 0.793562, 0.734492, 0.72281, 0.709935, 0.702904, 0.695362, 0.687186, 0.678199, 0.668151, 0.65666, 0.643135, 0.626668, 0.606058, 0.580232, 0.548639, 0.511099, 0.46759, 0.418139, 0.362798, 0.301626, 0.234691, 0.16206, 0.0838061, -6.51141e-09, -0.172979, -0.346424, -0.962222, -3.93072", \
"4.4493, 0.736613, 0.60212, 0.592553, 0.582214, 0.57667, 0.570812, 0.564576, 0.55787, 0.550572, 0.542504, 0.5334, 0.522841, 0.510138, 0.49417, 0.473456, 0.446801, 0.413682, 0.373976, 0.327691, 0.274884, 0.215627, 0.150004, 0.0780986, -7.86408e-09, -0.1638, -0.330728, -0.9397, -3.92874", \
"4.39195, 0.725187, 0.469722, 0.462004, 0.453805, 0.449477, 0.444962, 0.440224, 0.435217, 0.429882, 0.424134, 0.417856, 0.410873, 0.402909, 0.393506, 0.381843, 0.366469, 0.345514, 0.317731, 0.28272, 0.240434, 0.190926, 0.134284, 0.0706069, -9.29303e-09, -0.151713, -0.310089, -0.909651, -3.92546", \
"4.36476, 0.730054, 0.404715, 0.397788, 0.390555, 0.386764, 0.382831, 0.37873, 0.37443, 0.369888, 0.365047, 0.359829, 0.354121, 0.347752, 0.340446, 0.331726, 0.320683, 0.305623, 0.284445, 0.255955, 0.219843, 0.176104, 0.124813, 0.0660738, -9.21533e-09, -0.1444, -0.297626, -0.891312, -3.92324", \
"4.33887, 0.74083, 0.342149, 0.335069, 0.328749, 0.325459, 0.322063, 0.318544, 0.314879, 0.31104, 0.306988, 0.302671, 0.298017, 0.29292, 0.287216, 0.280634, 0.272678, 0.262325, 0.247555, 0.226056, 0.196752, 0.159432, 0.114131, 0.060947, -8.53641e-09, -0.136137, -0.283572, -0.870449, -3.9206", \
"4.31459, 0.757357, 0.288459, 0.274579, 0.269118, 0.266299, 0.263404, 0.260422, 0.257336, 0.254128, 0.250771, 0.247233, 0.243468, 0.23941, 0.234963, 0.229975, 0.224183, 0.217076, 0.207475, 0.192932, 0.170992, 0.140764, 0.102133, 0.0551724, -2.65099e-08, -0.126844, -0.267798, -0.846787, -3.91748", \
"4.29233, 0.77963, 0.255898, 0.217404, 0.21251, 0.210139, 0.207715, 0.205232, 0.202678, 0.200041, 0.197306, 0.194451, 0.191448, 0.188257, 0.184823, 0.181062, 0.176836, 0.171898, 0.165723, 0.156913, 0.142485, 0.119976, 0.0887225, 0.0486955, -7.65301e-07, -0.116442, -0.250181, -0.820015, -3.91373", \
"4.27254, 0.807743, 0.24514, 0.166917, 0.159903, 0.157955, 0.155976, 0.153959, 0.151898, 0.149785, 0.147609, 0.145358, 0.143017, 0.140561, 0.13796, 0.135169, 0.132118, 0.128688, 0.124658, 0.119491, 0.111464, 0.0969971, 0.0738009, 0.0414477, -2.14968e-05, -0.104873, -0.230621, -0.789814, -3.90856", \
"4.2558, 0.841872, 0.249977, 0.133815, 0.112373, 0.110756, 0.109183, 0.107592, 0.105975, 0.104329, 0.102648, 0.100925, 0.09915, 0.0973119, 0.0953942, 0.0933737, 0.0912166, 0.0888692, 0.0862392, 0.0831373, 0.0790156, 0.071688, 0.0570049, 0.0330404, -0.000423536, -0.0924501, -0.209411, -0.756269, -3.90066", \
"4.24278, 0.882257, 0.26666, 0.124655, 0.0710158, 0.0686855, 0.0673398, 0.0660238, 0.0647061, 0.0633789, 0.0620372, 0.0606767, 0.0592926, 0.0578788, 0.0564277, 0.0549288, 0.0533671, 0.0517203, 0.0499527, 0.0479997, 0.0457156, 0.0425478, 0.0356875, 0.0205935, -0.00415638, -0.0821871, -0.189658, -0.722478, -3.8951", \
"4.23432, 0.929303, 0.293615, 0.132255, 0.0374536, 0.0273158, 0.025111, 0.0235512, 0.0221168, 0.0207302, 0.0193657, 0.0180114, 0.0166599, 0.0153058, 0.0139443, 0.0125703, 0.0111775, 0.00975762, 0.00829868, 0.00678111, 0.0051683, 0.00336602, 0.000838408, -0.0059361, -0.0215248, -0.0844215, -0.181783, -0.698658, -3.8998", \
"4.23165, 0.983951, 0.330564, 0.150111, 0.0145701, -0.0167115, -0.0260586, -0.0293877, -0.0316986, -0.0336748, -0.0354913, -0.0372159, -0.0388817, -0.0405076, -0.0421052, -0.0436828, -0.0452464, -0.0468015, -0.0483534, -0.0499082, -0.0514747, -0.0530686, -0.0547372, -0.056994, -0.0640002, -0.110168, -0.196319, -0.693648, -3.92031", \
"4.23607, 1.04725, 0.377102, 0.175795, 0.00366139, -0.0522454, -0.0800016, -0.0893148, -0.0938502, -0.0970909, -0.0997911, -0.102203, -0.104438, -0.106555, -0.108587, -0.110556, -0.112476, -0.114358, -0.11621, -0.118037, -0.119846, -0.121643, -0.123435, -0.125248, -0.127865, -0.156289, -0.229614, -0.702237, -3.95191", \
"4.24641, 1.11652, 0.428501, 0.20516, 0.000660848, -0.0774002, -0.127956, -0.150687, -0.159911, -0.165399, -0.169523, -0.172983, -0.176057, -0.178883, -0.181536, -0.184063, -0.186495, -0.188851, -0.191147, -0.193395, -0.195604, -0.197782, -0.199937, -0.202077, -0.204273, -0.217873, -0.275744, -0.717395, -3.98908", \
"4.2593, 1.18672, 0.478161, 0.233159, 0.000101742, -0.097078, -0.169, -0.211226, -0.229185, -0.23831, -0.244481, -0.249336, -0.253473, -0.257165, -0.260557, -0.263734, -0.26675, -0.269642, -0.272435, -0.275149, -0.277798, -0.280395, -0.282951, -0.285477, -0.287988, -0.29477, -0.333087, -0.736921, -4.02903", \
"4.27311, 1.25581, 0.523245, 0.258173, 1.10268e-05, -0.113564, -0.204171, -0.267319, -0.299253, -0.314117, -0.323144, -0.329781, -0.335195, -0.339882, -0.344094, -0.347972, -0.351606, -0.355052, -0.358351, -0.361532, -0.364617, -0.367625, -0.370569, -0.373466, -0.376329, -0.38223, -0.402089, -0.761566, -4.07077", \
"4.28749, 1.32338, 0.563269, 0.280187, 6.06806e-07, -0.127638, -0.234377, -0.3167, -0.366853, -0.390595, -0.403652, -0.412584, -0.419537, -0.42537, -0.430493, -0.435129, -0.439415, -0.443435, -0.447249, -0.450898, -0.454415, -0.457823, -0.461144, -0.464395, -0.467595, -0.473938, -0.483666, -0.792218, -4.11432", \
"4.3024, 1.38934, 0.598533, 0.299489, 2.25621e-08, -0.139725, -0.26037, -0.3593, -0.428974, -0.465588, -0.484414, -0.496357, -0.505201, -0.512372, -0.518522, -0.523989, -0.528972, -0.533595, -0.537942, -0.542069, -0.546021, -0.549828, -0.553519, -0.557116, -0.560642, -0.567564, -0.574945, -0.829236, -4.15964", \
"4.3179, 1.45356, 0.629542, 0.316392, 2.35727e-09, -0.150131, -0.282776, -0.395931, -0.483772, -0.536938, -0.564028, -0.580004, -0.591208, -0.59997, -0.607294, -0.613685, -0.619427, -0.624694, -0.6296, -0.634224, -0.638621, -0.642833, -0.646896, -0.650837, -0.654683, -0.662188, -0.669679, -0.872719, -4.20657", \
"4.33401, 1.51581, 0.6568, 0.331182, 1.82409e-09, -0.159105, -0.302121, -0.427481, -0.531023, -0.60254, -0.64111, -0.662579, -0.676793, -0.687477, -0.696165, -0.703597, -0.710175, -0.716138, -0.72164, -0.726785, -0.731645, -0.736275, -0.740716, -0.745005, -0.749172, -0.757255, -0.765206, -0.922739, -4.25488", \
"4.35081, 1.57577, 0.680749, 0.344111, 1.94286e-09, -0.166852, -0.318843, -0.454715, -0.571517, -0.660791, -0.714173, -0.743177, -0.7613, -0.774344, -0.784639, -0.793261, -0.800771, -0.807496, -0.813641, -0.819339, -0.824686, -0.82975, -0.834584, -0.839229, -0.843722, -0.852385, -0.860837, -0.979483, -4.30442", \
"4.36834, 1.63299, 0.701773, 0.355397, 2.15428e-09, -0.173545, -0.33331, -0.478266, -0.606273, -0.711156, -0.781617, -0.820821, -0.844105, -0.860102, -0.87232, -0.882318, -0.890879, -0.898446, -0.905289, -0.911583, -0.917447, -0.922968, -0.92821, -0.933224, -0.938053, -0.947306, -0.956261, -1.04336, -4.35504", \
"4.38666, 1.68693, 0.720203, 0.365233, 2.46151e-09, -0.179328, -0.345832, -0.498655, -0.636201, -0.754164, -0.841993, -0.894385, -0.924552, -0.944306, -0.958861, -0.970471, -0.980231, -0.988739, -0.996349, -1.00329, -1.0097, -1.01571, -1.02138, -1.02678, -1.03196, -1.04182, -1.05128, -1.115, -4.40665", \
"4.42582, 1.78217, 0.750395, 0.381209, 3.45117e-09, -0.188625, -0.366035, -0.531609, -0.68438, -0.822228, -0.939277, -1.02409, -1.07519, -1.10615, -1.1272, -1.14304, -1.1558, -1.16657, -1.17598, -1.1844, -1.19207, -1.19915, -1.20576, -1.212, -1.21792, -1.22907, -1.23959, -1.28137, -4.51257", \
"4.46397, 1.85649, 0.773222, 0.393144, 5.10038e-09, -0.195506, -0.381088, -0.556278, -0.720433, -0.872396, -1.00937, -1.12399, -1.20527, -1.25519, -1.28677, -1.30893, -1.32588, -1.33967, -1.35137, -1.36161, -1.37077, -1.37912, -1.38682, -1.39401, -1.40077, -1.41333, -1.425, -1.46304, -4.62166", \
"4.52509, 1.9688, 0.810734, 0.412289, 1.59341e-08, -0.206529, -0.405791, -0.597552, -0.781545, -0.957438, -1.12474, -1.28255, -1.42885, -1.55868, -1.66279, -1.73547, -1.78353, -1.8169, -1.84185, -1.86166, -1.87812, -1.89226, -1.90472, -1.9159, -1.92609, -1.94424, -1.96026, -2.00266, -4.94631", \
"4.52562, 1.99426, 0.816305, 0.414342, 6.3073e-08, -0.208276, -0.41167, -0.610083, -0.803417, -0.991565, -1.17441, -1.35183, -1.52365, -1.68966, -1.84951, -2.00253, -2.14738, -2.28112, -2.39813, -2.49179, -2.561, -2.6113, -2.64912, -2.67887, -2.70327, -2.74187, -2.77209, -2.83834, -5.44888");
}
output_voltage_rise () {
vector ("ccsn_ovrf") {
index_1("0.01");
index_2("0.001");
index_3("0.0304603, 0.0518305, 0.0776891, 0.1074331, 0.1570794");
values("0.195, 0.585, 0.975, 1.365, 1.755");
}
vector ("ccsn_ovrf") {
index_1("0.1");
index_2("0.001");
index_3("0.0983785, 0.1202205, 0.1462639, 0.1754744, 0.2246294");
values("0.195, 0.585, 0.975, 1.365, 1.755");
}
}
output_voltage_fall () {
vector ("ccsn_ovrf") {
index_1("0.01");
index_2("0.001");
index_3("0.0172819, 0.0267097, 0.0373712, 0.0499793, 0.0671783");
values("1.755, 1.365, 0.975, 0.585, 0.195");
}
vector ("ccsn_ovrf") {
index_1("0.1");
index_2("0.001");
index_3("0.0739815, 0.0893091, 0.1014146, 0.1135868, 0.1307363");
values("1.755, 1.365, 0.975, 0.585, 0.195");
}
}
propagated_noise_low () {
vector ("ccsn_pnlh") {
index_1("1.31405");
index_2("3.22209");
index_3("0.001");
index_4("1.5909915, 1.6787157, 1.8154563, 1.9619742, 2.0449702");
values("0.417406, 0.66785, 0.834813, 0.66785, 0.417406");
}
vector ("ccsn_pnlh") {
index_1("1.41376");
index_2("1.84997");
index_3("0.001");
index_4("0.9135602, 0.9841852, 1.1217075, 1.2145285, 1.2730751");
values("0.482147, 0.771436, 0.964295, 0.771436, 0.482147");
}
vector ("ccsn_pnlh") {
index_1("1.50257");
index_2("1.24172");
index_3("0.001");
index_4("0.6152101, 0.6733525, 0.7757975, 0.8585185, 0.9069337");
values("0.510985, 0.817575, 1.02197, 0.817575, 0.510985");
}
vector ("ccsn_pnlh") {
index_1("1.31405");
index_2("1.61105");
index_3("0.001");
index_4("0.8049242, 0.8484635, 0.9381564, 0.9935651, 1.0371441");
values("0.236648, 0.378637, 0.473297, 0.378637, 0.236648");
}
vector ("ccsn_pnlh") {
index_1("1.41376");
index_2("0.924983");
index_3("0.001");
index_4("0.4659618, 0.5004545, 0.5507125, 0.6105068, 0.6493366");
values("0.272136, 0.435418, 0.544272, 0.435418, 0.272136");
}
vector ("ccsn_pnlh") {
index_1("1.50257");
index_2("0.620862");
index_3("0.001");
index_4("0.3154982, 0.3432858, 0.3910316, 0.4352390, 0.4612088");
values("0.285407, 0.456652, 0.570815, 0.456652, 0.285407");
}
vector ("ccsn_pnlh") {
index_1("1.41376");
index_2("2.77495");
index_3("0.001");
index_4("1.3643705, 1.4724073, 1.6674503, 1.8020846, 1.8916683");
values("0.668986, 1.07038, 1.33797, 1.07038, 0.668986");
}
}
propagated_noise_high () {
vector ("ccsn_pnlh") {
index_1("1.07497");
index_2("1.04796");
index_3("0.001");
index_4("0.5222598, 0.5700165, 0.6724899, 0.7698112, 0.8368874");
values("1.47168, 1.18468, 0.993353, 1.18468, 1.47168");
}
vector ("ccsn_pnlh") {
index_1("1.19384");
index_2("0.594221");
index_3("0.001");
index_4("0.2981735, 0.3322131, 0.4045287, 0.4801872, 0.5278848");
values("1.44468, 1.14148, 0.939351, 1.14148, 1.44468");
}
vector ("ccsn_pnlh") {
index_1("1.30644");
index_2("0.394134");
index_3("0.001");
index_4("0.1989923, 0.2254991, 0.2827964, 0.3422248, 0.3807349");
values("1.43706, 1.1293, 0.924124, 1.1293, 1.43706");
}
vector ("ccsn_pnlh") {
index_1("1.07497");
index_2("0.523981");
index_3("0.001");
index_4("0.2659828, 0.2910641, 0.3428308, 0.3940390, 0.4376436");
values("1.70592, 1.55948, 1.46185, 1.55948, 1.70592");
}
vector ("ccsn_pnlh") {
index_1("1.19384");
index_2("0.297111");
index_3("0.001");
index_4("0.1531702, 0.1705757, 0.2036629, 0.2460766, 0.2810545");
values("1.70073, 1.55118, 1.45147, 1.55118, 1.70073");
}
vector ("ccsn_pnlh") {
index_1("1.30644");
index_2("0.197067");
index_3("0.001");
index_4("0.1031254, 0.1167346, 0.1462145, 0.1772025, 0.2050037");
values("1.69987, 1.54979, 1.44974, 1.54979, 1.69987");
}
vector ("ccsn_pnlh") {
index_1("1.19384");
index_2("0.891332");
index_3("0.001");
index_4("0.4423186, 0.4950347, 0.5921485, 0.7066810, 0.7780227");
values("1.21772, 0.778357, 0.485447, 0.778357, 1.21772");
}
}
}
}
pin ("INP_DIS") {
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCD";
related_ground_pin : "VSSD";
always_on : false;
rise_capacitance : 0.006928;
capacitance : 0.006769;
fall_capacitance : 0.006611;
max_transition : 1.500000;
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_hold_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.1164387, 0.0410317, 0.0160254, 0.0108556, 0.0065035, 0.0181203, 0.0022692", \
"0.1778102, 0.1026062, 0.0732326, 0.0569872, 0.0475991, 0.0428919, 0.0438256", \
"0.1607259, 0.1157435, 0.0826165, 0.0776058, 0.0450484, 0.0455424, 0.0541054", \
"0.1670345, 0.1038432, 0.0755057, 0.0474996, 0.0452016, 0.0305904, 0.0514687", \
"0.1444551, 0.0721085, 0.0273456, 0.0281251, 0.0264411, 0.0207044, 0.0291581", \
"0.1165899, 0.0457672, 0.0024630, 0.0036938, 0.0079532, -0.0222168, 0.0090205", \
"0.0848532, -0.0042800, -0.0307995, -0.0288965, 0.0337104, 0.0353822, -0.0348582");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.3758918, 0.2411418, 0.1350425, 0.0490010, -0.0257201, -0.1039516, -0.1661476", \
"0.4339299, 0.2876494, 0.1949109, 0.1056294, 0.0389046, -0.0423262, -0.1131646", \
"0.4414352, 0.2846328, 0.2006131, 0.1191491, 0.0356815, -0.0353155, -0.1089885", \
"0.4234315, 0.2902863, 0.1886561, 0.0950760, 0.0408979, -0.0529106, -0.1262434", \
"0.4069555, 0.2676531, 0.1732816, 0.0970512, 0.0081903, -0.0692420, -0.1466951", \
"0.3790884, 0.2380278, 0.1273606, 0.0555149, -0.0323422, -0.0646139, -0.1673843", \
"0.3595588, 0.2095374, 0.1079355, 0.0220140, -0.0594725, -0.0991985, -0.1720917");
}
}
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_setup_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.0971803, -0.0263513, 0.0001809, 0.0022990, 0.0066511, -0.0034398, 0.0153077", \
"-0.1570256, -0.0888814, -0.0519800, -0.0306047, -0.0231941, -0.0206353, -0.0276186", \
"-0.1399981, -0.0994931, -0.0740951, -0.0663983, -0.0375448, -0.0278095, -0.0348466", \
"-0.1477758, -0.0845411, -0.0632246, -0.0359123, -0.0367043, -0.0190734, -0.0279684", \
"-0.1251963, -0.0543322, -0.0129105, -0.0151181, -0.0148830, -0.0159275, -0.0066407", \
"-0.0973291, -0.0264651, 0.0137440, 0.0064576, -0.0038581, 0.0181836, -0.0030174", \
"-0.0655925, 0.0220563, 0.0424289, 0.0445203, -0.0205533, -0.0293402, 0.0470298");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.1735238, -0.0370327, 0.0615400, 0.1486640, 0.2214202, 0.2750083, 0.5260000", \
"-0.2333689, -0.1069126, 0.0028757, 0.0884446, 0.1690766, 0.2403439, 0.3092820", \
"-0.2316359, -0.1051954, -0.0036645, 0.0923702, 0.1653869, 0.2471702, 0.3074841", \
"-0.2332744, -0.0948210, 0.0024598, 0.0974411, 0.1772871, 0.2569858, 0.3101857", \
"-0.2106949, -0.0630865, 0.0325461, 0.1089824, 0.1937631, 0.2798026, 0.3410342", \
"-0.1858795, -0.0367450, 0.0363698, 0.1382168, 0.2163876, 0.2939368, 0.3570900", \
"-0.1495652, 0.0133022, 0.0681065, 0.1643706, 0.2460803, 0.2865261, 0.3811973");
}
}
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0425391, 0.0419784, 0.0414177, 0.0416125, 0.0417995, 0.0419943, 0.0421891");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0542222, 0.0534303, 0.0526384, 0.0532766, 0.0538892, 0.0545274, 0.0551655");
}
}
timing() { /* This is for power up */
related_pin : "HLD_H_N";
timing_type : non_seq_setup_rising;
sdf_cond : "ENABLE_H==1'b1";
when : "( ENABLE_H )";
rise_constraint (scalar) {
values ("5.0");
}
fall_constraint (scalar) {
values ("5.0");
}
}
ccsn_first_stage () {
is_needed : "true";
stage_type : "both";
is_inverting : "true";
/* when : "( OE_N )"; */
miller_cap_fall : "0.00106108";
miller_cap_rise : "0.000886848";
dc_current ("ccsn_dc") {
index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
values("3.8469, 0.66173, 0.580793, 0.55966, 0.533773, 0.518988, 0.502963, 0.485692, 0.467172, 0.4474, 0.426375, 0.404095, 0.380559, 0.355766, 0.329716, 0.302408, 0.273842, 0.244017, 0.212934, 0.180592, 0.146991, 0.112131, 0.0760123, 0.0386353, -3.91031e-09, -0.0767304, -0.150388, -0.392817, -3.05713", \
"3.70363, 0.483821, 0.403232, 0.396121, 0.387638, 0.382651, 0.376967, 0.370368, 0.36258, 0.353335, 0.342445, 0.329811, 0.315389, 0.299158, 0.281107, 0.261231, 0.239527, 0.215992, 0.190627, 0.163431, 0.134404, 0.103546, 0.0708588, 0.036343, -1.87956e-09, -0.0734282, -0.145027, -0.387527, -3.05727", \
"3.61286, 0.368428, 0.265391, 0.261485, 0.257152, 0.254775, 0.25222, 0.249445, 0.246394, 0.242985, 0.2391, 0.234549, 0.229029, 0.222099, 0.2133, 0.202357, 0.189155, 0.173652, 0.15583, 0.135683, 0.113206, 0.0883986, 0.0612611, 0.0317943, -1.88767e-09, -0.066092, -0.132491, -0.368691, -3.05394", \
"3.58917, 0.353731, 0.217501, 0.21434, 0.210894, 0.209035, 0.207062, 0.204953, 0.202677, 0.200194, 0.197443, 0.194338, 0.19074, 0.186416, 0.18096, 0.173794, 0.16444, 0.152679, 0.138434, 0.121677, 0.102397, 0.08059, 0.0562546, 0.0293909, -1.89202e-09, -0.0621608, -0.125754, -0.358564, -3.05214", \
"3.56784, 0.355599, 0.170047, 0.167534, 0.164841, 0.163408, 0.161906, 0.160321, 0.158638, 0.156835, 0.154883, 0.152741, 0.15035, 0.147616, 0.144378, 0.140333, 0.134902, 0.127371, 0.117318, 0.104593, 0.0891514, 0.0709755, 0.0500594, 0.0264009, -1.89777e-09, -0.0572519, -0.117346, -0.346057, -3.04988", \
"3.55813, 0.361564, 0.146938, 0.144646, 0.142291, 0.141047, 0.139748, 0.138387, 0.136951, 0.135425, 0.13379, 0.132016, 0.130066, 0.127879, 0.12536, 0.122335, 0.118445, 0.11299, 0.105218, 0.0947588, 0.0814978, 0.0654005, 0.0464543, 0.0246546, -1.90965e-09, -0.0543791, -0.112428, -0.338829, -3.04856", \
"3.54916, 0.370874, 0.125189, 0.122359, 0.12032, 0.119249, 0.118138, 0.11698, 0.115766, 0.114486, 0.113126, 0.111667, 0.110084, 0.108338, 0.106373, 0.10409, 0.1013, 0.0975674, 0.0920537, 0.0839979, 0.0730965, 0.0592647, 0.0424767, 0.0227229, -2.18651e-09, -0.0511981, -0.106985, -0.330914, -3.0471", \
"3.54103, 0.383646, 0.108396, 0.100911, 0.0991584, 0.0982499, 0.0973115, 0.0963385, 0.0953251, 0.0942642, 0.0931462, 0.091959, 0.0906857, 0.0893027, 0.087775, 0.0860475, 0.0840224, 0.0814898, 0.0779075, 0.072289, 0.0639095, 0.0525339, 0.0381013, 0.0205924, -1.0757e-08, -0.0476862, -0.10098, -0.32229, -3.04549", \
"3.53389, 0.400001, 0.101771, 0.0807172, 0.0790721, 0.0783148, 0.0775362, 0.0767332, 0.075902, 0.0750376, 0.0741339, 0.0731831, 0.0721744, 0.0710933, 0.0699191, 0.0686205, 0.0671461, 0.0653993, 0.063143, 0.0596905, 0.0539179, 0.0451797, 0.0333047, 0.0182496, -2.75243e-07, -0.043821, -0.0943741, -0.312944, -3.04372", \
"3.52791, 0.42002, 0.104937, 0.0633483, 0.0603637, 0.0597461, 0.0591157, 0.058469, 0.0578034, 0.0571158, 0.0564025, 0.0556584, 0.0548771, 0.0540501, 0.0531652, 0.0522052, 0.0511431, 0.0499333, 0.0484821, 0.0465155, 0.043157, 0.0371833, 0.0280624, 0.0156757, -7.81283e-06, -0.0395879, -0.0871375, -0.302881, -3.04179", \
"3.52329, 0.443758, 0.115082, 0.0537424, 0.0433732, 0.0428484, 0.0423491, 0.0418407, 0.0413208, 0.0407875, 0.0402385, 0.0396709, 0.0390809, 0.0384638, 0.037813, 0.0371193, 0.036369, 0.0355409, 0.0345965, 0.0334475, 0.0317698, 0.0284523, 0.022239, 0.0127273, -0.000161975, -0.0351159, -0.0793936, -0.292287, -3.03985", \
"3.5203, 0.471262, 0.130393, 0.0549654, 0.0284686, 0.0275669, 0.027123, 0.0266895, 0.0262535, 0.0258118, 0.0253624, 0.0249033, 0.0244325, 0.0239474, 0.0234446, 0.0229197, 0.0223664, 0.021775, 0.0211301, 0.0204025, 0.0195129, 0.0180716, 0.0147025, 0.00820427, -0.00169977, -0.0316981, -0.0724957, -0.282672, -3.03937", \
"3.51926, 0.502622, 0.149933, 0.0637195, 0.0161254, 0.0117867, 0.0109509, 0.0103648, 0.00982614, 0.00930446, 0.00878964, 0.00827677, 0.0077628, 0.00724538, 0.00672232, 0.00619124, 0.00564922, 0.00509236, 0.00451487, 0.00390722, 0.00325009, 0.00247849, 0.0011259, -0.00244243, -0.00927534, -0.0341098, -0.0713435, -0.278949, -3.04485", \
"3.5206, 0.537158, 0.173305, 0.0765786, 0.00710857, -0.00719674, -0.0110125, -0.0124003, -0.0133859, -0.0142362, -0.0150215, -0.0157699, -0.0164951, -0.017205, -0.0179048, -0.0185981, -0.0192876, -0.019976, -0.020666, -0.0213609, -0.0220658, -0.0227909, -0.0235829, -0.0249958, -0.02883, -0.0481849, -0.0816479, -0.285968, -3.0601", \
"3.52492, 0.576994, 0.200354, 0.0921836, 0.00210032, -0.0248782, -0.0369189, -0.0407789, -0.0427654, -0.0442272, -0.0454631, -0.0465767, -0.0476147, -0.0486017, -0.0495524, -0.0504761, -0.0513793, -0.0522664, -0.0531412, -0.0540064, -0.0548651, -0.0557203, -0.0565775, -0.0574733, -0.0591419, -0.0726183, -0.101864, -0.301579, -3.08273", \
"3.53207, 0.620503, 0.229843, 0.109374, 0.000419261, -0.0386853, -0.061868, -0.0712124, -0.0751175, -0.077563, -0.0794509, -0.0810582, -0.0824998, -0.083833, -0.0850904, -0.086292, -0.087451, -0.0885765, -0.0896754, -0.0907527, -0.0918127, -0.0928592, -0.0938959, -0.0949281, -0.09606, -0.103892, -0.128288, -0.322132, -3.10939", \
"3.54065, 0.665309, 0.25918, 0.12614, 6.96249e-05, -0.0500001, -0.0844384, -0.102295, -0.109539, -0.113462, -0.116231, -0.118463, -0.120392, -0.12213, -0.123736, -0.125248, -0.126688, -0.128072, -0.129413, -0.130717, -0.131992, -0.133244, -0.134479, -0.1357, -0.136925, -0.14105, -0.159367, -0.345823, -3.13838", \
"3.54975, 0.709786, 0.28674, 0.141507, 8.80287e-06, -0.0598423, -0.104681, -0.132633, -0.145139, -0.151211, -0.155133, -0.158124, -0.160617, -0.162804, -0.164789, -0.166628, -0.16836, -0.170009, -0.171591, -0.173121, -0.174608, -0.17606, -0.177485, -0.178888, -0.180279, -0.183331, -0.194793, -0.37181, -3.16884", \
"3.55913, 0.753227, 0.311944, 0.155344, 6.00623e-07, -0.0685328, -0.122753, -0.160896, -0.18072, -0.189877, -0.195304, -0.199215, -0.202357, -0.205044, -0.207435, -0.20962, -0.211652, -0.213569, -0.215394, -0.217147, -0.21884, -0.220485, -0.222092, -0.223668, -0.225224, -0.228346, -0.234621, -0.399617, -3.20025", \
"3.56875, 0.795257, 0.334661, 0.16773, 2.55552e-08, -0.0762204, -0.138836, -0.186457, -0.215131, -0.228635, -0.23604, -0.241077, -0.244972, -0.248218, -0.251051, -0.253602, -0.255948, -0.25814, -0.260211, -0.262186, -0.264084, -0.265918, -0.267701, -0.269444, -0.271157, -0.27454, -0.278618, -0.428949, -3.23228", \
"3.57862, 0.835689, 0.354978, 0.178782, 2.57253e-09, -0.0830139, -0.153113, -0.209258, -0.247383, -0.266756, -0.276785, -0.283214, -0.287993, -0.29187, -0.295189, -0.298134, -0.300811, -0.303288, -0.305612, -0.307813, -0.309916, -0.311939, -0.313896, -0.315802, -0.317667, -0.32133, -0.325096, -0.459636, -3.2647", \
"3.58874, 0.874473, 0.373074, 0.188617, 1.81003e-09, -0.089008, -0.165763, -0.229492, -0.276788, -0.303545, -0.317069, -0.325245, -0.331074, -0.335671, -0.339529, -0.342901, -0.345931, -0.348709, -0.351295, -0.353729, -0.356041, -0.358253, -0.360385, -0.362452, -0.364469, -0.368407, -0.372332, -0.4916, -3.29736", \
"3.59913, 0.911637, 0.389154, 0.197345, 1.78964e-09, -0.0942884, -0.176952, -0.247407, -0.30307, -0.338319, -0.356457, -0.366852, -0.373945, -0.379374, -0.383836, -0.387675, -0.391085, -0.394183, -0.397043, -0.399718, -0.402245, -0.404652, -0.406961, -0.40919, -0.411357, -0.415567, -0.419724, -0.524843, -3.33018", \
"3.60977, 0.94721, 0.403414, 0.205071, 1.80208e-09, -0.0989317, -0.18683, -0.263248, -0.326308, -0.370443, -0.3945, -0.407748, -0.416383, -0.422785, -0.42793, -0.432287, -0.436109, -0.439548, -0.442698, -0.445626, -0.448376, -0.450983, -0.453473, -0.455867, -0.458186, -0.462667, -0.467063, -0.559453, -3.36308", \
"3.62068, 0.981166, 0.416032, 0.211888, 1.82795e-09, -0.103007, -0.195535, -0.277237, -0.346766, -0.399452, -0.430715, -0.447645, -0.458189, -0.465741, -0.471669, -0.476603, -0.480877, -0.484683, -0.488143, -0.491337, -0.494321, -0.497135, -0.499812, -0.502376, -0.504849, -0.509604, -0.514237, -0.595619, -3.39603", \
"3.64316, 1.04372, 0.436964, 0.223135, 1.93402e-09, -0.109688, -0.209906, -0.30043, -0.380556, -0.447704, -0.495592, -0.523153, -0.539118, -0.549727, -0.557618, -0.563942, -0.569269, -0.573912, -0.578063, -0.581841, -0.585331, -0.58859, -0.591662, -0.594583, -0.597378, -0.602697, -0.607806, -0.673338, -3.46207", \
"3.66168, 1.09738, 0.453035, 0.231692, 2.14544e-09, -0.114746, -0.220901, -0.318316, -0.4066, -0.484465, -0.547776, -0.590292, -0.614947, -0.63019, -0.64084, -0.649, -0.655654, -0.661316, -0.666283, -0.670737, -0.6748, -0.678557, -0.682066, -0.685375, -0.688519, -0.694435, -0.700038, -0.755952, -3.52833", \
"3.69088, 1.18868, 0.480084, 0.245762, 3.89626e-09, -0.123106, -0.23966, -0.349604, -0.452847, -0.549192, -0.638117, -0.718099, -0.785035, -0.833197, -0.863778, -0.883657, -0.897773, -0.908606, -0.917402, -0.92484, -0.931316, -0.93708, -0.942299, -0.947089, -0.951532, -0.959623, -0.966956, -1.00564, -3.72193", \
"3.691, 1.21116, 0.484713, 0.247532, 1.3617e-08, -0.124693, -0.244947, -0.360745, -0.472072, -0.578906, -0.681215, -0.778938, -0.871949, -0.959954, -1.04223, -1.117, -1.18056, -1.22898, -1.263, -1.28705, -1.30497, -1.31907, -1.33065, -1.3405, -1.34908, -1.3636, -1.37572, -1.40907, -4.01929");
}
output_voltage_rise () {
vector ("ccsn_ovrf") {
index_1("0.01");
index_2("0.001");
index_3("0.0350737, 0.0654616, 0.1027410, 0.1450915, 0.2127594");
values("0.195, 0.585, 0.975, 1.365, 1.755");
}
vector ("ccsn_ovrf") {
index_1("0.1");
index_2("0.001");
index_3("0.1010410, 0.1314012, 0.1686430, 0.2113895, 0.2791158");
values("0.195, 0.585, 0.975, 1.365, 1.755");
}
}
output_voltage_fall () {
vector ("ccsn_ovrf") {
index_1("0.01");
index_2("0.001");
index_3("0.0187521, 0.0298075, 0.0414291, 0.0542067, 0.0707932");
values("1.755, 1.365, 0.975, 0.585, 0.195");
}
vector ("ccsn_ovrf") {
index_1("0.1");
index_2("0.001");
index_3("0.0765266, 0.0931006, 0.1055895, 0.1181801, 0.1342002");
values("1.755, 1.365, 0.975, 0.585, 0.195");
}
}
propagated_noise_low () {
vector ("ccsn_pnlh") {
index_1("1.29693");
index_2("4.17149");
index_3("0.001");
index_4("2.0590590, 2.1673015, 2.3797730, 2.5153611, 2.6060170");
values("0.380783, 0.609252, 0.761565, 0.609252, 0.380783");
}
vector ("ccsn_pnlh") {
index_1("1.39988");
index_2("2.40381");
index_3("0.001");
index_4("1.1858234, 1.2782055, 1.4297597, 1.5552256, 1.6286839");
values("0.45867, 0.733873, 0.917341, 0.733873, 0.45867");
}
vector ("ccsn_pnlh") {
index_1("1.49154");
index_2("1.62017");
index_3("0.001");
index_4("0.7996104, 0.8765000, 1.0065325, 1.1086776, 1.1649543");
values("0.48896, 0.782335, 0.977919, 0.782335, 0.48896");
}
vector ("ccsn_pnlh") {
index_1("1.29693");
index_2("2.08575");
index_3("0.001");
index_4("1.0398455, 1.0957502, 1.1857852, 1.2667720, 1.3140762");
values("0.216714, 0.346743, 0.433428, 0.346743, 0.216714");
}
vector ("ccsn_pnlh") {
index_1("1.39988");
index_2("1.20191");
index_3("0.001");
index_4("0.6026064, 0.6473462, 0.7205378, 0.7859579, 0.8225236");
values("0.255554, 0.408887, 0.511108, 0.408887, 0.255554");
}
vector ("ccsn_pnlh") {
index_1("1.49154");
index_2("0.810086");
index_3("0.001");
index_4("0.4083568, 0.4461370, 0.5194575, 0.5607781, 0.5900958");
values("0.270321, 0.432513, 0.540642, 0.432513, 0.270321");
}
vector ("ccsn_pnlh") {
index_1("1.39988");
index_2("3.60572");
index_3("0.001");
index_4("1.7694516, 1.9126245, 2.1239663, 2.3177255, 2.4221926");
values("0.636225, 1.01796, 1.27245, 1.01796, 0.636225");
}
}
propagated_noise_high () {
vector ("ccsn_pnlh") {
index_1("1.08421");
index_2("1.2001");
index_3("0.001");
index_4("0.5989375, 0.6552179, 0.7635679, 0.8940352, 0.9822799");
values("1.4467, 1.14471, 0.943393, 1.14471, 1.4467");
}
vector ("ccsn_pnlh") {
index_1("1.20268");
index_2("0.680148");
index_3("0.001");
index_4("0.3424121, 0.3830317, 0.4609468, 0.5584630, 0.6243192");
values("1.41986, 1.10178, 0.88972, 1.10178, 1.41986");
}
vector ("ccsn_pnlh") {
index_1("1.31449");
index_2("0.451194");
index_3("0.001");
index_4("0.2288319, 0.2604873, 0.3271507, 0.3985948, 0.4485543");
values("1.40787, 1.0826, 0.865745, 1.0826, 1.40787");
}
vector ("ccsn_pnlh") {
index_1("1.08421");
index_2("0.600051");
index_3("0.001");
index_4("0.3050185, 0.3339131, 0.3827462, 0.4570060, 0.5130212");
values("1.6941, 1.54056, 1.4382, 1.54056, 1.6941");
}
vector ("ccsn_pnlh") {
index_1("1.20268");
index_2("0.340074");
index_3("0.001");
index_4("0.1757125, 0.1971397, 0.2403617, 0.2874011, 0.3311760");
values("1.67968, 1.51749, 1.40936, 1.51749, 1.67968");
}
vector ("ccsn_pnlh") {
index_1("1.31449");
index_2("0.225597");
index_3("0.001");
index_4("0.1188404, 0.1355336, 0.1668261, 0.2068097, 0.2414106");
values("1.67873, 1.51596, 1.40746, 1.51596, 1.67873");
}
vector ("ccsn_pnlh") {
index_1("1.20268");
index_2("1.02022");
index_3("0.001");
index_4("0.5084140, 0.5701794, 0.6653996, 0.8184802, 0.9132013");
values("1.17399, 0.708378, 0.397972, 0.708378, 1.17399");
}
}
}
}
pin ("ENABLE_H") {
max_transition : 3.75;
input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "input";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
always_on : true;
fall_capacitance : 0.018312;
capacitance : 0.019257;
rise_capacitance : 0.020202;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.6754388, 0.8967032, 1.1179677, 1.3825258, 1.6365017, 1.9010598, 2.1656179");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("14.1619740, 29.7756410, 45.3893070, 45.7833310, 46.1615940, 46.5556180, 46.9496420");
}
}
ccsn_first_stage () {
is_needed : "true";
stage_type : "both";
is_inverting : "true";
/* when : "!ENABLE_INP_H&!HLD_H_N"; */
miller_cap_fall : "0.00154325";
miller_cap_rise : "0.000815969";
dc_current ("ccsn_dc") {
index_1("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
index_2("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
values("12.1771, 5.6796, 2.30777, 2.25715, 2.19128, 2.1491, 2.09729, 2.03215, 1.95278, 1.86424, 1.77048, 1.67254, 1.57058, 1.46457, 1.35443, 1.24004, 1.1213, 0.998094, 0.870297, 0.737788, 0.600438, 0.458118, 0.310696, 0.158036, -1.80068e-08, -0.32192, -0.649267, -5.54981, -15.3887", \
"11.8187, 5.2342, 1.61124, 1.57708, 1.5502, 1.53479, 1.51763, 1.49826, 1.47598, 1.44975, 1.41784, 1.3773, 1.32329, 1.25281, 1.17123, 1.08286, 0.988476, 0.888056, 0.781441, 0.668438, 0.548836, 0.422418, 0.288959, 0.148232, -7.6572e-09, -0.30461, -0.620521, -5.54636, -15.3855", \
"11.6551, 4.98952, 1.26485, 1.17046, 1.15324, 1.14382, 1.13362, 1.12246, 1.11011, 1.09626, 1.08046, 1.06203, 1.03987, 1.01204, 0.974919, 0.922515, 0.853954, 0.775571, 0.689412, 0.595515, 0.493633, 0.383466, 0.264693, 0.136984, -5.56146e-09, -0.284412, -0.587821, -5.5426, -15.382", \
"11.6001, 4.91219, 1.16587, 1.03731, 1.02224, 1.01422, 1.00563, 0.996303, 0.986082, 0.974742, 0.961981, 0.947353, 0.930174, 0.909308, 0.882702, 0.846321, 0.793863, 0.726101, 0.648805, 0.563136, 0.468944, 0.365903, 0.253652, 0.131814, -5.2942e-09, -0.275217, -0.573072, -5.54095, -15.3805", \
"11.5431, 4.83835, 1.07631, 0.905725, 0.892355, 0.88552, 0.878293, 0.870529, 0.862092, 0.852822, 0.84251, 0.83086, 0.817434, 0.801542, 0.782008, 0.756616, 0.720827, 0.668318, 0.601692, 0.525483, 0.440128, 0.345321, 0.240655, 0.125697, -5.23002e-09, -0.264411, -0.555876, -5.53905, -15.3787", \
"11.5128, 4.80289, 1.03608, 0.840836, 0.82791, 0.821598, 0.81498, 0.807911, 0.800264, 0.7919, 0.782645, 0.772256, 0.760381, 0.746476, 0.729641, 0.708237, 0.678926, 0.635318, 0.575283, 0.504371, 0.423931, 0.333717, 0.233303, 0.122225, -2.33737e-08, -0.258311, -0.546237, -5.53799, -15.3778", \
"11.4808, 4.76807, 0.997564, 0.784097, 0.763851, 0.758016, 0.751964, 0.745541, 0.738626, 0.731097, 0.722807, 0.713558, 0.703064, 0.690897, 0.676364, 0.658243, 0.634123, 0.598853, 0.546654, 0.48154, 0.406385, 0.32112, 0.225303, 0.118434, -4.68577e-06, -0.251694, -0.535836, -5.53687, -15.3767", \
"11.4468, 4.73301, 0.960231, 0.737892, 0.70007, 0.694621, 0.689056, 0.683201, 0.676933, 0.670145, 0.66271, 0.654464, 0.645179, 0.634515, 0.621935, 0.606525, 0.586545, 0.558242, 0.514815, 0.45616, 0.386663, 0.306684, 0.215776, 0.113401, -0.00100809, -0.24581, -0.526383, -5.537, -15.3783", \
"11.4103, 4.69787, 0.923807, 0.69524, 0.632196, 0.623981, 0.618085, 0.612269, 0.606226, 0.599802, 0.592868, 0.585279, 0.576842, 0.567285, 0.556191, 0.542871, 0.526063, 0.503136, 0.468289, 0.416904, 0.353245, 0.278569, 0.192424, 0.0941829, -0.0168232, -0.257359, -0.539206, -5.55299, -15.4096", \
"11.3711, 4.6631, 0.888123, 0.653034, 0.5639, 0.544554, 0.536325, 0.529962, 0.523869, 0.517653, 0.511116, 0.5041, 0.49643, 0.487879, 0.478119, 0.466625, 0.452479, 0.433849, 0.406551, 0.363733, 0.306626, 0.237804, 0.156896, 0.063163, -0.0441988, -0.280776, -0.565395, -5.57736, -15.4543", \
"11.3289, 4.62823, 0.853067, 0.61024, 0.498361, 0.465133, 0.450877, 0.443189, 0.436817, 0.430715, 0.424523, 0.418038, 0.411082, 0.403459, 0.394903, 0.385016, 0.373122, 0.357942, 0.336652, 0.302928, 0.253347, 0.191079, 0.116112, 0.0275957, -0.0754176, -0.306853, -0.593407, -5.60266, -15.4995", \
"11.2834, 4.59335, 0.818562, 0.566796, 0.435355, 0.389196, 0.365334, 0.354908, 0.347909, 0.341789, 0.335871, 0.329856, 0.323548, 0.316763, 0.309284, 0.3008, 0.290815, 0.27843, 0.261766, 0.23627, 0.195362, 0.14039, 0.0721018, -0.0104282, -0.108298, -0.333113, -0.620019, -5.62714, -15.5424", \
"11.2344, 4.55806, 0.784554, 0.522942, 0.374664, 0.317135, 0.282226, 0.266795, 0.258594, 0.252266, 0.246522, 0.240905, 0.235172, 0.229137, 0.222612, 0.215354, 0.206996, 0.196903, 0.183822, 0.164818, 0.133281, 0.086433, 0.0255966, -0.0501344, -0.142012, -0.358598, -0.640545, -5.65023, -15.5822", \
"11.1816, 4.52248, 0.751017, 0.479014, 0.316205, 0.248753, 0.203182, 0.180193, 0.16984, 0.163019, 0.157321, 0.152016, 0.146779, 0.141406, 0.135723, 0.129536, 0.122569, 0.114375, 0.104114, 0.0899483, 0.0671374, 0.0293746, -0.0231963, -0.0912701, -0.176253, -0.382909, -0.656966, -5.67157, -15.6186", \
"11.1249, 4.48664, 0.717978, 0.435415, 0.260047, 0.183932, 0.128783, 0.096507, 0.0825188, 0.074774, 0.0689497, 0.0638537, 0.0590276, 0.054229, 0.0492847, 0.0440285, 0.0382539, 0.0316444, 0.0236369, 0.0130794, -0.00288095, -0.0308713, -0.0743101, -0.133815, -0.210937, -0.405866, -0.670198, -5.69064, -15.6514", \
"11.0643, 4.45052, 0.685566, 0.392657, 0.206433, 0.122751, 0.059147, 0.0172084, -0.00237576, -0.0116988, -0.0178856, -0.0228973, -0.0274049, -0.0317178, -0.0360241, -0.0404744, -0.0452299, -0.0505145, -0.0567051, -0.0645275, -0.0756178, -0.0944981, -0.127902, -0.177866, -0.246088, -0.427377, -0.680068, -5.70812, -15.6805", \
"11.0003, 4.41471, 0.654095, 0.351425, 0.155838, 0.0655513, -0.00550361, -0.0564462, -0.0835525, -0.0954382, -0.102317, -0.1074, -0.111694, -0.115614, -0.11938, -0.123143, -0.127034, -0.131216, -0.135938, -0.141662, -0.149333, -0.161352, -0.184196, -0.22359, -0.281785, -0.447383, -0.686413, -5.72407, -15.7067", \
"10.9336, 4.37996, 0.624172, 0.312692, 0.109062, 0.0130257, -0.0645926, -0.123491, -0.159297, -0.175129, -0.183167, -0.188522, -0.192724, -0.19635, -0.199677, -0.202867, -0.20604, -0.209315, -0.212863, -0.216977, -0.222219, -0.229812, -0.243374, -0.271201, -0.318144, -0.465833, -0.68903, -5.73852, -15.7299", \
"10.8662, 4.34669, 0.596832, 0.277897, 0.0673846, -0.033627, -0.117014, -0.182837, -0.227448, -0.248894, -0.258758, -0.264646, -0.268902, -0.272348, -0.275342, -0.278076, -0.28067, -0.283225, -0.285857, -0.288758, -0.29228, -0.297101, -0.304743, -0.320921, -0.355312, -0.482675, -0.687593, -5.75148, -15.7504", \
"10.802, 4.31691, 0.573735, 0.249194, 0.0327855, -0.0724994, -0.160935, -0.232779, -0.285466, -0.314056, -0.326672, -0.333443, -0.337933, -0.341325, -0.344103, -0.346505, -0.348667, -0.350682, -0.352638, -0.354671, -0.35702, -0.36012, -0.364747, -0.372756, -0.393458, -0.49786, -0.681901, -5.76316, -15.7685", \
"10.7478, 4.29362, 0.557426, 0.229725, 0.00814532, -0.100786, -0.193615, -0.2707, -0.330392, -0.366993, -0.383545, -0.391659, -0.396602, -0.400088, -0.402779, -0.404981, -0.406859, -0.408514, -0.410027, -0.411502, -0.413125, -0.415229, -0.418376, -0.423432, -0.432802, -0.511441, -0.673758, -5.77396, -15.7846", \
"10.7259, 4.2856, 0.554439, 0.227016, 0.000118859, -0.112071, -0.208838, -0.290585, -0.356318, -0.400968, -0.422829, -0.432973, -0.438728, -0.442569, -0.445408, -0.447647, -0.449495, -0.451071, -0.452464, -0.453768, -0.455146, -0.456898, -0.459533, -0.463838, -0.470909, -0.524472, -0.666285, -5.78418, -15.799", \
"10.7271, 4.2869, 0.558537, 0.232469, 9.34718e-08, -0.115289, -0.215761, -0.30185, -0.373003, -0.425296, -0.453855, -0.466968, -0.474007, -0.478517, -0.48176, -0.484271, -0.486313, -0.488037, -0.489538, -0.490914, -0.49231, -0.493997, -0.496451, -0.500428, -0.50701, -0.540994, -0.661284, -5.79402, -15.8119", \
"10.7287, 4.28826, 0.562531, 0.237539, 1.36237e-08, -0.118079, -0.221914, -0.311946, -0.38792, -0.447046, -0.483199, -0.500289, -0.509015, -0.514365, -0.5181, -0.520934, -0.523206, -0.525101, -0.526733, -0.5282, -0.529636, -0.531281, -0.533575, -0.537231, -0.543306, -0.568817, -0.659643, -5.80357, -15.8236", \
"10.7302, 4.2895, 0.566306, 0.24214, 1.86068e-08, -0.12059, -0.227474, -0.321094, -0.401387, -0.466478, -0.510502, -0.532758, -0.543715, -0.550126, -0.554457, -0.55767, -0.560207, -0.562298, -0.56408, -0.565656, -0.567149, -0.568774, -0.570928, -0.574278, -0.579839, -0.603515, -0.666512, -5.81291, -15.8341", \
"10.7327, 4.29169, 0.573233, 0.250108, 4.83137e-08, -0.124889, -0.237064, -0.336941, -0.424669, -0.499496, -0.557829, -0.593716, -0.611538, -0.621063, -0.627032, -0.631237, -0.63444, -0.637011, -0.639156, -0.641005, -0.642674, -0.644331, -0.646288, -0.649102, -0.653673, -0.67374, -0.722105, -5.8329, -15.8525", \
"10.7349, 4.29355, 0.579383, 0.256675, 1.38187e-07, -0.128383, -0.244941, -0.350052, -0.443949, -0.526408, -0.595719, -0.646643, -0.675627, -0.690459, -0.699012, -0.70467, -0.708795, -0.712006, -0.714623, -0.716831, -0.718759, -0.720549, -0.722438, -0.724861, -0.728576, -0.745023, -0.786828, -5.8545, -15.8697", \
"10.7396, 4.29761, 0.593671, 0.270122, 1.63417e-06, -0.135379, -0.261099, -0.377433, -0.484625, -0.582846, -0.672066, -0.7517, -0.819567, -0.870515, -0.901539, -0.918827, -0.929301, -0.936393, -0.941621, -0.945713, -0.949056, -0.951879, -0.954349, -0.956653, -0.959112, -0.967289, -0.98947, -5.91713, -15.9036", \
"10.7438, 4.30139, 0.606475, 0.279587, 1.8203e-05, -0.140058, -0.272957, -0.39884, -0.517865, -0.630184, -0.735934, -0.835226, -0.928111, -1.0145, -1.09397, -1.16523, -1.22516, -1.26936, -1.29777, -1.31566, -1.32767, -1.33639, -1.3431, -1.34851, -1.35303, -1.36038, -1.36766, -6.03886, -15.9457");
}
output_voltage_rise () {
vector ("ccsn_ovrf") {
index_1("0.01");
index_2("0.001");
index_3("0.0316994, 0.0646630, 0.1001519, 0.1420163, 0.2108869");
values("0.55, 1.65, 2.75, 3.85, 4.95");
}
vector ("ccsn_ovrf") {
index_1("0.1");
index_2("0.001");
index_3("0.0859116, 0.1220550, 0.1574995, 0.1992861, 0.2684138");
values("0.55, 1.65, 2.75, 3.85, 4.95");
}
}
output_voltage_fall () {
vector ("ccsn_ovrf") {
index_1("0.01");
index_2("0.001");
index_3("0.0486724, 0.0986454, 0.1506015, 0.2044972, 0.2789506");
values("4.95, 3.85, 2.75, 1.65, 0.55");
}
vector ("ccsn_ovrf") {
index_1("0.1");
index_2("0.001");
index_3("0.1011118, 0.1511087, 0.2030708, 0.2568744, 0.3311447");
values("4.95, 3.85, 2.75, 1.65, 0.55");
}
}
propagated_noise_low () {
vector ("ccsn_pnlh") {
index_1("2.475");
index_2("1.57708");
index_3("0.001");
index_4("0.6797956, 0.7725763, 0.8610425, 0.9660979, 1.0603680");
values("0.308509, 0.493614, 0.617018, 0.493614, 0.308509");
}
vector ("ccsn_pnlh") {
index_1("2.78751");
index_2("1.13557");
index_3("0.001");
index_4("0.5010688, 0.5711706, 0.6439829, 0.7400819, 0.8129757");
values("0.436357, 0.698172, 0.872714, 0.698172, 0.436357");
}
vector ("ccsn_pnlh") {
index_1("3.21663");
index_2("0.792844");
index_3("0.001");
index_4("0.3658036, 0.4173047, 0.4872219, 0.5697140, 0.6273965");
values("0.664496, 1.06319, 1.32899, 1.06319, 0.664496");
}
vector ("ccsn_pnlh") {
index_1("2.475");
index_2("0.788539");
index_3("0.001");
index_4("0.3510572, 0.3978250, 0.4567759, 0.5197734, 0.5780236");
values("0.251247, 0.401996, 0.502494, 0.401996, 0.251247");
}
vector ("ccsn_pnlh") {
index_1("2.78751");
index_2("0.567785");
index_3("0.001");
index_4("0.2580685, 0.2934418, 0.3450243, 0.4015334, 0.4481567");
values("0.321447, 0.514315, 0.642894, 0.514315, 0.321447");
}
vector ("ccsn_pnlh") {
index_1("3.21663");
index_2("0.396422");
index_3("0.001");
index_4("0.1861744, 0.2131907, 0.2525284, 0.3058397, 0.3461879");
values("0.413114, 0.660983, 0.826229, 0.660983, 0.413114");
}
vector ("ccsn_pnlh") {
index_1("2.78751");
index_2("1.70335");
index_3("0.001");
index_4("0.7563919, 0.8539765, 0.9614985, 1.0717013, 1.1641208");
values("0.517783, 0.828452, 1.03557, 0.828452, 0.517783");
}
}
propagated_noise_high () {
vector ("ccsn_pnlh") {
index_1("2.475");
index_2("1.58352");
index_3("0.001");
index_4("0.6555302, 0.7708117, 0.8818958, 0.9885288, 1.1036263");
values("5.24621, 5.09394, 4.99243, 5.09394, 5.24621");
}
vector ("ccsn_pnlh") {
index_1("2.75");
index_2("1.2522");
index_3("0.001");
index_4("0.5299328, 0.6218892, 0.6988492, 0.8093631, 0.9035159");
values("5.17301, 4.97681, 4.84601, 4.97681, 5.17301");
}
vector ("ccsn_pnlh") {
index_1("3.025");
index_2("1.02568");
index_3("0.001");
index_4("0.4473883, 0.5187549, 0.5954613, 0.6884405, 0.7677928");
values("5.08676, 4.83882, 4.67352, 4.83882, 5.08676");
}
vector ("ccsn_pnlh") {
index_1("2.475");
index_2("0.791759");
index_3("0.001");
index_4("0.3467616, 0.4020875, 0.4554105, 0.5396252, 0.6062598");
values("5.28614, 5.15782, 5.07227, 5.15782, 5.28614");
}
vector ("ccsn_pnlh") {
index_1("2.75");
index_2("0.626101");
index_3("0.001");
index_4("0.2818602, 0.3238834, 0.3668111, 0.4481485, 0.5053058");
values("5.24389, 5.09023, 4.98778, 5.09023, 5.24389");
}
vector ("ccsn_pnlh") {
index_1("3.025");
index_2("0.512841");
index_3("0.001");
index_4("0.2367369, 0.2720566, 0.3236647, 0.3832080, 0.4332102");
values("5.19721, 5.01554, 4.89443, 5.01554, 5.19721");
}
vector ("ccsn_pnlh") {
index_1("2.75");
index_2("1.8783");
index_3("0.001");
index_4("0.7865449, 0.9159386, 1.0142665, 1.1597079, 1.2892799");
values("5.1363, 4.91808, 4.77261, 4.91808, 5.1363");
}
}
}
timing() { /* check skew between enable_vddio and enable_h */
related_pin : "ENABLE_VDDIO";
timing_type : non_seq_hold_rising;
rise_constraint (scalar) {
values ("-100.0");
}
}
}
pin ("ENABLE_VDDA_H") {
max_transition : 3.75;
input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "input";
related_power_pin : "VDDA";
related_ground_pin : "VSSA";
always_on : true;
rise_capacitance : 0.033266;
capacitance : 0.033204;
fall_capacitance : 0.033142;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("1.1505141, 1.3466635, 1.5428128, 1.8090585, 2.0646545, 2.3309002, 2.5971460");
}
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.0595048, 0.1144765, 0.2884578, 0.5451894, 0.7916516, 1.0483831, 1.3051147");
}
}
/* Copied from enable_switch_h. NCX is not able to get ccs noise for this pin 10-5-2014, SIVK */
ccsn_first_stage () {
is_needed : "true";
stage_type : "both";
is_inverting : "true";
miller_cap_fall : "0.00199814";
miller_cap_rise : "0.00113515";
dc_current ("ccsn_dc") {
index_1("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
index_2("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
values("23.209, 10.7587, 3.73472, 3.60231, 3.49156, 3.4224, 3.33945, 3.23748, 3.11302, 2.97135, 2.82009, 2.66197, 2.49753, 2.3268, 2.14971, 1.96614, 1.77596, 1.57903, 1.37522, 1.16438, 0.946391, 0.721096, 0.488359, 0.24804, -1.68363e-08, -0.502537, -1.012, -6.07706, -16.9219", \
"22.6491, 9.9495, 2.81606, 2.56359, 2.51384, 2.48546, 2.45401, 2.41868, 2.3783, 2.33114, 2.27442, 2.20354, 2.11148, 1.99463, 1.86125, 1.71758, 1.56482, 1.40301, 1.23198, 1.05152, 0.861415, 0.661434, 0.451355, 0.230951, -1.17195e-08, -0.470597, -0.95675, -6.06854, -16.9139", \
"22.3841, 9.50037, 2.30705, 1.9283, 1.89554, 1.87766, 1.85836, 1.8373, 1.81408, 1.78812, 1.75864, 1.72443, 1.68357, 1.6328, 1.56619, 1.47526, 1.36093, 1.23242, 1.09231, 0.940702, 0.777334, 0.6019, 0.41408, 0.213553, -5.54443e-09, -0.438742, -0.902986, -6.06071, -16.9066", \
"22.2917, 9.35869, 2.14358, 1.71789, 1.68901, 1.67366, 1.65725, 1.63949, 1.62009, 1.59863, 1.57457, 1.54711, 1.51504, 1.47635, 1.42753, 1.362, 1.27111, 1.1583, 1.03156, 0.892345, 0.740508, 0.575721, 0.397619, 0.205834, -1.59886e-09, -0.424808, -0.879907, -6.05747, -16.9036", \
"22.192, 9.2225, 1.98739, 1.50882, 1.48302, 1.46982, 1.4559, 1.44098, 1.42481, 1.40711, 1.38748, 1.3654, 1.34006, 1.31023, 1.27384, 1.22706, 1.16252, 1.072, 0.961251, 0.836316, 0.697756, 0.545262, 0.378422, 0.196809, -8.98248e-09, -0.408671, -0.853508, -6.05383, -16.9002", \
"22.1374, 9.1565, 1.91333, 1.40583, 1.38036, 1.36812, 1.3553, 1.34166, 1.32693, 1.31088, 1.29318, 1.27339, 1.25087, 1.22463, 1.19307, 1.15331, 1.09974, 1.02283, 0.921831, 0.804916, 0.673762, 0.528139, 0.36761, 0.191717, -2.71453e-08, -0.399637, -0.83888, -6.05185, -16.8984", \
"22.0786, 9.09183, 1.84092, 1.31351, 1.278, 1.26663, 1.25485, 1.24239, 1.22901, 1.21449, 1.19856, 1.18085, 1.16084, 1.13776, 1.11036, 1.07646, 1.03192, 0.968448, 0.879061, 0.770922, 0.647764, 0.509561, 0.355865, 0.186176, -4.65382e-06, -0.389876, -0.823194, -6.04976, -16.8965", \
"22.0151, 9.02604, 1.76973, 1.23004, 1.17589, 1.16525, 1.1544, 1.143, 1.13084, 1.11771, 1.10338, 1.08754, 1.06978, 1.04947, 1.02564, 0.996658, 0.959467, 0.907773, 0.831765, 0.733398, 0.618853, 0.488631, 0.342277, 0.179248, -0.00100491, -0.380625, -0.808172, -6.05109, -16.9069", \
"21.9459, 8.96026, 1.69951, 1.14984, 1.07101, 1.05684, 1.04599, 1.03509, 1.02368, 1.01152, 0.998373, 0.983985, 0.968006, 0.949939, 0.929026, 0.904023, 0.872687, 0.830477, 0.768212, 0.68088, 0.575381, 0.453369, 0.314386, 0.157784, -0.0171077, -0.389126, -0.816192, -6.09405, -17.0453", \
"21.8704, 8.89436, 1.6301, 1.07027, 0.966275, 0.940033, 0.926798, 0.91572, 0.904739, 0.893335, 0.881218, 0.868132, 0.853772, 0.837732, 0.819416, 0.797874, 0.771456, 0.736936, 0.687293, 0.612973, 0.517537, 0.404539, 0.273618, 0.123988, -0.0451837, -0.409812, -0.83818, -6.15825, -17.2355", \
"21.7876, 8.82772, 1.56136, 0.990203, 0.863517, 0.823352, 0.803863, 0.791761, 0.780947, 0.770176, 0.758998, 0.747119, 0.734259, 0.720076, 0.704096, 0.685593, 0.663351, 0.635083, 0.595877, 0.535825, 0.451836, 0.348872, 0.227001, 0.0853023, -0.0772338, -0.433005, -0.861805, -6.22472, -17.4279", \
"21.6968, 8.76008, 1.49322, 0.90943, 0.76268, 0.709635, 0.68073, 0.666193, 0.655189, 0.644903, 0.634559, 0.623786, 0.612302, 0.599809, 0.585925, 0.570096, 0.551421, 0.528281, 0.497337, 0.450899, 0.380107, 0.288304, 0.176568, 0.043881, -0.110956, -0.456015, -0.882832, -6.28909, -17.6117", \
"21.5968, 8.69118, 1.42561, 0.828148, 0.663759, 0.599253, 0.559748, 0.540702, 0.528972, 0.518973, 0.509345, 0.499571, 0.489341, 0.478382, 0.46638, 0.452908, 0.437303, 0.418418, 0.394, 0.35888, 0.302762, 0.22341, 0.122997, 0.000510266, -0.145447, -0.477723, -0.895271, -6.34977, -17.7833", \
"21.4869, 8.6208, 1.35852, 0.746718, 0.56687, 0.492229, 0.442413, 0.416605, 0.403325, 0.393349, 0.384296, 0.375408, 0.366312, 0.356742, 0.34643, 0.335044, 0.322098, 0.306782, 0.287583, 0.261199, 0.219529, 0.154177, 0.0663987, -0.0445759, -0.180343, -0.497583, -0.903321, -6.40603, -17.9415", \
"21.3659, 8.5488, 1.29201, 0.66562, 0.472284, 0.388699, 0.329455, 0.295246, 0.279197, 0.268877, 0.260229, 0.252104, 0.244025, 0.235707, 0.226911, 0.217376, 0.206742, 0.194446, 0.179477, 0.159759, 0.130173, 0.0802839, 0.00659776, -0.0914025, -0.215517, -0.515264, -0.906706, -6.4568, -18.086", \
"21.2332, 8.47518, 1.22628, 0.585506, 0.380495, 0.289037, 0.221337, 0.178113, 0.157686, 0.146502, 0.138049, 0.130554, 0.123371, 0.116175, 0.108738, 0.100842, 0.0922254, 0.0824982, 0.0709936, 0.0564188, 0.035811, 0.00122623, -0.0567535, -0.140141, -0.250949, -0.530509, -0.904978, -6.50322, -18.2168", \
"21.0883, 8.40021, 1.16179, 0.507321, 0.292326, 0.193971, 0.118738, 0.0667916, 0.0402527, 0.0274662, 0.0189388, 0.0119209, 0.00551201, -0.000688798, -0.00691878, -0.0133655, -0.0202259, -0.0277659, -0.036417, -0.0469752, -0.0611149, -0.0832473, -0.124128, -0.191066, -0.286682, -0.543068, -0.89765, -6.54542, -18.3354", \
"20.9319, 8.32467, 1.09948, 0.432508, 0.209131, 0.104783, 0.0228673, -0.0370139, -0.071038, -0.0864487, -0.0954055, -0.102123, -0.10789, -0.113224, -0.118391, -0.123569, -0.128911, -0.134599, -0.140906, -0.148315, -0.157766, -0.171424, -0.19604, -0.24454, -0.322794, -0.552669, -0.884107, -6.58345, -18.4423", \
"20.7668, 8.2507, 1.04104, 0.363381, 0.133127, 0.023636, -0.0641646, -0.131064, -0.173205, -0.192546, -0.202407, -0.209039, -0.214311, -0.218912, -0.223163, -0.227249, -0.231301, -0.235446, -0.239855, -0.244819, -0.250873, -0.259089, -0.272203, -0.300995, -0.359398, -0.558995, -0.863419, -6.61737, -18.5382", \
"20.6009, 8.18175, 0.9895, 0.303742, 0.0679565, -0.0458833, -0.138821, -0.211862, -0.261956, -0.28666, -0.298067, -0.304881, -0.309826, -0.313842, -0.317334, -0.320513, -0.323505, -0.32641, -0.32934, -0.332473, -0.336133, -0.340909, -0.347921, -0.360774, -0.396638, -0.561699, -0.834905, -6.64766, -18.6239", \
"20.4519, 8.12469, 0.950073, 0.25981, 0.01948, -0.0980047, -0.195395, -0.273791, -0.331121, -0.36241, -0.376201, -0.383532, -0.388345, -0.391938, -0.39484, -0.397307, -0.39948, -0.401452, -0.403308, -0.405174, -0.407276, -0.410021, -0.414074, -0.420496, -0.434714, -0.560614, -0.801394, -6.67499, -18.7006", \
"20.3691, 8.09833, 0.935242, 0.245729, 0.00052368, -0.120273, -0.221708, -0.304923, -0.368686, -0.407337, -0.424644, -0.433037, -0.438073, -0.441561, -0.444202, -0.44632, -0.448089, -0.449615, -0.450982, -0.452301, -0.453772, -0.455755, -0.458847, -0.463947, -0.472295, -0.554965, -0.767406, -6.69986, -18.7689", \
"20.3679, 8.10015, 0.938541, 0.251685, 6.86599e-07, -0.124437, -0.230129, -0.318241, -0.388184, -0.434828, -0.457325, -0.467803, -0.473779, -0.477778, -0.480736, -0.483069, -0.484993, -0.486634, -0.488084, -0.489449, -0.490912, -0.492805, -0.495701, -0.500475, -0.508359, -0.55582, -0.735829, -6.72262, -18.8294", \
"20.372, 8.10301, 0.9422, 0.257716, 1.45144e-08, -0.127772, -0.237388, -0.330011, -0.405507, -0.459822, -0.488701, -0.50196, -0.509157, -0.513803, -0.517157, -0.51976, -0.521881, -0.523672, -0.525235, -0.526675, -0.528156, -0.529987, -0.532702, -0.537151, -0.54455, -0.575273, -0.707602, -6.74347, -18.8826", \
"20.3757, 8.10561, 0.945583, 0.263216, 1.79754e-08, -0.130787, -0.24399, -0.340746, -0.421233, -0.482542, -0.518715, -0.535619, -0.544376, -0.549813, -0.553637, -0.556552, -0.558896, -0.560855, -0.562547, -0.564076, -0.565594, -0.567377, -0.569925, -0.574046, -0.580932, -0.609805, -0.694537, -6.76265, -18.9289", \
"20.3822, 8.11017, 0.951609, 0.272802, 3.75147e-08, -0.135986, -0.255467, -0.359509, -0.448623, -0.521623, -0.573165, -0.600555, -0.613938, -0.621575, -0.626633, -0.630334, -0.633226, -0.635591, -0.637593, -0.639349, -0.640991, -0.642741, -0.645011, -0.648513, -0.654347, -0.679681, -0.741974, -6.80073, -19.0039", \
"20.3875, 8.11402, 0.956769, 0.280765, 8.88871e-08, -0.140244, -0.264987, -0.375202, -0.471528, -0.553693, -0.618679, -0.660256, -0.681363, -0.692514, -0.699396, -0.70419, -0.70781, -0.710698, -0.713095, -0.715151, -0.716994, -0.718801, -0.7209, -0.723882, -0.728721, -0.750247, -0.805797, -6.83758, -19.0606", \
"20.3989, 8.12241, 0.96814, 0.297264, 8.04388e-07, -0.148868, -0.284816, -0.408554, -0.520699, -0.621681, -0.711503, -0.788955, -0.849851, -0.888957, -0.910388, -0.922734, -0.930763, -0.936522, -0.940946, -0.944513, -0.947494, -0.950069, -0.952409, -0.954779, -0.957675, -0.969083, -1.00236, -6.92397, -19.1093", \
"20.4091, 8.12968, 0.97773, 0.30909, 7.8946e-06, -0.154749, -0.299761, -0.435448, -0.562207, -0.680402, -0.790354, -0.892315, -0.986388, -1.07234, -1.14908, -1.21355, -1.26099, -1.29109, -1.3097, -1.32205, -1.33094, -1.33776, -1.34324, -1.3478, -1.35171, -1.3586, -1.36867, -7.06761, -18.9198");
}
output_voltage_rise () {
vector ("ccsn_ovrf") {
index_1("0.01");
index_2("0.001");
index_3("0.0232323, 0.0423489, 0.0629052, 0.0877552, 0.1291546");
values("0.55, 1.65, 2.75, 3.85, 4.95");
}
vector ("ccsn_ovrf") {
index_1("0.1");
index_2("0.001");
index_3("0.0720853, 0.0992657, 0.1199815, 0.1446388, 0.1861739");
values("0.55, 1.65, 2.75, 3.85, 4.95");
}
}
output_voltage_fall () {
vector ("ccsn_ovrf") {
index_1("0.01");
index_2("0.001");
index_3("0.0485379, 0.0954359, 0.1446784, 0.1959604, 0.2660359");
values("4.95, 3.85, 2.75, 1.65, 0.55");
}
vector ("ccsn_ovrf") {
index_1("0.1");
index_2("0.001");
index_3("0.1003050, 0.1472880, 0.1965337, 0.2478901, 0.3182349");
values("4.95, 3.85, 2.75, 1.65, 0.55");
}
}
propagated_noise_low () {
vector ("ccsn_pnlh") {
index_1("2.475");
index_2("0.826783");
index_3("0.001");
index_4("0.3716882, 0.4218363, 0.4786380, 0.5583655, 0.6137758");
values("0.512323, 0.819716, 1.02465, 0.819716, 0.512323");
}
vector ("ccsn_pnlh") {
index_1("2.75");
index_2("0.627115");
index_3("0.001");
index_4("0.2904568, 0.3310910, 0.3928640, 0.4554019, 0.5029784");
values("0.672533, 1.07605, 1.34507, 1.07605, 0.672533");
}
vector ("ccsn_pnlh") {
index_1("3.09976");
index_2("0.46891");
index_3("0.001");
index_4("0.2234912, 0.2572203, 0.3067637, 0.3706650, 0.4138935");
values("0.859456, 1.37513, 1.71891, 1.37513, 0.859456");
}
vector ("ccsn_pnlh") {
index_1("2.475");
index_2("0.413392");
index_3("0.001");
index_4("0.1917924, 0.2169394, 0.2473847, 0.3033984, 0.3421387");
values("0.356531, 0.57045, 0.713062, 0.57045, 0.356531");
}
vector ("ccsn_pnlh") {
index_1("2.75");
index_2("0.313558");
index_3("0.001");
index_4("0.1492832, 0.1702459, 0.2022763, 0.2460549, 0.2814301");
values("0.423229, 0.677166, 0.846457, 0.677166, 0.423229");
}
vector ("ccsn_pnlh") {
index_1("3.09976");
index_2("0.234455");
index_3("0.001");
index_4("0.1151526, 0.1324867, 0.1614299, 0.1986002, 0.2316617");
values("0.495446, 0.792714, 0.990893, 0.792714, 0.495446");
}
vector ("ccsn_pnlh") {
index_1("2.75");
index_2("0.940673");
index_3("0.001");
index_4("0.4363743, 0.4942771, 0.5766400, 0.6648502, 0.7259591");
values("0.885727, 1.41716, 1.77145, 1.41716, 0.885727");
}
}
propagated_noise_high () {
vector ("ccsn_pnlh") {
index_1("2.475");
index_2("1.48682");
index_3("0.001");
index_4("0.6028657, 0.7136377, 0.7860289, 0.8937043, 1.0024441");
values("5.33344, 5.2335, 5.16687, 5.2335, 5.33344");
}
vector ("ccsn_pnlh") {
index_1("2.75");
index_2("1.17612");
index_3("0.001");
index_4("0.4860077, 0.5728198, 0.6340366, 0.7248899, 0.8137157");
values("5.2856, 5.15696, 5.0712, 5.15696, 5.2856");
}
vector ("ccsn_pnlh") {
index_1("3.025");
index_2("0.963997");
index_3("0.001");
index_4("0.4076282, 0.4789442, 0.5331986, 0.6103628, 0.6823096");
values("5.22757, 5.0641, 4.95513, 5.0641, 5.22757");
}
vector ("ccsn_pnlh") {
index_1("2.475");
index_2("0.743412");
index_3("0.001");
index_4("0.3193926, 0.3731099, 0.4258421, 0.4816828, 0.5413561");
values("5.35002, 5.26004, 5.20005, 5.26004, 5.35002");
}
vector ("ccsn_pnlh") {
index_1("2.75");
index_2("0.58806");
index_3("0.001");
index_4("0.2580646, 0.2995727, 0.3328128, 0.3964262, 0.4463148");
values("5.31538, 5.20461, 5.13076, 5.20461, 5.31538");
}
vector ("ccsn_pnlh") {
index_1("3.025");
index_2("0.481999");
index_3("0.001");
index_4("0.2171411, 0.2499923, 0.2814815, 0.3374802, 0.3808185");
values("5.27791, 5.14465, 5.05581, 5.14465, 5.27791");
}
vector ("ccsn_pnlh") {
index_1("2.75");
index_2("1.76418");
index_3("0.001");
index_4("0.7132543, 0.8467822, 0.9363647, 1.0471395, 1.1741809");
values("5.27275, 5.13641, 5.04551, 5.13641, 5.27275");
}
}
}
}
pin ("HLD_OVR") {
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCD";
related_ground_pin : "VSSD";
always_on : false;
rise_capacitance : 0.007164;
capacitance : 0.007016;
fall_capacitance : 0.006867;
max_transition : 1.500000;
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_hold_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0401464, -0.0319955, -0.0508464, -0.0630536, -0.0726757, -0.0566480, -0.0727984", \
"0.0902616, 0.0328425, 0.0011243, -0.0086232, -0.0155827, -0.0178888, -0.0356707", \
"0.0801597, 0.0379332, 0.0195824, -0.0170660, -0.0225338, -0.0184135, -0.0160850", \
"0.0720220, 0.0214553, 0.0049240, -0.0343604, -0.0435874, -0.0273610, -0.0249335", \
"0.0589593, -0.0072277, -0.0468701, -0.0629655, -0.0555606, -0.0682830, -0.0749788", \
"0.0311387, -0.0366207, -0.0723050, -0.0910794, -0.0922486, -0.0792919, -0.0982683", \
"-0.0005980, -0.0805644, -0.1116711, -0.1207755, -0.1242293, -0.1247429, -0.1029943");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.1185473, -0.2478959, -0.3474880, -0.4373644, -0.5174178, -0.5754468, -0.5665770", \
"-0.0601774, -0.1945865, -0.3022970, -0.3856196, -0.4757778, -0.5348121, -0.6055960", \
"-0.0621046, -0.1943780, -0.2870327, -0.3691607, -0.4613042, -0.5473068, -0.5973486", \
"-0.0785825, -0.2093298, -0.2931116, -0.3867180, -0.4844452, -0.5335889, -0.6046530", \
"-0.1133690, -0.2334351, -0.3156910, -0.3829299, -0.4858240, -0.5886463, -0.6911289", \
"-0.1549690, -0.2628284, -0.3535224, -0.4310965, -0.4864510, -0.5444175, -0.6601135", \
"-0.1973869, -0.2945649, -0.3844501, -0.4471113, -0.5440846, -0.6351483, -0.6808472");
}
}
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_setup_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.1911957, 0.2622777, 0.2986574, 0.3006326, 0.2934695, 0.3002102, 0.2925064", \
"0.1328897, 0.2039572, 0.2339628, 0.2484051, 0.2575190, 0.2756194, 0.2625650", \
"0.1317651, 0.1965283, 0.2393988, 0.2564661, 0.2670089, 0.2624624, 0.2464527", \
"0.1482449, 0.2130062, 0.2608314, 0.2830027, 0.3455944, 0.2648525, 0.2654447", \
"0.1769279, 0.2355856, 0.2757939, 0.3089867, 0.3133627, 0.3052328, 0.2849724", \
"0.2154762, 0.2649787, 0.3051869, 0.3383798, 0.3229729, 0.3236182, 0.3311501", \
"0.2578940, 0.2982412, 0.3384494, 0.3701165, 0.3562079, 0.3553617, 0.3561143");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.1317050, 0.2595736, 0.3600694, 0.4445283, 0.5280430, 0.6053859, 0.5778068", \
"0.0733328, 0.2041783, 0.3079092, 0.3986706, 0.4851782, 0.5444344, 0.6077775", \
"0.0752600, 0.2075912, 0.3028605, 0.3840441, 0.4675598, 0.5418857, 0.6090743", \
"0.0932637, 0.2225432, 0.3093873, 0.3924787, 0.4884267, 0.5319768, 0.6122867", \
"0.1265243, 0.2451226, 0.3273890, 0.3917202, 0.4925593, 0.5843781, 0.6232602", \
"0.1681244, 0.2745159, 0.3654228, 0.4398442, 0.4952292, 0.5534251, 0.6662523", \
"0.2105422, 0.3062523, 0.3885187, 0.4559016, 0.5483282, 0.6164135, 0.6865923");
}
}
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0538743, 0.0532279, 0.0525816, 0.0531560, 0.0537075, 0.0542819, 0.0548563");
}
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0425163, 0.0419438, 0.0413713, 0.0415476, 0.0417168, 0.0418931, 0.0420694");
}
}
timing() { /* This is for power up */
related_pin : "HLD_H_N";
timing_type : non_seq_setup_rising;
sdf_cond : "ENABLE_H==1'b1";
when : "( ENABLE_H )";
rise_constraint (scalar) {
values ("5.0");
}
fall_constraint (scalar) {
values ("5.0");
}
}
ccsn_first_stage () {
is_needed : "true";
stage_type : "both";
is_inverting : "true";
miller_cap_fall : "0.0010608";
miller_cap_rise : "0.000886029";
dc_current ("ccsn_dc") {
index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
values("3.8469, 0.66173, 0.580793, 0.55966, 0.533773, 0.518988, 0.502963, 0.485692, 0.467172, 0.4474, 0.426375, 0.404095, 0.380559, 0.355766, 0.329716, 0.302408, 0.273842, 0.244017, 0.212934, 0.180592, 0.146991, 0.112131, 0.0760123, 0.0386353, -3.91021e-09, -0.0767304, -0.150388, -0.392817, -3.05713", \
"3.70363, 0.483821, 0.403232, 0.396121, 0.387638, 0.382651, 0.376967, 0.370368, 0.36258, 0.353335, 0.342445, 0.329811, 0.315389, 0.299158, 0.281107, 0.261231, 0.239527, 0.215992, 0.190627, 0.163431, 0.134404, 0.103546, 0.0708588, 0.036343, -1.87946e-09, -0.0734282, -0.145027, -0.387527, -3.05727", \
"3.61286, 0.368428, 0.265391, 0.261485, 0.257152, 0.254775, 0.25222, 0.249445, 0.246394, 0.242985, 0.2391, 0.234549, 0.229029, 0.222099, 0.2133, 0.202357, 0.189155, 0.173652, 0.15583, 0.135683, 0.113206, 0.0883986, 0.0612611, 0.0317943, -1.88757e-09, -0.066092, -0.132491, -0.368691, -3.05394", \
"3.58917, 0.353731, 0.217501, 0.21434, 0.210894, 0.209035, 0.207062, 0.204953, 0.202677, 0.200194, 0.197443, 0.194338, 0.19074, 0.186416, 0.18096, 0.173794, 0.16444, 0.152679, 0.138434, 0.121677, 0.102397, 0.08059, 0.0562546, 0.0293909, -1.89193e-09, -0.0621608, -0.125754, -0.358564, -3.05214", \
"3.56784, 0.355599, 0.170047, 0.167534, 0.164841, 0.163408, 0.161906, 0.160321, 0.158638, 0.156835, 0.154883, 0.152741, 0.15035, 0.147616, 0.144378, 0.140333, 0.134902, 0.127371, 0.117318, 0.104593, 0.0891514, 0.0709755, 0.0500594, 0.0264009, -1.89766e-09, -0.0572519, -0.117346, -0.346057, -3.04988", \
"3.55813, 0.361564, 0.146938, 0.144646, 0.142291, 0.141047, 0.139748, 0.138387, 0.136951, 0.135425, 0.13379, 0.132016, 0.130066, 0.127879, 0.12536, 0.122335, 0.118445, 0.11299, 0.105218, 0.0947588, 0.0814978, 0.0654005, 0.0464543, 0.0246546, -1.90955e-09, -0.0543791, -0.112428, -0.338829, -3.04856", \
"3.54916, 0.370874, 0.125189, 0.122359, 0.12032, 0.119249, 0.118138, 0.11698, 0.115766, 0.114486, 0.113126, 0.111667, 0.110084, 0.108338, 0.106373, 0.10409, 0.1013, 0.0975674, 0.0920537, 0.0839979, 0.0730965, 0.0592647, 0.0424767, 0.0227229, -2.18642e-09, -0.0511981, -0.106985, -0.330914, -3.0471", \
"3.54103, 0.383646, 0.108396, 0.100911, 0.0991584, 0.0982499, 0.0973115, 0.0963385, 0.0953251, 0.0942642, 0.0931462, 0.091959, 0.0906857, 0.0893027, 0.087775, 0.0860475, 0.0840224, 0.0814898, 0.0779075, 0.072289, 0.0639095, 0.0525339, 0.0381013, 0.0205924, -1.0757e-08, -0.0476862, -0.10098, -0.32229, -3.04549", \
"3.53389, 0.400001, 0.101771, 0.0807172, 0.0790721, 0.0783148, 0.0775362, 0.0767332, 0.075902, 0.0750376, 0.0741339, 0.0731831, 0.0721744, 0.0710933, 0.0699191, 0.0686205, 0.0671461, 0.0653993, 0.063143, 0.0596905, 0.0539179, 0.0451797, 0.0333047, 0.0182496, -2.75243e-07, -0.043821, -0.0943741, -0.312944, -3.04372", \
"3.52791, 0.42002, 0.104937, 0.0633483, 0.0603637, 0.0597461, 0.0591157, 0.058469, 0.0578034, 0.0571158, 0.0564025, 0.0556584, 0.0548771, 0.0540501, 0.0531652, 0.0522052, 0.0511431, 0.0499333, 0.0484821, 0.0465155, 0.043157, 0.0371833, 0.0280624, 0.0156757, -7.81283e-06, -0.0395879, -0.0871375, -0.302881, -3.04179", \
"3.52329, 0.443758, 0.115082, 0.0537424, 0.0433732, 0.0428484, 0.0423491, 0.0418407, 0.0413208, 0.0407875, 0.0402385, 0.0396709, 0.0390809, 0.0384638, 0.037813, 0.0371193, 0.036369, 0.0355409, 0.0345965, 0.0334475, 0.0317698, 0.0284523, 0.022239, 0.0127273, -0.000161975, -0.0351159, -0.0793936, -0.292287, -3.03985", \
"3.5203, 0.471262, 0.130393, 0.0549654, 0.0284686, 0.0275669, 0.027123, 0.0266895, 0.0262535, 0.0258118, 0.0253624, 0.0249033, 0.0244325, 0.0239474, 0.0234446, 0.0229197, 0.0223664, 0.021775, 0.0211301, 0.0204025, 0.0195129, 0.0180716, 0.0147025, 0.00820427, -0.00169977, -0.0316981, -0.0724957, -0.282672, -3.03937", \
"3.51926, 0.502622, 0.149933, 0.0637195, 0.0161254, 0.0117867, 0.0109509, 0.0103648, 0.00982614, 0.00930446, 0.00878964, 0.00827677, 0.0077628, 0.00724538, 0.00672232, 0.00619124, 0.00564922, 0.00509236, 0.00451487, 0.00390722, 0.00325009, 0.00247849, 0.0011259, -0.00244243, -0.00927534, -0.0341098, -0.0713435, -0.278949, -3.04485", \
"3.5206, 0.537158, 0.173305, 0.0765786, 0.00710857, -0.00719674, -0.0110125, -0.0124003, -0.0133859, -0.0142362, -0.0150215, -0.0157699, -0.0164951, -0.017205, -0.0179048, -0.0185981, -0.0192876, -0.019976, -0.020666, -0.0213609, -0.0220658, -0.0227909, -0.0235829, -0.0249958, -0.02883, -0.0481849, -0.0816479, -0.285968, -3.0601", \
"3.52492, 0.576994, 0.200354, 0.0921836, 0.00210032, -0.0248782, -0.0369189, -0.0407789, -0.0427654, -0.0442272, -0.0454631, -0.0465767, -0.0476147, -0.0486017, -0.0495524, -0.0504761, -0.0513793, -0.0522664, -0.0531412, -0.0540064, -0.0548651, -0.0557203, -0.0565775, -0.0574733, -0.0591419, -0.0726183, -0.101864, -0.301579, -3.08273", \
"3.53207, 0.620503, 0.229843, 0.109374, 0.000419261, -0.0386853, -0.061868, -0.0712124, -0.0751175, -0.077563, -0.0794509, -0.0810582, -0.0824998, -0.083833, -0.0850904, -0.086292, -0.087451, -0.0885765, -0.0896754, -0.0907527, -0.0918127, -0.0928592, -0.0938959, -0.0949281, -0.09606, -0.103892, -0.128288, -0.322132, -3.10939", \
"3.54065, 0.665309, 0.25918, 0.12614, 6.96249e-05, -0.0500001, -0.0844384, -0.102295, -0.109539, -0.113462, -0.116231, -0.118463, -0.120392, -0.12213, -0.123736, -0.125248, -0.126688, -0.128072, -0.129413, -0.130717, -0.131992, -0.133244, -0.134479, -0.1357, -0.136925, -0.14105, -0.159367, -0.345823, -3.13838", \
"3.54975, 0.709786, 0.28674, 0.141507, 8.80287e-06, -0.0598423, -0.104681, -0.132633, -0.145139, -0.151211, -0.155133, -0.158124, -0.160617, -0.162804, -0.164789, -0.166628, -0.16836, -0.170009, -0.171591, -0.173121, -0.174608, -0.17606, -0.177485, -0.178888, -0.180279, -0.183331, -0.194793, -0.37181, -3.16884", \
"3.55913, 0.753227, 0.311944, 0.155344, 6.00623e-07, -0.0685328, -0.122753, -0.160896, -0.18072, -0.189877, -0.195304, -0.199215, -0.202357, -0.205044, -0.207435, -0.20962, -0.211652, -0.213569, -0.215394, -0.217147, -0.21884, -0.220485, -0.222092, -0.223668, -0.225224, -0.228346, -0.234621, -0.399617, -3.20025", \
"3.56875, 0.795257, 0.334661, 0.16773, 2.55552e-08, -0.0762204, -0.138836, -0.186457, -0.215131, -0.228635, -0.23604, -0.241077, -0.244972, -0.248218, -0.251051, -0.253602, -0.255948, -0.25814, -0.260211, -0.262186, -0.264084, -0.265918, -0.267701, -0.269444, -0.271157, -0.27454, -0.278618, -0.428949, -3.23228", \
"3.57862, 0.835689, 0.354978, 0.178782, 2.57253e-09, -0.0830139, -0.153113, -0.209258, -0.247383, -0.266756, -0.276785, -0.283214, -0.287993, -0.29187, -0.295189, -0.298134, -0.300811, -0.303288, -0.305612, -0.307813, -0.309916, -0.311939, -0.313896, -0.315802, -0.317667, -0.32133, -0.325096, -0.459636, -3.2647", \
"3.58874, 0.874473, 0.373074, 0.188617, 1.81003e-09, -0.089008, -0.165763, -0.229492, -0.276788, -0.303545, -0.317069, -0.325245, -0.331074, -0.335671, -0.339529, -0.342901, -0.345931, -0.348709, -0.351295, -0.353729, -0.356041, -0.358253, -0.360385, -0.362452, -0.364469, -0.368407, -0.372332, -0.4916, -3.29736", \
"3.59913, 0.911637, 0.389154, 0.197345, 1.78964e-09, -0.0942884, -0.176952, -0.247407, -0.30307, -0.338319, -0.356457, -0.366852, -0.373945, -0.379374, -0.383836, -0.387675, -0.391085, -0.394183, -0.397043, -0.399718, -0.402245, -0.404652, -0.406961, -0.40919, -0.411357, -0.415567, -0.419724, -0.524843, -3.33018", \
"3.60977, 0.94721, 0.403414, 0.205071, 1.80208e-09, -0.0989317, -0.18683, -0.263248, -0.326308, -0.370443, -0.3945, -0.407748, -0.416383, -0.422785, -0.42793, -0.432287, -0.436109, -0.439548, -0.442698, -0.445626, -0.448376, -0.450983, -0.453473, -0.455867, -0.458186, -0.462667, -0.467063, -0.559453, -3.36308", \
"3.62068, 0.981166, 0.416032, 0.211888, 1.82795e-09, -0.103007, -0.195535, -0.277237, -0.346766, -0.399452, -0.430715, -0.447645, -0.458189, -0.465741, -0.471669, -0.476603, -0.480877, -0.484683, -0.488143, -0.491337, -0.494321, -0.497135, -0.499812, -0.502376, -0.504849, -0.509604, -0.514237, -0.595619, -3.39603", \
"3.64316, 1.04372, 0.436964, 0.223135, 1.93402e-09, -0.109688, -0.209906, -0.30043, -0.380556, -0.447704, -0.495592, -0.523153, -0.539118, -0.549727, -0.557618, -0.563942, -0.569269, -0.573912, -0.578063, -0.581841, -0.585331, -0.58859, -0.591662, -0.594583, -0.597378, -0.602697, -0.607806, -0.673338, -3.46207", \
"3.66168, 1.09738, 0.453035, 0.231692, 2.14544e-09, -0.114746, -0.220901, -0.318316, -0.4066, -0.484465, -0.547776, -0.590292, -0.614947, -0.63019, -0.64084, -0.649, -0.655654, -0.661316, -0.666283, -0.670737, -0.6748, -0.678557, -0.682066, -0.685375, -0.688519, -0.694435, -0.700038, -0.755952, -3.52833", \
"3.69088, 1.18868, 0.480084, 0.245762, 3.89626e-09, -0.123106, -0.23966, -0.349604, -0.452847, -0.549192, -0.638117, -0.718099, -0.785035, -0.833197, -0.863778, -0.883657, -0.897773, -0.908606, -0.917402, -0.92484, -0.931316, -0.93708, -0.942299, -0.947089, -0.951532, -0.959623, -0.966956, -1.00564, -3.72193", \
"3.691, 1.21116, 0.484713, 0.247532, 1.3617e-08, -0.124693, -0.244947, -0.360745, -0.472072, -0.578906, -0.681215, -0.778938, -0.871949, -0.959954, -1.04223, -1.117, -1.18056, -1.22898, -1.263, -1.28705, -1.30497, -1.31907, -1.33065, -1.3405, -1.34908, -1.3636, -1.37572, -1.40907, -4.01929");
}
output_voltage_rise () {
vector ("ccsn_ovrf") {
index_1("0.01");
index_2("0.001");
index_3("0.0350821, 0.0657941, 0.1022187, 0.1447492, 0.2126969");
values("0.195, 0.585, 0.975, 1.365, 1.755");
}
vector ("ccsn_ovrf") {
index_1("0.1");
index_2("0.001");
index_3("0.1009548, 0.1311494, 0.1681711, 0.2107468, 0.2780543");
values("0.195, 0.585, 0.975, 1.365, 1.755");
}
}
output_voltage_fall () {
vector ("ccsn_ovrf") {
index_1("0.01");
index_2("0.001");
index_3("0.0187224, 0.0297188, 0.0412698, 0.0539439, 0.0706486");
values("1.755, 1.365, 0.975, 0.585, 0.195");
}
vector ("ccsn_ovrf") {
index_1("0.1");
index_2("0.001");
index_3("0.0764808, 0.0929814, 0.1054426, 0.1179760, 0.1338955");
values("1.755, 1.365, 0.975, 0.585, 0.195");
}
}
propagated_noise_low () {
vector ("ccsn_pnlh") {
index_1("1.29693");
index_2("4.14851");
index_3("0.001");
index_4("2.0478413, 2.1556098, 2.3652763, 2.5012057, 2.5916527");
values("0.381059, 0.609695, 0.762119, 0.609695, 0.381059");
}
vector ("ccsn_pnlh") {
index_1("1.39988");
index_2("2.39057");
index_3("0.001");
index_4("1.1792953, 1.2711502, 1.4212456, 1.5468995, 1.6197027");
values("0.458689, 0.733903, 0.917379, 0.733903, 0.458689");
}
vector ("ccsn_pnlh") {
index_1("1.49154");
index_2("1.61125");
index_3("0.001");
index_4("0.7952109, 0.8716752, 1.0009855, 1.1026178, 1.1585993");
values("0.488951, 0.782322, 0.977902, 0.782322, 0.488951");
}
vector ("ccsn_pnlh") {
index_1("1.29693");
index_2("2.07426");
index_3("0.001");
index_4("1.0341715, 1.0897499, 1.1794266, 1.2598811, 1.3068872");
values("0.216802, 0.346883, 0.433604, 0.346883, 0.216802");
}
vector ("ccsn_pnlh") {
index_1("1.39988");
index_2("1.19528");
index_3("0.001");
index_4("0.5993252, 0.6437974, 0.7167144, 0.7817090, 0.8180361");
values("0.255627, 0.409004, 0.511255, 0.409004, 0.255627");
}
vector ("ccsn_pnlh") {
index_1("1.49154");
index_2("0.805624");
index_3("0.001");
index_4("0.4061695, 0.4437759, 0.5163736, 0.5576870, 0.5868630");
values("0.270549, 0.432878, 0.541098, 0.432878, 0.270549");
}
vector ("ccsn_pnlh") {
index_1("1.39988");
index_2("3.58585");
index_3("0.001");
index_4("1.7593805, 1.9016832, 2.0978316, 2.3058563, 2.4095018");
values("0.635312, 1.0165, 1.27062, 1.0165, 0.635312");
}
}
propagated_noise_high () {
vector ("ccsn_pnlh") {
index_1("1.08421");
index_2("1.19451");
index_3("0.001");
index_4("0.5961584, 0.6521914, 0.7615254, 0.8900306, 0.9780552");
values("1.44662, 1.14459, 0.943243, 1.14459, 1.44662");
}
vector ("ccsn_pnlh") {
index_1("1.20268");
index_2("0.676981");
index_3("0.001");
index_4("0.3408502, 0.3812831, 0.4587501, 0.5558889, 0.6213966");
values("1.41974, 1.10158, 0.889471, 1.10158, 1.41974");
}
vector ("ccsn_pnlh") {
index_1("1.31449");
index_2("0.449093");
index_3("0.001");
index_4("0.2277744, 0.2592268, 0.3267760, 0.3969427, 0.4462580");
values("1.40811, 1.08297, 0.866211, 1.08297, 1.40811");
}
vector ("ccsn_pnlh") {
index_1("1.08421");
index_2("0.597257");
index_3("0.001");
index_4("0.3036300, 0.3323696, 0.3807082, 0.4549682, 0.5107107");
values("1.6941, 1.54056, 1.4382, 1.54056, 1.6941");
}
vector ("ccsn_pnlh") {
index_1("1.20268");
index_2("0.338491");
index_3("0.001");
index_4("0.1749450, 0.1962463, 0.2393608, 0.2860893, 0.3296606");
values("1.67983, 1.51773, 1.40967, 1.51773, 1.67983");
}
vector ("ccsn_pnlh") {
index_1("1.31449");
index_2("0.224546");
index_3("0.001");
index_4("0.1183265, 0.1349369, 0.1660168, 0.2058748, 0.2403051");
values("1.67884, 1.51614, 1.40767, 1.51614, 1.67884");
}
vector ("ccsn_pnlh") {
index_1("1.20268");
index_2("1.01547");
index_3("0.001");
index_4("0.5060721, 0.5675516, 0.6621571, 0.8146583, 0.9089627");
values("1.17369, 0.707897, 0.397372, 0.707897, 1.17369");
}
}
}
}
pin (SLOW) {
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCD";
related_ground_pin : "VSSD";
always_on : false;
rise_capacitance : 0.014331;
capacitance : 0.014243;
fall_capacitance : 0.014156;
max_transition : 1.500000;
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_setup_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.1109123, -0.0385583, -0.0120261, -0.0083822, -0.0040301, -0.0095433, 0.0063077", \
"-0.1738093, -0.0969710, -0.0652548, -0.0514637, -0.0335661, -0.0388919, -0.0382997", \
"-0.1552560, -0.1070890, -0.0785798, -0.0735814, -0.0705168, -0.0400165, -0.0485796", \
"-0.1599819, -0.0936629, -0.0730436, -0.0449284, 0.3455767, -0.0265904, -0.0443127", \
"-0.1389283, -0.0619282, -0.0265090, -0.0240668, -0.0208976, -0.0159316, -0.0236529", \
"-0.1095353, -0.0355869, -0.0015148, 0.0003823, 0.0109363, -0.0007468, 0.0279863", \
"-0.0777986, 0.0144603, 0.0317478, 0.0364499, 0.0325273, 0.0579320, 0.0404254");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.1704713, -0.0324548, 0.0627294, 0.1531035, 0.2216715, 0.2749536, 0.3178540", \
"-0.2272648, -0.0969138, 0.0044016, 0.0915676, 0.1720986, 0.2418698, 0.3107602", \
"-0.2209182, -0.1006093, -0.0022186, 0.0838787, 0.1653869, 0.2455697, 0.3073723", \
"-0.2256443, -0.0917610, 0.0024487, 0.1003631, 0.1803389, 0.2529242, 0.3101527", \
"-0.2030648, -0.0600263, 0.0340908, 0.1131977, 0.1937631, 0.2828543, 0.3250987", \
"-0.1767236, -0.0336850, 0.0409448, 0.1440534, 0.2150355, 0.2906870, 0.3647194", \
"-0.1404093, 0.0163624, 0.0726815, 0.1718139, 0.2474592, 0.2848626, 0.3811973");
}
}
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_hold_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.3529474, 0.2778699, 0.2525366, 0.2321080, 0.2247041, 0.2225880, 0.2265734", \
"0.4064645, 0.3278714, 0.3093518, 0.2881486, 0.2901778, 0.2840833, 0.2879662", \
"0.4159108, 0.3234929, 0.3105473, 0.3022548, 0.2856180, 0.2827541, 0.2749328", \
"0.4004915, 0.3274976, 0.2819817, 0.2842510, 0.2831144, 0.2854121, 0.2726129", \
"0.3824897, 0.3063761, 0.2752695, 0.2514600, 0.2512159, 0.2857209, 0.2363005", \
"0.3683555, 0.2782602, 0.2286226, 0.2536408, 0.2111418, 0.2142007, 0.2450545", \
"0.3335670, 0.2475418, 0.2156406, 0.2188524, 0.2017354, 0.2108583, 0.2048541");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.1866800, 0.0501848, -0.0521293, -0.1392266, -0.2109619, -0.2702690, -0.3149049", \
"0.2419447, 0.1142799, 0.0040888, -0.0796567, -0.1615592, -0.2343908, -0.3008948", \
"0.2506975, 0.1124819, 0.0138669, -0.0798087, -0.1550435, -0.2293191, -0.2973581", \
"0.2418501, 0.1036336, -0.0010066, -0.0736175, -0.1748130, -0.2471694, -0.3015513", \
"0.2177448, 0.0718986, -0.0203287, -0.0962982, -0.1788541, -0.2498626, -0.3291990", \
"0.1975070, 0.0425058, -0.0290426, -0.1265337, -0.2017687, -0.2838333, -0.3358114", \
"0.1672962, -0.0044899, -0.0607793, -0.1494329, -0.2335787, -0.2747253, -0.3573609");
}
}
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0540300, 0.0534522, 0.0528744, 0.0534399, 0.0539828, 0.0545483, 0.0551138");
}
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0425349, 0.0420523, 0.0415697, 0.0417382, 0.0419001, 0.0420686, 0.0422372");
}
}
timing() { /* This is for power up */
related_pin : "HLD_H_N";
timing_type : non_seq_setup_rising;
sdf_cond : "ENABLE_H==1'b1";
when : "( ENABLE_H )";
rise_constraint (scalar) {
values ("5.0");
}
fall_constraint (scalar) {
values ("5.0");
}
}
ccsn_first_stage () {
is_needed : "true";
stage_type : "both";
is_inverting : "true";
miller_cap_fall : "0.00105772";
miller_cap_rise : "0.000879112";
dc_current ("ccsn_dc") {
index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
values("3.8469, 0.66173, 0.580793, 0.55966, 0.533773, 0.518988, 0.502963, 0.485692, 0.467172, 0.4474, 0.426375, 0.404095, 0.380559, 0.355766, 0.329716, 0.302408, 0.273842, 0.244017, 0.212934, 0.180592, 0.146991, 0.112131, 0.0760123, 0.0386353, -3.91034e-09, -0.0767304, -0.150388, -0.392817, -3.05713", \
"3.70363, 0.483821, 0.403232, 0.396121, 0.387638, 0.382651, 0.376967, 0.370368, 0.36258, 0.353335, 0.342445, 0.329811, 0.315389, 0.299158, 0.281107, 0.261231, 0.239527, 0.215992, 0.190627, 0.163431, 0.134404, 0.103546, 0.0708588, 0.036343, -1.87958e-09, -0.0734282, -0.145027, -0.387527, -3.05727", \
"3.61286, 0.368428, 0.265391, 0.261485, 0.257152, 0.254775, 0.25222, 0.249445, 0.246394, 0.242985, 0.2391, 0.234549, 0.229029, 0.222099, 0.2133, 0.202357, 0.189155, 0.173652, 0.15583, 0.135683, 0.113206, 0.0883986, 0.0612611, 0.0317943, -1.88771e-09, -0.066092, -0.132491, -0.368691, -3.05394", \
"3.58917, 0.353731, 0.217501, 0.21434, 0.210894, 0.209035, 0.207062, 0.204953, 0.202677, 0.200194, 0.197443, 0.194338, 0.19074, 0.186416, 0.18096, 0.173794, 0.16444, 0.152679, 0.138434, 0.121677, 0.102397, 0.08059, 0.0562546, 0.0293909, -1.89205e-09, -0.0621608, -0.125754, -0.358564, -3.05214", \
"3.56784, 0.355599, 0.170047, 0.167534, 0.164841, 0.163408, 0.161906, 0.160321, 0.158638, 0.156835, 0.154883, 0.152741, 0.15035, 0.147616, 0.144378, 0.140333, 0.134902, 0.127371, 0.117318, 0.104593, 0.0891514, 0.0709755, 0.0500594, 0.0264009, -1.8978e-09, -0.0572519, -0.117346, -0.346057, -3.04988", \
"3.55813, 0.361564, 0.146938, 0.144646, 0.142291, 0.141047, 0.139748, 0.138387, 0.136951, 0.135425, 0.13379, 0.132016, 0.130066, 0.127879, 0.12536, 0.122335, 0.118445, 0.11299, 0.105218, 0.0947588, 0.0814978, 0.0654005, 0.0464543, 0.0246546, -1.90967e-09, -0.0543791, -0.112428, -0.338829, -3.04856", \
"3.54916, 0.370874, 0.125189, 0.122359, 0.12032, 0.119249, 0.118138, 0.11698, 0.115766, 0.114486, 0.113126, 0.111667, 0.110084, 0.108338, 0.106373, 0.10409, 0.1013, 0.0975674, 0.0920537, 0.0839979, 0.0730965, 0.0592647, 0.0424767, 0.0227229, -2.18655e-09, -0.0511981, -0.106985, -0.330914, -3.0471", \
"3.54103, 0.383646, 0.108396, 0.100911, 0.0991584, 0.0982499, 0.0973115, 0.0963385, 0.0953251, 0.0942642, 0.0931462, 0.091959, 0.0906857, 0.0893027, 0.087775, 0.0860475, 0.0840224, 0.0814898, 0.0779075, 0.072289, 0.0639095, 0.0525339, 0.0381013, 0.0205924, -1.07571e-08, -0.0476862, -0.10098, -0.32229, -3.04549", \
"3.53389, 0.400001, 0.101771, 0.0807172, 0.0790721, 0.0783148, 0.0775362, 0.0767332, 0.075902, 0.0750376, 0.0741339, 0.0731831, 0.0721744, 0.0710933, 0.0699191, 0.0686205, 0.0671461, 0.0653993, 0.063143, 0.0596905, 0.0539179, 0.0451797, 0.0333047, 0.0182496, -2.75243e-07, -0.043821, -0.0943741, -0.312944, -3.04372", \
"3.52791, 0.42002, 0.104937, 0.0633483, 0.0603637, 0.0597461, 0.0591157, 0.058469, 0.0578034, 0.0571158, 0.0564025, 0.0556584, 0.0548771, 0.0540501, 0.0531652, 0.0522052, 0.0511431, 0.0499333, 0.0484821, 0.0465155, 0.043157, 0.0371833, 0.0280624, 0.0156757, -7.81283e-06, -0.0395879, -0.0871375, -0.302881, -3.04179", \
"3.52329, 0.443758, 0.115082, 0.0537424, 0.0433732, 0.0428484, 0.0423491, 0.0418407, 0.0413208, 0.0407875, 0.0402385, 0.0396709, 0.0390809, 0.0384638, 0.037813, 0.0371193, 0.036369, 0.0355409, 0.0345965, 0.0334475, 0.0317698, 0.0284523, 0.022239, 0.0127273, -0.000161975, -0.0351159, -0.0793936, -0.292287, -3.03985", \
"3.5203, 0.471262, 0.130393, 0.0549654, 0.0284686, 0.0275669, 0.027123, 0.0266895, 0.0262535, 0.0258118, 0.0253624, 0.0249033, 0.0244325, 0.0239474, 0.0234446, 0.0229197, 0.0223664, 0.021775, 0.0211301, 0.0204025, 0.0195129, 0.0180716, 0.0147025, 0.00820427, -0.00169977, -0.0316981, -0.0724957, -0.282672, -3.03937", \
"3.51926, 0.502622, 0.149933, 0.0637195, 0.0161254, 0.0117867, 0.0109509, 0.0103648, 0.00982614, 0.00930446, 0.00878964, 0.00827677, 0.0077628, 0.00724538, 0.00672232, 0.00619124, 0.00564922, 0.00509236, 0.00451487, 0.00390722, 0.00325009, 0.00247849, 0.0011259, -0.00244243, -0.00927534, -0.0341098, -0.0713435, -0.278949, -3.04485", \
"3.5206, 0.537158, 0.173305, 0.0765786, 0.00710857, -0.00719674, -0.0110125, -0.0124003, -0.0133859, -0.0142362, -0.0150215, -0.0157699, -0.0164951, -0.017205, -0.0179048, -0.0185981, -0.0192876, -0.019976, -0.020666, -0.0213609, -0.0220658, -0.0227909, -0.0235829, -0.0249958, -0.02883, -0.0481849, -0.0816479, -0.285968, -3.0601", \
"3.52492, 0.576994, 0.200354, 0.0921836, 0.00210032, -0.0248782, -0.0369189, -0.0407789, -0.0427654, -0.0442272, -0.0454631, -0.0465767, -0.0476147, -0.0486017, -0.0495524, -0.0504761, -0.0513793, -0.0522664, -0.0531412, -0.0540064, -0.0548651, -0.0557203, -0.0565775, -0.0574733, -0.0591419, -0.0726183, -0.101864, -0.301579, -3.08273", \
"3.53207, 0.620503, 0.229843, 0.109374, 0.000419261, -0.0386853, -0.061868, -0.0712124, -0.0751175, -0.077563, -0.0794509, -0.0810582, -0.0824998, -0.083833, -0.0850904, -0.086292, -0.087451, -0.0885765, -0.0896754, -0.0907527, -0.0918127, -0.0928592, -0.0938959, -0.0949281, -0.09606, -0.103892, -0.128288, -0.322132, -3.10939", \
"3.54065, 0.665309, 0.25918, 0.12614, 6.96249e-05, -0.0500001, -0.0844384, -0.102295, -0.109539, -0.113462, -0.116231, -0.118463, -0.120392, -0.12213, -0.123736, -0.125248, -0.126688, -0.128072, -0.129413, -0.130717, -0.131992, -0.133244, -0.134479, -0.1357, -0.136925, -0.14105, -0.159367, -0.345823, -3.13838", \
"3.54975, 0.709786, 0.28674, 0.141507, 8.80287e-06, -0.0598423, -0.104681, -0.132633, -0.145139, -0.151211, -0.155133, -0.158124, -0.160617, -0.162804, -0.164789, -0.166628, -0.16836, -0.170009, -0.171591, -0.173121, -0.174608, -0.17606, -0.177485, -0.178888, -0.180279, -0.183331, -0.194793, -0.37181, -3.16884", \
"3.55913, 0.753227, 0.311944, 0.155344, 6.00623e-07, -0.0685328, -0.122753, -0.160896, -0.18072, -0.189877, -0.195304, -0.199215, -0.202357, -0.205044, -0.207435, -0.20962, -0.211652, -0.213569, -0.215394, -0.217147, -0.21884, -0.220485, -0.222092, -0.223668, -0.225224, -0.228346, -0.234621, -0.399617, -3.20025", \
"3.56875, 0.795257, 0.334661, 0.16773, 2.55552e-08, -0.0762204, -0.138836, -0.186457, -0.215131, -0.228635, -0.23604, -0.241077, -0.244972, -0.248218, -0.251051, -0.253602, -0.255948, -0.25814, -0.260211, -0.262186, -0.264084, -0.265918, -0.267701, -0.269444, -0.271157, -0.27454, -0.278618, -0.428949, -3.23228", \
"3.57862, 0.835689, 0.354978, 0.178782, 2.57253e-09, -0.0830139, -0.153113, -0.209258, -0.247383, -0.266756, -0.276785, -0.283214, -0.287993, -0.29187, -0.295189, -0.298134, -0.300811, -0.303288, -0.305612, -0.307813, -0.309916, -0.311939, -0.313896, -0.315802, -0.317667, -0.32133, -0.325096, -0.459636, -3.2647", \
"3.58874, 0.874473, 0.373074, 0.188617, 1.81003e-09, -0.089008, -0.165763, -0.229492, -0.276788, -0.303545, -0.317069, -0.325245, -0.331074, -0.335671, -0.339529, -0.342901, -0.345931, -0.348709, -0.351295, -0.353729, -0.356041, -0.358253, -0.360385, -0.362452, -0.364469, -0.368407, -0.372332, -0.4916, -3.29736", \
"3.59913, 0.911637, 0.389154, 0.197345, 1.78964e-09, -0.0942884, -0.176952, -0.247407, -0.30307, -0.338319, -0.356457, -0.366852, -0.373945, -0.379374, -0.383836, -0.387675, -0.391085, -0.394183, -0.397043, -0.399718, -0.402245, -0.404652, -0.406961, -0.40919, -0.411357, -0.415567, -0.419724, -0.524843, -3.33018", \
"3.60977, 0.94721, 0.403414, 0.205071, 1.80208e-09, -0.0989317, -0.18683, -0.263248, -0.326308, -0.370443, -0.3945, -0.407748, -0.416383, -0.422785, -0.42793, -0.432287, -0.436109, -0.439548, -0.442698, -0.445626, -0.448376, -0.450983, -0.453473, -0.455867, -0.458186, -0.462667, -0.467063, -0.559453, -3.36308", \
"3.62068, 0.981166, 0.416032, 0.211888, 1.82795e-09, -0.103007, -0.195535, -0.277237, -0.346766, -0.399452, -0.430715, -0.447645, -0.458189, -0.465741, -0.471669, -0.476603, -0.480877, -0.484683, -0.488143, -0.491337, -0.494321, -0.497135, -0.499812, -0.502376, -0.504849, -0.509604, -0.514237, -0.595619, -3.39603", \
"3.64316, 1.04372, 0.436964, 0.223135, 1.93402e-09, -0.109688, -0.209906, -0.30043, -0.380556, -0.447704, -0.495592, -0.523153, -0.539118, -0.549727, -0.557618, -0.563942, -0.569269, -0.573912, -0.578063, -0.581841, -0.585331, -0.58859, -0.591662, -0.594583, -0.597378, -0.602697, -0.607806, -0.673338, -3.46207", \
"3.66168, 1.09738, 0.453035, 0.231692, 2.14544e-09, -0.114746, -0.220901, -0.318316, -0.4066, -0.484465, -0.547776, -0.590292, -0.614947, -0.63019, -0.64084, -0.649, -0.655654, -0.661316, -0.666283, -0.670737, -0.6748, -0.678557, -0.682066, -0.685375, -0.688519, -0.694435, -0.700038, -0.755952, -3.52833", \
"3.69088, 1.18868, 0.480084, 0.245762, 3.89626e-09, -0.123106, -0.23966, -0.349604, -0.452847, -0.549192, -0.638117, -0.718099, -0.785035, -0.833197, -0.863778, -0.883657, -0.897773, -0.908606, -0.917402, -0.92484, -0.931316, -0.93708, -0.942299, -0.947089, -0.951532, -0.959623, -0.966956, -1.00564, -3.72193", \
"3.691, 1.21116, 0.484713, 0.247532, 1.3617e-08, -0.124693, -0.244947, -0.360745, -0.472072, -0.578906, -0.681215, -0.778938, -0.871949, -0.959954, -1.04223, -1.117, -1.18056, -1.22898, -1.263, -1.28705, -1.30497, -1.31907, -1.33065, -1.3405, -1.34908, -1.3636, -1.37572, -1.40907, -4.01929");
}
output_voltage_rise () {
vector ("ccsn_ovrf") {
index_1("0.01");
index_2("0.001");
index_3("0.0351589, 0.0657407, 0.1029582, 0.1461699, 0.2139529");
values("0.195, 0.585, 0.975, 1.365, 1.755");
}
vector ("ccsn_ovrf") {
index_1("0.1");
index_2("0.001");
index_3("0.1011281, 0.1315191, 0.1688047, 0.2115821, 0.2793841");
values("0.195, 0.585, 0.975, 1.365, 1.755");
}
}
output_voltage_fall () {
vector ("ccsn_ovrf") {
index_1("0.01");
index_2("0.001");
index_3("0.0188319, 0.0298939, 0.0415029, 0.0541900, 0.0707188");
values("1.755, 1.365, 0.975, 0.585, 0.195");
}
vector ("ccsn_ovrf") {
index_1("0.1");
index_2("0.001");
index_3("0.0765226, 0.0930895, 0.1056684, 0.1182703, 0.1343198");
values("1.755, 1.365, 0.975, 0.585, 0.195");
}
}
propagated_noise_low () {
vector ("ccsn_pnlh") {
index_1("1.29693");
index_2("4.18105");
index_3("0.001");
index_4("2.0636615, 2.1720643, 2.3861157, 2.5212828, 2.6120700");
values("0.381132, 0.60981, 0.762263, 0.60981, 0.381132");
}
vector ("ccsn_pnlh") {
index_1("1.39988");
index_2("2.40932");
index_3("0.001");
index_4("1.1884893, 1.2812180, 1.4337142, 1.5587600, 1.6325015");
values("0.459293, 0.734869, 0.918586, 0.734869, 0.459293");
}
vector ("ccsn_pnlh") {
index_1("1.49154");
index_2("1.62388");
index_3("0.001");
index_4("0.8014507, 0.8784834, 1.0099447, 1.1112400, 1.1677317");
values("0.489342, 0.782947, 0.978684, 0.782947, 0.489342");
}
vector ("ccsn_pnlh") {
index_1("1.29693");
index_2("2.09052");
index_3("0.001");
index_4("1.0422029, 1.0982436, 1.1908686, 1.2700943, 1.3173047");
values("0.216851, 0.346961, 0.433701, 0.346961, 0.216851");
}
vector ("ccsn_pnlh") {
index_1("1.39988");
index_2("1.20466");
index_3("0.001");
index_4("0.6039753, 0.6487676, 0.7240098, 0.7874055, 0.8245302");
values("0.255642, 0.409027, 0.511283, 0.409027, 0.255642");
}
vector ("ccsn_pnlh") {
index_1("1.49154");
index_2("0.811942");
index_3("0.001");
index_4("0.4092828, 0.4470649, 0.5210579, 0.5621788, 0.5915178");
values("0.270545, 0.432873, 0.541091, 0.432873, 0.270545");
}
vector ("ccsn_pnlh") {
index_1("1.39988");
index_2("3.61398");
index_3("0.001");
index_4("1.7752440, 1.9168060, 2.1318016, 2.3229860, 2.4277155");
values("0.636978, 1.01917, 1.27396, 1.01917, 0.636978");
}
}
propagated_noise_high () {
vector ("ccsn_pnlh") {
index_1("1.08421");
index_2("1.20269");
index_3("0.001");
index_4("0.5997272, 0.6567946, 0.7721224, 0.8970489, 0.9863844");
values("1.44491, 1.14185, 0.939814, 1.14185, 1.44491");
}
vector ("ccsn_pnlh") {
index_1("1.20268");
index_2("0.681615");
index_3("0.001");
index_4("0.3431984, 0.3839359, 0.4621048, 0.5596959, 0.6257108");
values("1.41934, 1.10094, 0.888679, 1.10094, 1.41934");
}
vector ("ccsn_pnlh") {
index_1("1.31449");
index_2("0.452167");
index_3("0.001");
index_4("0.2293100, 0.2607167, 0.3310155, 0.4000566, 0.4492468");
values("1.40857, 1.08371, 0.867131, 1.08371, 1.40857");
}
vector ("ccsn_pnlh") {
index_1("1.08421");
index_2("0.601345");
index_3("0.001");
index_4("0.3057683, 0.3349303, 0.3874826, 0.4574514, 0.5140895");
values("1.69303, 1.53884, 1.43606, 1.53884, 1.69303");
}
vector ("ccsn_pnlh") {
index_1("1.20268");
index_2("0.340807");
index_3("0.001");
index_4("0.1761879, 0.1974909, 0.2417938, 0.2880984, 0.3319271");
values("1.6804, 1.51863, 1.41079, 1.51863, 1.6804");
}
vector ("ccsn_pnlh") {
index_1("1.31449");
index_2("0.226083");
index_3("0.001");
index_4("0.1191871, 0.1359437, 0.1682226, 0.2072662, 0.2419556");
values("1.67878, 1.51604, 1.40756, 1.51604, 1.67878");
}
vector ("ccsn_pnlh") {
index_1("1.20268");
index_2("1.02242");
index_3("0.001");
index_4("0.5099870, 0.5725161, 0.6803337, 0.8212983, 0.9148504");
values("1.16912, 0.700595, 0.388244, 0.700595, 1.16912");
}
}
}
}
pin (IN) {
output_voltage : GENERAL_CORE_VOLTAGE;
direction : "output";
related_power_pin : "VCCHIB";
related_ground_pin : "VSSD";
always_on : true;
function : "(PAD&!INP_DIS)";
max_capacitance : 1.994940;
max_transition : 1.506563;
internal_power () {
related_pin : "PAD";
when : "( IB_MODE_SEL )";
rise_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.02417991, 0.0584668, 0.1413722, 0.3418367, 0.8265581, 1.99861");
values("2.2686592, 2.4279444, 2.9471070, 7.7861743, 8.5020622, 8.4999120, 8.4945708", \
"6.2044194, 6.2053704, 6.2032293, 6.2228655, 6.2070835, 6.0595812, 6.0216970", \
"6.4219901, 6.4229876, 6.4237821, 6.4250619, 6.4277620, 6.4287612, 6.2929423", \
"6.6011415, 6.5751567, 6.5925390, 6.5736240, 6.6055343, 6.6076281, 6.6142187", \
"6.7680883, 6.8284848, 6.7757145, 6.8018282, 6.8283235, 6.8217918, 6.6872081", \
"6.9737749, 6.9720241, 6.9603021, 6.8482481, 6.9660801, 6.9643644, 6.9049150", \
"7.1362551, 7.0734555, 7.1476982, 7.1088044, 7.1800274, 7.1571295, 7.1402736");
}
fall_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.02417991, 0.0584668, 0.1413722, 0.3418367, 0.8265581, 1.99861");
values("4.5554573, 5.0475307, 5.8010183, 6.2674507, 6.4275180, 6.4339703, 6.4352774", \
"9.1136040, 9.1136751, 9.1136864, 9.1178957, 9.1210468, 9.1219549, 9.2918850", \
"9.1453321, 9.1459418, 9.1474502, 9.1515736, 9.1563241, 9.1440076, 9.1360461", \
"9.1175860, 9.1160387, 9.1137748, 9.1860703, 9.1901946, 9.1901495, 9.1382821", \
"9.1199626, 9.1174143, 9.1173909, 9.1176268, 9.2012196, 9.0865379, 9.1460346", \
"9.1308367, 9.1313996, 9.0751616, 9.0736888, 9.0752709, 9.2462401, 9.2152761", \
"9.1813319, 9.1822270, 9.1828836, 9.2741361, 9.2787904, 9.0652477, 9.2695773");
}
}
internal_power () {
related_pin : "PAD";
when : "( !IB_MODE_SEL & !VTRIP_SEL )";
rise_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.0241725, 0.058431, 0.1412423, 0.3414181, 0.8252931, 1.99494");
values("11.6081038, 11.7494979, 11.8764994, 11.9313214, 11.9069960, 11.8873028, 11.8790889", \
"47.3653030, 47.3779590, 47.3766410, 47.3754260, 47.3603620, 47.3767030, 46.6068820", \
"85.9997350, 85.9946210, 86.0275320, 85.9889870, 85.9899930, 85.9634590, 85.9235250", \
"123.6849900, 123.6575000, 123.6603200, 123.6672000, 123.6829500, 123.6086500, 123.5640900", \
"160.9476900, 160.9192100, 160.9166800, 160.9194000, 160.9961900, 160.8950300, 160.8438000", \
"197.7349300, 197.8450700, 197.7526300, 197.7536300, 197.6632100, 197.9724000, 197.6427400", \
"234.4708600, 234.4815700, 234.4837900, 234.4776000, 234.1808400, 234.2500600, 234.3417100");
}
fall_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.0241725, 0.058431, 0.1412423, 0.3414181, 0.8252931, 1.99494");
values("10.3009335, 10.3307185, 10.3713755, 10.4180865, 10.4588695, 10.4789495, 10.4933145", \
"36.8535860, 36.8529170, 36.8531280, 36.8603970, 36.8487320, 36.8478030, 37.3836240", \
"56.0528850, 56.0527010, 56.0491970, 56.0603370, 56.0632550, 56.0453840, 56.0352670", \
"75.4726560, 75.4743070, 75.4966020, 75.5043460, 75.5855790, 75.4789700, 75.4565300", \
"95.0098610, 94.9943870, 94.9853320, 95.0021800, 95.0605430, 95.0138580, 94.9518800", \
"114.7188200, 114.6714900, 114.7317900, 114.7111900, 114.8400100, 114.7140600, 114.6655500", \
"134.3410700, 134.3361100, 134.3115300, 134.4255000, 134.4540600, 134.4139800, 134.1844100");
}
}
internal_power () {
related_pin : "PAD";
when : "( !IB_MODE_SEL & VTRIP_SEL )";
rise_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.0241725, 0.058431, 0.1412423, 0.3414181, 0.8252931, 1.99494");
values("9.7685786, 9.9140054, 10.0454712, 10.0963477, 10.0672211, 10.0426385, 10.0046967", \
"13.7340450, 13.7503940, 13.7489200, 13.7505990, 13.7414270, 13.7343700, 13.0962660", \
"25.7103700, 25.6884050, 25.6840920, 25.6868550, 25.6942540, 25.6636760, 25.6276820", \
"37.0157000, 37.0497520, 37.0485730, 37.0407520, 36.9477640, 37.0310280, 36.9517750", \
"48.2104110, 48.1819620, 48.2676330, 48.2265170, 48.1070840, 48.2503450, 48.0925160", \
"59.1720010, 59.2192680, 59.2090540, 59.1626540, 59.1100220, 59.1594760, 59.1388950", \
"69.9964250, 69.9145320, 70.0299260, 69.9224080, 69.9992630, 69.9711400, 69.9626880");
}
fall_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.0241725, 0.058431, 0.1412423, 0.3414181, 0.8252931, 1.99494");
values("10.4265505, 10.4645445, 10.5213375, 10.5946585, 10.6513075, 10.6882675, 10.7076845", \
"29.6422780, 29.6431070, 29.6466500, 29.6538870, 29.6505460, 29.6468650, 30.2187440", \
"40.8281980, 40.8297770, 40.8347490, 40.8367370, 40.8377570, 40.8274980, 40.8304470", \
"52.0440500, 52.0620460, 52.0760410, 52.0656920, 52.0649150, 52.0470020, 52.0155030", \
"63.3582980, 63.3754230, 63.3578400, 63.3753740, 63.3453910, 63.3564100, 63.3082480", \
"74.7790510, 74.7438850, 74.7872670, 74.7500260, 74.7586440, 74.7461880, 74.7143110", \
"86.0841470, 86.0526030, 86.1934200, 86.0598880, 86.0833490, 86.0653150, 86.0914740");
}
}
internal_power () {
related_pin : "INP_DIS";
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.0241725, 0.058431, 0.1412423, 0.3414181, 0.8252931, 1.99494");
values("17.1656609, 17.5268669, 18.1875019, 18.8584129, 19.3453079, 19.5909429, 19.5915229", \
"17.1704126, 17.5314856, 18.1898106, 18.8601726, 19.3411836, 19.5865476, 19.6081276", \
"17.1660453, 17.5296783, 18.1882123, 18.8569803, 19.3484353, 19.5797603, 19.5987243", \
"17.1628575, 17.5606285, 18.1871415, 18.8607965, 19.3417195, 19.5894565, 19.5919895", \
"17.1713065, 17.5302155, 18.1794995, 18.8673595, 19.3436745, 19.5782355, 19.5900155", \
"17.1645697, 17.5318997, 18.1979897, 18.8601927, 19.3453997, 19.5898507, 19.5945147", \
"17.1522099, 17.5274179, 18.2044759, 18.8544469, 19.3549079, 19.5938029, 19.6082449");
}
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.0241725, 0.058431, 0.1412423, 0.3414181, 0.8252931, 1.99494");
values("23.3171838, 23.3684758, 23.4860798, 23.5890628, 23.7059298, 23.7698508, 23.7514038", \
"23.3308157, 23.3545367, 23.4822297, 23.6037417, 23.7115287, 23.7546087, 23.8005037", \
"23.3205326, 23.4049356, 23.4818916, 23.6183586, 23.6858246, 23.7165206, 23.7952316", \
"23.3432064, 23.4178494, 23.5153794, 23.6449424, 23.7495354, 23.7905464, 23.7785104", \
"23.3564798, 23.4253868, 23.4981118, 23.6152138, 23.7414408, 23.8237228, 23.7974538", \
"23.3657526, 23.4328336, 23.5312676, 23.6968436, 23.7324296, 23.8110606, 23.8544516", \
"23.4028185, 23.4513295, 23.5498485, 23.6498885, 23.8121265, 23.8696445, 23.8570575");
}
}
timing () {
related_pin : "PAD";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( IB_MODE_SEL )";
sdf_cond : " IB_MODE_SEL==1'b1 ";
cell_rise ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0241873, 0.0585025, 0.141502, 0.342254, 0.827819, 2.00227");
values("0.9490249, 0.9567178, 0.9752326, 1.0188744, 1.1207016, 1.3655769, 1.9573831", \
"2.5447549, 2.5527489, 2.5713325, 2.6144114, 2.7165905, 2.9613769, 3.5528152", \
"3.8200381, 3.8280308, 3.8466150, 3.8896908, 3.9918659, 4.2366453, 4.8276192", \
"4.1059018, 4.1140149, 4.1324215, 4.1754893, 4.2776538, 4.5223856, 5.1138653", \
"5.7576162, 5.7638738, 5.7823488, 5.8254299, 5.9276348, 6.1723728, 6.7638517", \
"7.1847892, 7.1847895, 7.1930191, 7.2361277, 7.3383819, 7.5830684, 8.1745064", \
"8.2747258, 8.3286675, 8.3448883, 8.3879641, 8.4889580, 8.7348058, 9.3256523", \
"9.9599733, 9.9599739, 10.0065590, 10.0496070, 10.1517560, 10.3964880, 10.9872800", \
"31.3219810, 31.6686160, 31.6831790, 31.7266570, 31.8284740, 32.0732600, 32.6649570", \
"45.0252490, 45.0252514, 45.0701590, 45.1275850, 45.2153410, 45.4604250, 46.0579240", \
"102.0216400, 102.5135200, 102.5135232, 102.7125200, 102.7125206, 103.4684000, 104.3585400", \
"308.2670300, 309.2837300, 309.4430100, 309.4430390, 309.4572800, 309.4572907, 310.2166800");
}
rise_transition ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0241873, 0.0585025, 0.141502, 0.342254, 0.827819, 2.00227");
values("0.0520710, 0.0611997, 0.0855264, 0.1444683, 0.2899262, 0.6433701, 1.5047014", \
"0.0515268, 0.0615479, 0.0856202, 0.1444293, 0.2898377, 0.6438478, 1.5111776", \
"0.0515255, 0.0615709, 0.0856008, 0.1445784, 0.2898364, 0.6438434, 1.5061026", \
"0.0523043, 0.0619980, 0.0855051, 0.1449469, 0.2899686, 0.6434423, 1.4999858", \
"0.0517178, 0.0612366, 0.0855827, 0.1447089, 0.2899302, 0.6436458, 1.4975222", \
"0.0516452, 0.0615365, 0.0855088, 0.1447231, 0.2898302, 0.6436382, 1.4980712", \
"0.0517628, 0.0613490, 0.0853895, 0.1449612, 0.2899826, 0.6440114, 1.5025001", \
"0.0515933, 0.0621264, 0.0854010, 0.1449653, 0.2899797, 0.6438465, 1.5003359", \
"0.0516616, 0.0613577, 0.0856135, 0.1445139, 0.2898411, 0.6438877, 1.5030438", \
"0.0517577, 0.0620567, 0.0855633, 0.1446917, 0.2899853, 0.6438334, 1.4997865", \
"0.0515878, 0.0619780, 0.0856073, 0.1445658, 0.2899814, 0.6437190, 1.4966981", \
"0.0516777, 0.0617567, 0.0856067, 0.1449781, 0.2898304, 0.6439291, 1.4994828");
}
cell_fall ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0241873, 0.0585025, 0.141502, 0.342254, 0.827819, 2.00227");
values("0.8280914, 0.8385104, 0.8610818, 0.9076653, 0.9968219, 1.1775594, 1.5856115", \
"2.1778885, 2.1882673, 2.2111337, 2.2574869, 2.3464737, 2.5272484, 2.9354832", \
"3.0166170, 3.0270171, 3.0499234, 3.0962382, 3.1852672, 3.3670391, 3.7738523", \
"3.1914653, 3.2018326, 3.2247622, 3.2710803, 3.3601006, 3.5407914, 3.9486525", \
"4.1727359, 4.1824451, 4.2120707, 4.2610475, 4.3410089, 4.5215156, 4.9295910", \
"4.9481095, 4.9583546, 4.9583548, 4.9803890, 5.1166062, 5.2966258, 5.7053873", \
"5.4869629, 5.4974407, 5.5177818, 5.5657301, 5.6556657, 5.8365091, 6.2443668", \
"6.1606484, 6.2295546, 6.2513252, 6.2978445, 6.3878538, 6.5687615, 6.9766064", \
"14.5626620, 14.5626627, 14.5894400, 14.6332900, 14.7157560, 14.8954210, 15.3026660", \
"19.2938630, 19.2938643, 19.3272400, 19.3749660, 19.3804490, 19.5615130, 19.9716490", \
"38.3845130, 38.3945990, 38.4076100, 38.4539480, 38.5048790, 38.7347930, 39.1144360", \
"104.8334100, 104.8641900, 104.8798000, 104.9254300, 105.0187700, 105.1928800, 105.6062600");
}
fall_transition ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0241873, 0.0585025, 0.141502, 0.342254, 0.827819, 2.00227");
values("0.0621352, 0.0706408, 0.0904403, 0.1304879, 0.2156733, 0.4205142, 0.9414423", \
"0.0625978, 0.0708332, 0.0904994, 0.1303880, 0.2157789, 0.4239963, 0.9493921", \
"0.0625306, 0.0709595, 0.0904571, 0.1303793, 0.2173423, 0.4226323, 0.9454847", \
"0.0625512, 0.0707153, 0.0904536, 0.1303758, 0.2173017, 0.4229443, 0.9449732", \
"0.0622613, 0.0712072, 0.0906169, 0.1303807, 0.2173295, 0.4238255, 0.9449839", \
"0.0621411, 0.0710230, 0.0906064, 0.1304434, 0.2174325, 0.4211132, 0.9439816", \
"0.0621553, 0.0710976, 0.0906222, 0.1304813, 0.2174393, 0.4209281, 0.9453315", \
"0.0626316, 0.0712186, 0.0904863, 0.1304013, 0.2170362, 0.4228205, 0.9460912", \
"0.0622192, 0.0713731, 0.0907454, 0.1305182, 0.2173966, 0.4237702, 0.9461772", \
"0.0626048, 0.0715170, 0.0904020, 0.1305430, 0.2171328, 0.4237265, 0.9465297", \
"0.0624263, 0.0715253, 0.0906343, 0.1304798, 0.2172297, 0.4211598, 0.9466554", \
"0.0623573, 0.0712521, 0.0903530, 0.1305874, 0.2162517, 0.4228014, 0.9464560");
}
}
timing () {
related_pin : "PAD";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !IB_MODE_SEL & !VTRIP_SEL )";
sdf_cond : " IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
cell_rise ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.024167, 0.0584042, 0.141145, 0.341105, 0.824348, 1.9922");
values("0.6477564, 0.6557559, 0.6740473, 0.7169307, 0.8182712, 1.0619248, 1.6511325", \
"1.0618364, 1.0698872, 1.0884582, 1.1310556, 1.2325276, 1.4760186, 2.0673778", \
"1.2268213, 1.2349498, 1.2533599, 1.2959420, 1.3972531, 1.6407297, 2.2321924", \
"1.2625143, 1.2706149, 1.2891721, 1.3317687, 1.4332249, 1.6767213, 2.2679525", \
"1.4377860, 1.4493484, 1.4643808, 1.5069923, 1.6084710, 1.8519026, 2.4431324", \
"1.5639318, 1.5697480, 1.5927494, 1.6315446, 1.7331657, 1.9805120, 2.5715179", \
"1.6547952, 1.6580504, 1.6762290, 1.7245905, 1.8259160, 2.0689552, 2.6601172", \
"1.7685120, 1.7778663, 1.7956296, 1.8386934, 1.9393045, 2.1821589, 2.7738742", \
"3.0024674, 3.0159404, 3.0285601, 3.0980232, 3.1785601, 3.4164434, 4.0080721", \
"3.7101431, 3.7210244, 3.7451460, 3.7820591, 3.8754977, 4.1248649, 4.7156079", \
"6.4659456, 6.4681158, 6.4975718, 6.5401786, 6.6294943, 6.8826012, 7.4706632", \
"15.8869060, 15.8869062, 15.8922880, 15.9393720, 16.0422400, 16.3018700, 16.8623000");
}
rise_transition ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.024167, 0.0584042, 0.141145, 0.341105, 0.824348, 1.9922");
values("0.0520758, 0.0610670, 0.0850124, 0.1435677, 0.2889829, 0.6401568, 1.4970705", \
"0.0513720, 0.0609858, 0.0850223, 0.1445809, 0.2898066, 0.6416026, 1.5041718", \
"0.0513694, 0.0609840, 0.0850053, 0.1445855, 0.2898382, 0.6415563, 1.5004060", \
"0.0513494, 0.0609857, 0.0849921, 0.1446259, 0.2897919, 0.6415521, 1.4989567", \
"0.0511702, 0.0610102, 0.0849403, 0.1444283, 0.2898601, 0.6413082, 1.5014663", \
"0.0513761, 0.0610032, 0.0849516, 0.1446027, 0.2897876, 0.6413326, 1.4949401", \
"0.0513713, 0.0609837, 0.0850178, 0.1446230, 0.2898208, 0.6415172, 1.4979995", \
"0.0513674, 0.0609872, 0.0849663, 0.1445987, 0.2898041, 0.6415992, 1.4995087", \
"0.0513226, 0.0610264, 0.0849764, 0.1444817, 0.2898501, 0.6415975, 1.5000574", \
"0.0513389, 0.0609836, 0.0850585, 0.1446013, 0.2895000, 0.6413589, 1.4977501", \
"0.0513827, 0.0610216, 0.0849963, 0.1446194, 0.2898423, 0.6415105, 1.4993834", \
"0.0513516, 0.0609838, 0.0847408, 0.1445766, 0.2898087, 0.6416049, 1.4993835");
}
cell_fall ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.024167, 0.0584042, 0.141145, 0.341105, 0.824348, 1.9922");
values("0.7807773, 0.7909079, 0.8119206, 0.8555160, 0.9417512, 1.1189568, 1.5236206", \
"2.1544480, 2.1641438, 2.1858002, 2.2294184, 2.3153273, 2.4926523, 2.8975671", \
"3.4106056, 3.4146901, 3.4419569, 3.4855763, 3.5714856, 3.7488848, 4.1531094", \
"3.6977694, 3.7112320, 3.7290704, 3.7726120, 3.8585051, 4.0361194, 4.4427875", \
"5.4918079, 5.4998099, 5.5231038, 5.5666534, 5.6443117, 5.8301612, 6.2659522", \
"7.0141866, 7.0254558, 7.0440711, 7.0783459, 7.1696111, 7.3524715, 7.7584454", \
"8.1953502, 8.1953512, 8.2182021, 8.2605505, 8.3549931, 8.5337506, 8.9261709", \
"9.8769029, 9.8917496, 9.9177173, 9.9515551, 10.0427990, 10.1970540, 10.6190580", \
"34.5272610, 34.5369990, 34.5601020, 34.5925950, 34.6415410, 34.8655330, 35.2332210", \
"50.9783290, 51.0018840, 51.0235910, 51.0529330, 51.1540460, 51.3006600, 51.7261110", \
"124.7203300, 124.7324700, 124.7512800, 124.7953200, 124.8816500, 125.0577000, 125.4732600", \
"410.3078200, 410.3623900, 410.3669700, 410.4275000, 410.4817000, 410.6892700, 411.0498300");
}
fall_transition ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.024167, 0.0584042, 0.141145, 0.341105, 0.824348, 1.9922");
values("0.0591228, 0.0676566, 0.0866051, 0.1260151, 0.2093909, 0.4146919, 0.9326083", \
"0.0607321, 0.0681103, 0.0861243, 0.1262318, 0.2125846, 0.4197164, 0.9453995", \
"0.0607210, 0.0681099, 0.0861035, 0.1262306, 0.2129626, 0.4202680, 0.9514592", \
"0.0600108, 0.0679618, 0.0864518, 0.1264930, 0.2132028, 0.4199731, 0.9516460", \
"0.0599907, 0.0680398, 0.0863825, 0.1265276, 0.2132747, 0.4198463, 0.9501842", \
"0.0606839, 0.0681217, 0.0860993, 0.1261047, 0.2145575, 0.4202870, 0.9427779", \
"0.0599661, 0.0679521, 0.0863786, 0.1272354, 0.2134506, 0.4196242, 0.9494044", \
"0.0600276, 0.0680600, 0.0861144, 0.1262309, 0.2129657, 0.4202753, 0.9428116", \
"0.0605842, 0.0681034, 0.0863325, 0.1265312, 0.2129582, 0.4202869, 0.9514381", \
"0.0607279, 0.0680109, 0.0871125, 0.1272157, 0.2132204, 0.4195095, 0.9491570", \
"0.0596993, 0.0681098, 0.0871326, 0.1265786, 0.2129150, 0.4186592, 0.9430311", \
"0.0604592, 0.0680942, 0.0870562, 0.1265343, 0.2133215, 0.4203564, 0.9441194");
}
}
timing () {
related_pin : "PAD";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !IB_MODE_SEL & VTRIP_SEL )";
sdf_cond : " IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
cell_rise ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.024167, 0.0584042, 0.141145, 0.341105, 0.824348, 1.9922");
values("0.6372382, 0.6451702, 0.6638251, 0.7063002, 0.8074494, 1.0509841, 1.6385761", \
"0.0687560, 0.0768368, 0.0953874, 0.1379821, 0.2393759, 0.4829113, 1.0741852", \
"-0.7908659, -0.7830127, -0.7642180, -0.7216089, -0.6201929, -0.3778303, 0.2146247", \
"-1.0074022, -0.9992928, -0.9807627, -0.9374212, -0.8367045, -0.5932190, -0.0019071", \
"-2.4044551, -2.3966007, -2.3778650, -2.3354918, -2.2337319, -1.9897330, -1.3992376", \
"-3.6560277, -3.6547743, -3.6294425, -3.5891925, -3.4866421, -3.2419210, -2.6510345", \
"-4.6498112, -4.6423905, -4.6229034, -4.5817257, -4.4776001, -4.2344706, -3.6432330", \
"-6.0968075, -6.0886132, -6.0702878, -6.0282348, -5.9264664, -5.6839906, -5.0899422", \
"-28.4134000, -28.4133996, -28.3995640, -28.3517710, -28.2401390, -27.9974040, -27.4369110", \
"-43.7400270, -43.7400264, -43.7117650, -43.6691000, -43.5643770, -43.3370530, -42.7297060", \
"-113.3149000, -113.3148994, -113.2940900, -113.2473900, -113.1501400, -112.9013100, -112.3119300", \
"-386.0890300, -386.0654700, -386.0654449, -386.0216400, -385.9095200, -385.6589500, -385.0890100");
}
rise_transition ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.024167, 0.0584042, 0.141145, 0.341105, 0.824348, 1.9922");
values("0.0509649, 0.0611363, 0.0849657, 0.1441772, 0.2889995, 0.6408362, 1.4974048", \
"0.0513697, 0.0609960, 0.0849704, 0.1446067, 0.2898026, 0.6416210, 1.4965390", \
"0.0513580, 0.0609967, 0.0849834, 0.1446161, 0.2898268, 0.6415980, 1.4994884", \
"0.0513425, 0.0609928, 0.0850048, 0.1445778, 0.2897976, 0.6415398, 1.4994032", \
"0.0514200, 0.0609976, 0.0849760, 0.1445960, 0.2898456, 0.6415901, 1.4960522", \
"0.0514021, 0.0610015, 0.0850069, 0.1444749, 0.2898433, 0.6420174, 1.5018804", \
"0.0512214, 0.0610200, 0.0850167, 0.1444786, 0.2897401, 0.6411892, 1.4977740", \
"0.0514206, 0.0610194, 0.0849810, 0.1446230, 0.2898409, 0.6414489, 1.4960485", \
"0.0512381, 0.0610058, 0.0851586, 0.1446082, 0.2898613, 0.6411874, 1.4962315", \
"0.0514285, 0.0609938, 0.0849704, 0.1444692, 0.2898417, 0.6420164, 1.4968399", \
"0.0512803, 0.0609927, 0.0850306, 0.1444622, 0.2897212, 0.6415399, 1.4994008", \
"0.0513855, 0.0610293, 0.0849537, 0.1445613, 0.2898790, 0.6416646, 1.5003428");
}
cell_fall ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.024167, 0.0584042, 0.141145, 0.341105, 0.824348, 1.9922");
values("0.8939131, 0.9039272, 0.9255737, 0.9684119, 1.0550294, 1.2322292, 1.6366837", \
"2.7629090, 2.7726068, 2.7942595, 2.8378824, 2.9237925, 3.1011904, 3.5053769", \
"4.5309401, 4.5406159, 4.5622714, 4.6058499, 4.6917794, 4.8689199, 5.2740492", \
"4.9437716, 4.9534643, 4.9751212, 5.0187401, 5.1046496, 5.2820517, 5.6868483", \
"7.4855482, 7.4953697, 7.5166584, 7.5602671, 7.6467215, 7.8240001, 8.2286791", \
"9.6541912, 9.6641619, 9.6857251, 9.7336148, 9.8152961, 9.9927053, 10.3972050", \
"11.3387470, 11.3465270, 11.3681880, 11.4108190, 11.4974750, 11.6751550, 12.0796740", \
"13.7494840, 13.7604490, 13.7740450, 13.8271560, 13.9085700, 14.0799630, 14.4828840", \
"48.9913390, 48.9998020, 49.0208190, 49.0653030, 49.1529020, 49.3281860, 49.7324320", \
"72.5409710, 72.5493980, 72.5718790, 72.6154920, 72.7015820, 72.8802830, 73.2844610", \
"177.9927600, 178.0004600, 178.0207300, 178.0652200, 178.1514800, 178.3270300, 178.7335700", \
"586.2637500, 586.2709100, 586.2917800, 586.3356600, 586.4207000, 586.6018000, 587.0020300");
}
fall_transition ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.024167, 0.0584042, 0.141145, 0.341105, 0.824348, 1.9922");
values("0.0593293, 0.0673704, 0.0860349, 0.1252192, 0.2101502, 0.4156024, 0.9326163", \
"0.0607097, 0.0681088, 0.0860946, 0.1262287, 0.2129498, 0.4202722, 0.9424009", \
"0.0607692, 0.0681149, 0.0860140, 0.1262451, 0.2134115, 0.4183897, 0.9403532", \
"0.0607107, 0.0681090, 0.0860962, 0.1262291, 0.2129604, 0.4166314, 0.9431397", \
"0.0595731, 0.0674498, 0.0870984, 0.1264889, 0.2134100, 0.4198651, 0.9409941", \
"0.0606780, 0.0681027, 0.0860294, 0.1261472, 0.2129795, 0.4199426, 0.9401655", \
"0.0606351, 0.0680921, 0.0859140, 0.1261806, 0.2131927, 0.4198586, 0.9402102", \
"0.0606697, 0.0678338, 0.0860633, 0.1262210, 0.2129637, 0.4199228, 0.9434270", \
"0.0596483, 0.0680551, 0.0859184, 0.1266814, 0.2130369, 0.4190610, 0.9401280", \
"0.0604733, 0.0681117, 0.0862659, 0.1262320, 0.2117909, 0.4180838, 0.9343016", \
"0.0600182, 0.0680967, 0.0861975, 0.1271057, 0.2115944, 0.4174230, 0.9370157", \
"0.0599497, 0.0680838, 0.0862706, 0.1262639, 0.2137098, 0.4183066, 0.9389567");
}
}
timing () {
related_pin : "INP_DIS";
timing_type : "combinational";
timing_sense : "negative_unate";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.0241725, 0.058431, 0.141242, 0.341418, 0.825293, 1.99494");
values("2.5563007, 2.5644453, 2.5828180, 2.6259046, 2.7272413, 2.9715326, 3.5642616", \
"2.6906710, 2.6981961, 2.7159468, 2.7587925, 2.8613558, 3.1047167, 3.6959966", \
"2.7985954, 2.8066096, 2.8250715, 2.8680609, 2.9694942, 3.2137084, 3.8061665", \
"2.8856229, 2.8897320, 2.9120888, 2.9551164, 3.0564968, 3.3007095, 3.8914134", \
"2.9594551, 2.9674372, 2.9859542, 3.0289173, 3.1303726, 3.3745511, 3.9665875", \
"3.0248120, 3.0331577, 3.0513136, 3.0941971, 3.1956491, 3.4397831, 4.0315995", \
"3.1008214, 3.1088441, 3.1272995, 3.1702876, 3.2717331, 3.5159431, 4.1085140");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.0241725, 0.058431, 0.141242, 0.341418, 0.825293, 1.99494");
values("0.0513581, 0.0610808, 0.0849709, 0.1449689, 0.2900702, 0.6411141, 1.4978198", \
"0.0513619, 0.0610572, 0.0853545, 0.1448509, 0.2903857, 0.6426604, 1.5011422", \
"0.0512557, 0.0611337, 0.0850044, 0.1449921, 0.2906663, 0.6414350, 1.4988255", \
"0.0513441, 0.0611557, 0.0849748, 0.1450988, 0.2904127, 0.6428054, 1.5000599", \
"0.0512494, 0.0611557, 0.0848920, 0.1449351, 0.2906564, 0.6405745, 1.5014381", \
"0.0512346, 0.0610937, 0.0849218, 0.1450964, 0.2907739, 0.6428722, 1.4980835", \
"0.0512521, 0.0611198, 0.0849254, 0.1450290, 0.2906200, 0.6417749, 1.4975888");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.0241725, 0.058431, 0.141242, 0.341418, 0.825293, 1.99494");
values("1.9841424, 1.9937394, 2.0151139, 2.0586750, 2.1437385, 2.3200342, 2.7211842", \
"2.0577968, 2.0673916, 2.0887647, 2.1323270, 2.2173923, 2.3936821, 2.7948539", \
"2.0853022, 2.0950288, 2.1163788, 2.1599498, 2.2450088, 2.4213438, 2.8224838", \
"2.1020405, 2.1117845, 2.1334949, 2.1766959, 2.2617421, 2.4380400, 2.8392004", \
"2.1084068, 2.1180018, 2.1392907, 2.1828515, 2.2679174, 2.4442890, 2.8453822", \
"2.1121758, 2.1217650, 2.1431548, 2.1867212, 2.2717852, 2.4480333, 2.8492155", \
"2.1110889, 2.1208171, 2.1420976, 2.1856292, 2.2710289, 2.4469040, 2.8480911");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.0241725, 0.058431, 0.141242, 0.341418, 0.825293, 1.99494");
values("0.0604816, 0.0673007, 0.0847807, 0.1242252, 0.2107575, 0.4152254, 0.9378427", \
"0.0600371, 0.0672891, 0.0847911, 0.1242049, 0.2108137, 0.4150727, 0.9378122", \
"0.0593607, 0.0674276, 0.0846717, 0.1242621, 0.2106046, 0.4150887, 0.9378219", \
"0.0593580, 0.0674180, 0.0847820, 0.1241336, 0.2106123, 0.4155839, 0.9378458", \
"0.0600493, 0.0672624, 0.0847761, 0.1242072, 0.2108253, 0.4150579, 0.9378187", \
"0.0603325, 0.0674243, 0.0849444, 0.1239968, 0.2110246, 0.4146724, 0.9380627", \
"0.0591268, 0.0667683, 0.0848171, 0.1237745, 0.2112308, 0.4137932, 0.9383188");
}
}
/* xipath_xi106_net57#194_2_in_5000 */
ccsn_last_stage () {
is_needed : "true";
stage_type : "both";
is_inverting : "true";
when : "( OE_N & !IB_MODE_SEL & !VTRIP_SEL )";
miller_cap_fall : "0.00886148";
miller_cap_rise : "0.00409391";
dc_current ("ccsn_dc") {
index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
values("14.2715, 6.54505, 5.90368, 5.60514, 5.26614, 5.08203, 4.88847, 4.68566, 4.47382, 4.25315, 4.02384, 3.78607, 3.54002, 3.28587, 3.02379, 2.75394, 2.47648, 2.19155, 1.89931, 1.59991, 1.29347, 0.980126, 0.660019, 0.333269, -5.81717e-09, -0.662369, -1.30628, -3.24147, -9.61107", \
"13.2757, 5.06731, 4.79394, 4.67556, 4.53015, 4.4409, 4.33681, 4.21648, 4.07965, 3.92655, 3.75764, 3.57344, 3.37447, 3.16125, 2.93425, 2.69396, 2.44083, 2.17527, 1.8977, 1.60849, 1.308, 0.99659, 0.674579, 0.342283, -1.98555e-09, -0.689067, -1.36868, -3.43707, -9.67469", \
"12.3454, 3.51284, 3.34428, 3.28217, 3.21261, 3.17419, 3.13266, 3.08729, 3.03697, 2.97984, 2.9125, 2.82982, 2.72737, 2.60341, 2.458, 2.29182, 2.10577, 1.90073, 1.67757, 1.4371, 1.18008, 0.907213, 0.619172, 0.316574, -4.86623e-09, -0.652463, -1.31418, -3.40106, -9.67678", \
"12.0457, 3.00753, 2.75922, 2.71065, 2.65728, 2.6283, 2.59744, 2.56432, 2.52844, 2.4891, 2.44521, 2.39484, 2.33416, 2.25697, 2.15801, 2.03545, 1.88954, 1.72122, 1.53155, 1.32159, 1.09233, 0.844704, 0.579582, 0.297765, -5.29606e-09, -0.623075, -1.26606, -3.33842, -9.66473", \
"11.7477, 2.6981, 2.15131, 2.11441, 2.07457, 2.05327, 2.03086, 2.00715, 1.9819, 1.95478, 1.92537, 1.89304, 1.85678, 1.81468, 1.7624, 1.6924, 1.59841, 1.47862, 1.33356, 1.16443, 0.972503, 0.758993, 0.52505, 0.271728, -7.90862e-09, -0.581694, -1.19715, -3.2405, -9.64374", \
"11.6021, 2.58628, 1.84765, 1.81595, 1.78202, 1.76401, 1.74517, 1.72537, 1.70443, 1.68214, 1.65822, 1.63226, 1.60371, 1.57163, 1.53411, 1.4866, 1.42073, 1.32968, 1.2117, 1.06753, 0.898489, 0.705954, 0.491235, 0.255545, -8.57103e-09, -0.555791, -1.15368, -3.17619, -9.62942", \
"11.4612, 2.49319, 1.55056, 1.52311, 1.4946, 1.47957, 1.46393, 1.44758, 1.43042, 1.4123, 1.39303, 1.37236, 1.34995, 1.32528, 1.29752, 1.26482, 1.22236, 1.16085, 1.07293, 0.956995, 0.813976, 0.645331, 0.452545, 0.237008, -7.90484e-09, -0.526034, -1.10358, -3.10049, -9.6123", \
"11.3276, 2.41934, 1.2737, 1.24093, 1.21734, 1.205, 1.19222, 1.17895, 1.1651, 1.15058, 1.13528, 1.11902, 1.10162, 1.08277, 1.06203, 1.03866, 1.0109, 0.973672, 0.916655, 0.831963, 0.71822, 0.576573, 0.40862, 0.215941, -4.11505e-08, -0.492151, -1.04637, -3.01248, -9.59221", \
"11.204, 2.36711, 1.06285, 0.974968, 0.955656, 0.945732, 0.935511, 0.924952, 0.914005, 0.902611, 0.890692, 0.878154, 0.864871, 0.850675, 0.83533, 0.818475, 0.79946, 0.776664, 0.744727, 0.692175, 0.610696, 0.49923, 0.359152, 0.192187, -9.61962e-07, -0.453901, -0.981645, -2.91124, -9.56902", \
"11.0928, 2.33953, 0.938375, 0.734818, 0.71516, 0.707397, 0.699444, 0.691275, 0.682858, 0.674157, 0.665125, 0.655707, 0.645829, 0.635398, 0.624284, 0.612308, 0.599187, 0.584389, 0.566442, 0.539709, 0.491442, 0.413054, 0.30391, 0.165607, -2.4205e-05, -0.411107, -0.909088, -2.79583, -9.5427", \
"10.9978, 2.33985, 0.878646, 0.554651, 0.501395, 0.495472, 0.489501, 0.483406, 0.477166, 0.47076, 0.464162, 0.457339, 0.450254, 0.442854, 0.435074, 0.426823, 0.417973, 0.408319, 0.397452, 0.38408, 0.362289, 0.317957, 0.242472, 0.135721, -0.00050638, -0.364099, -0.82894, -2.6658, -9.5139", \
"10.9218, 2.3716, 0.869824, 0.464948, 0.319058, 0.31291, 0.308391, 0.303887, 0.29933, 0.294695, 0.289964, 0.28512, 0.28014, 0.274999, 0.269666, 0.264097, 0.258237, 0.252003, 0.245261, 0.237725, 0.228318, 0.210888, 0.1699, 0.0970826, -0.0071013, -0.318708, -0.747215, -2.52715, -9.49017", \
"10.8675, 2.43857, 0.906039, 0.442301, 0.172756, 0.146451, 0.140075, 0.135412, 0.131106, 0.126923, 0.122783, 0.118642, 0.114473, 0.110256, 0.10597, 0.101594, 0.097104, 0.0924668, 0.0876372, 0.0825405, 0.0770043, 0.0702221, 0.0559941, 0.0175482, -0.0526243, -0.307829, -0.696986, -2.41385, -9.50258", \
"10.8384, 2.5452, 0.984794, 0.465302, 0.0717971, -0.0176402, -0.0456349, -0.0559482, -0.062934, -0.0687686, -0.0740448, -0.0790016, -0.0837592, -0.0883869, -0.0929295, -0.0974187, -0.101879, -0.106332, -0.110799, -0.115306, -0.119887, -0.12463, -0.130042, -0.142079, -0.178032, -0.370468, -0.715227, -2.35882, -9.5725", \
"10.8372, 2.69482, 1.10354, 0.525348, 0.0197909, -0.144652, -0.228084, -0.257398, -0.271709, -0.281631, -0.289643, -0.296612, -0.302934, -0.308817, -0.314386, -0.319723, -0.324881, -0.329899, -0.334808, -0.339631, -0.34439, -0.34911, -0.353835, -0.358892, -0.37065, -0.495211, -0.789041, -2.34705, -9.68169", \
"10.8598, 2.87756, 1.24812, 0.608365, 0.00362318, -0.228908, -0.380563, -0.449793, -0.478816, -0.496003, -0.508599, -0.518869, -0.527758, -0.535741, -0.543088, -0.549964, -0.556482, -0.562716, -0.568722, -0.574543, -0.580212, -0.58576, -0.591217, -0.596629, -0.602634, -0.664812, -0.899591, -2.36077, -9.81205", \
"10.8917, 3.0687, 1.39317, 0.692278, 0.000588757, -0.290947, -0.507194, -0.633164, -0.687648, -0.716116, -0.735271, -0.750052, -0.762362, -0.773103, -0.782768, -0.791651, -0.799943, -0.807774, -0.815236, -0.822397, -0.829312, -0.836024, -0.842575, -0.849013, -0.855433, -0.880661, -1.043, -2.39256, -9.95347", \
"10.9235, 3.25389, 1.52442, 0.766767, 9.6642e-05, -0.34165, -0.614527, -0.802313, -0.895593, -0.940697, -0.968649, -0.989101, -1.00553, -1.0195, -1.03181, -1.04295, -1.05321, -1.0628, -1.07184, -1.08045, -1.0887, -1.09666, -1.10437, -1.11191, -1.11933, -1.13577, -1.22309, -2.43935, -10.0999", \
"10.9544, 3.42954, 1.63776, 0.830273, 1.5891e-05, -0.383821, -0.704954, -0.950251, -1.09426, -1.16346, -1.20314, -1.23064, -1.25194, -1.2696, -1.28486, -1.29847, -1.31084, -1.32229, -1.33299, -1.34311, -1.35273, -1.36196, -1.37085, -1.37949, -1.38794, -1.40489, -1.44332, -2.49993, -10.2474", \
"10.9833, 3.59497, 1.7328, 0.883302, 2.52139e-06, -0.418628, -0.780181, -1.07486, -1.27506, -1.37805, -1.43356, -1.4699, -1.497, -1.51888, -1.53744, -1.55374, -1.56839, -1.5818, -1.59425, -1.60592, -1.61696, -1.62749, -1.63758, -1.64733, -1.65682, -1.67548, -1.69799, -2.5742, -10.3938", \
"11.0102, 3.74996, 1.81073, 0.926784, 3.34016e-07, -0.446982, -0.841921, -1.17757, -1.43153, -1.57848, -1.65557, -1.7032, -1.73731, -1.76408, -1.78635, -1.8056, -1.82271, -1.83823, -1.85251, -1.86582, -1.87833, -1.89018, -1.9015, -1.91238, -1.92292, -1.94343, -1.9647, -2.66291, -10.5377", \
"11.0351, 3.89422, 1.87328, 0.961737, 3.08528e-08, -0.469727, -0.891866, -1.26098, -1.56112, -1.75889, -1.86525, -1.9276, -1.97031, -2.00284, -2.02933, -2.05187, -2.07167, -2.08944, -2.10567, -2.12069, -2.13474, -2.14798, -2.16056, -2.17259, -2.18419, -2.20658, -2.22907, -2.76729, -10.6782", \
"11.0582, 4.02724, 1.92232, 0.989178, 7.2507e-09, -0.487638, -0.931617, -1.32776, -1.66525, -1.91414, -2.05861, -2.14047, -2.19399, -2.2334, -2.26476, -2.291, -2.31375, -2.33398, -2.3523, -2.36913, -2.38478, -2.39947, -2.41336, -2.42658, -2.43927, -2.46357, -2.48758, -2.88882, -10.8152", \
"11.0793, 4.14821, 1.95959, 1.01007, 6.26949e-09, -0.501418, -0.962638, -1.38038, -1.7473, -2.04133, -2.23139, -2.33918, -2.40654, -2.45434, -2.49141, -2.52187, -2.54791, -2.57082, -2.59139, -2.61017, -2.62752, -2.64372, -2.65897, -2.67343, -2.68725, -2.7135, -2.73908, -3.02907, -10.9486", \
"11.0987, 4.25595, 1.98673, 1.02532, 6.21715e-09, -0.511688, -0.98623, -1.421, -1.81089, -2.14116, -2.37933, -2.5208, -2.60618, -2.66443, -2.7083, -2.74363, -2.77338, -2.79926, -2.82229, -2.84315, -2.86232, -2.88012, -2.8968, -2.91255, -2.92753, -2.95581, -2.98299, -3.18941, -11.0785", \
"11.1322, 4.42537, 2.01619, 1.04201, 6.21556e-09, -0.523805, -1.01556, -1.4735, -1.89487, -2.27349, -2.59172, -2.81892, -2.95845, -3.04698, -3.10935, -3.15717, -3.19605, -3.22899, -3.25771, -3.28332, -3.30654, -3.32786, -3.34765, -3.36619, -3.38368, -3.41625, -3.4468, -3.56928, -11.3286", \
"11.1592, 4.52219, 2.02038, 1.04471, 6.9357e-09, -0.527512, -1.02702, -1.49728, -1.9366, -2.3421, -2.70673, -3.01156, -3.22983, -3.36875, -3.46044, -3.52664, -3.57811, -3.62029, -3.65618, -3.68757, -3.71559, -3.741, -3.76435, -3.78601, -3.8063, -3.8436, -3.87788, -3.98796, -11.5673", \
"11.2042, 4.45371, 1.94616, 1.00529, 1.42046e-07, -0.510551, -1.00133, -1.47177, -1.92128, -2.34916, -2.75453, -3.136, -3.49069, -3.81165, -4.08334, -4.28862, -4.43236, -4.53487, -4.61233, -4.67412, -4.72549, -4.76957, -4.8083, -4.84296, -4.87442, -4.93012, -4.97877, -5.10494, -12.2039", \
"11.1637, 4.00395, 1.72745, 0.888842, 4.65295e-06, -0.452011, -0.891524, -1.31834, -1.73225, -2.13305, -2.52054, -2.89449, -3.25466, -3.60078, -3.93255, -4.24952, -4.55097, -4.83557, -5.10044, -5.33953, -5.54345, -5.70632, -5.83252, -5.93161, -6.01188, -6.13662, -6.2323, -6.43591, -12.9813");
}
output_voltage_rise () {
vector ("ccsn_ovrf") {
index_1("0.01");
index_2("0.0319164");
index_3("0.0279880, 0.0440605, 0.0617688, 0.0821052, 0.1183710");
values("0.195, 0.585, 0.975, 1.365, 1.755");
}
vector ("ccsn_ovrf") {
index_1("0.01");
index_2("0.0957492");
index_3("0.0344261, 0.0630240, 0.0937413, 0.1317891, 0.1950532");
values("0.195, 0.585, 0.975, 1.365, 1.755");
}
vector ("ccsn_ovrf") {
index_1("0.1");
index_2("0.0319164");
index_3("0.0943418, 0.1128132, 0.1299217, 0.1505235, 0.1868303");
values("0.195, 0.585, 0.975, 1.365, 1.755");
}
vector ("ccsn_ovrf") {
index_1("0.1");
index_2("0.0957492");
index_3("0.1032050, 0.1321353, 0.1629765, 0.2002139, 0.2637778");
values("0.195, 0.585, 0.975, 1.365, 1.755");
}
}
output_voltage_fall () {
vector ("ccsn_ovrf") {
index_1("0.01");
index_2("0.045039");
index_3("0.0254493, 0.0385783, 0.0521227, 0.0670860, 0.0887394");
values("1.755, 1.365, 0.975, 0.585, 0.195");
}
vector ("ccsn_ovrf") {
index_1("0.01");
index_2("0.135117");
index_3("0.0318133, 0.0571585, 0.0832936, 0.1122604, 0.1547012");
values("1.755, 1.365, 0.975, 0.585, 0.195");
}
vector ("ccsn_ovrf") {
index_1("0.1");
index_2("0.045039");
index_3("0.0820914, 0.1001486, 0.1140389, 0.1287216, 0.1502549");
values("1.755, 1.365, 0.975, 0.585, 0.195");
}
vector ("ccsn_ovrf") {
index_1("0.1");
index_2("0.135117");
index_3("0.0920315, 0.1195424, 0.1457644, 0.1745637, 0.2170263");
values("1.755, 1.365, 0.975, 0.585, 0.195");
}
}
propagated_noise_low () {
vector ("ccsn_pnlh") {
index_1("1.31876");
index_2("2.39922");
index_3("0.0319164");
index_4("1.1966854, 1.2703293, 1.3806800, 1.5080764, 1.5792218");
values("0.488641, 0.781826, 0.977283, 0.781826, 0.488641");
}
vector ("ccsn_pnlh") {
index_1("1.42018");
index_2("1.39344");
index_3("0.0319164");
index_4("0.6991833, 0.7559387, 0.8492475, 0.9422313, 0.9968458");
values("0.550112, 0.88018, 1.10022, 0.88018, 0.550112");
}
vector ("ccsn_pnlh") {
index_1("1.50899");
index_2("0.944819");
index_3("0.0319164");
index_4("0.4768528, 0.5224628, 0.6125445, 0.6768160, 0.7191591");
values("0.57654, 0.922464, 1.15308, 0.922464, 0.57654");
}
vector ("ccsn_pnlh") {
index_1("1.31876");
index_2("1.19961");
index_3("0.0319164");
index_4("0.6044096, 0.6424816, 0.6949111, 0.7640174, 0.8046017");
values("0.258995, 0.414393, 0.517991, 0.414393, 0.258995");
}
vector ("ccsn_pnlh") {
index_1("1.50899");
index_2("0.47241");
index_3("0.0319164");
index_4("0.2425694, 0.2663597, 0.3002935, 0.3467938, 0.3755202");
values("0.31215, 0.499441, 0.624301, 0.499441, 0.31215");
}
vector ("ccsn_pnlh") {
index_1("1.42018");
index_2("1.39344");
index_3("0.0957492");
index_4("0.7003014, 0.7583227, 0.8512210, 0.9510706, 1.0084091");
values("0.325879, 0.521407, 0.651758, 0.521407, 0.325879");
}
vector ("ccsn_pnlh") {
index_1("1.50899");
index_2("0.944819");
index_3("0.0957492");
index_4("0.4776735, 0.5239726, 0.5871119, 0.6830033, 0.7302081");
values("0.336651, 0.538642, 0.673303, 0.538642, 0.336651");
}
}
propagated_noise_high () {
vector ("ccsn_pnlh") {
index_1("1.0653");
index_2("1.64783");
index_3("0.045039");
index_4("0.8242168, 0.8912668, 1.0255813, 1.1451531, 1.2290657");
values("1.41092, 1.08747, 0.871842, 1.08747, 1.41092");
}
vector ("ccsn_pnlh") {
index_1("1.17843");
index_2("0.924648");
index_3("0.045039");
index_4("0.4674775, 0.5179177, 0.5926564, 0.7060876, 0.7667738");
values("1.36367, 1.01187, 0.777334, 1.01187, 1.36367");
}
vector ("ccsn_pnlh") {
index_1("1.28626");
index_2("0.608461");
index_3("0.045039");
index_4("0.3112585, 0.3511756, 0.4270584, 0.4969378, 0.5446299");
values("1.34582, 0.983308, 0.741636, 0.983308, 1.34582");
}
vector ("ccsn_pnlh") {
index_1("1.0653");
index_2("0.823917");
index_3("0.045039");
index_4("0.4187869, 0.4531278, 0.5379979, 0.5896027, 0.6386529");
values("1.67604, 1.51166, 1.40207, 1.51166, 1.67604");
}
vector ("ccsn_pnlh") {
index_1("1.28626");
index_2("0.304231");
index_3("0.045039");
index_4("0.1621026, 0.1821135, 0.2141863, 0.2581426, 0.2894527");
values("1.65624, 1.47999, 1.36249, 1.47999, 1.65624");
}
vector ("ccsn_pnlh") {
index_1("1.17843");
index_2("0.924648");
index_3("0.135117");
index_4("0.4700352, 0.5221537, 0.6059946, 0.7158632, 0.7897206");
values("1.63472, 1.44555, 1.31943, 1.44555, 1.63472");
}
vector ("ccsn_pnlh") {
index_1("1.28626");
index_2("0.608461");
index_3("0.135117");
index_4("0.3126733, 0.3533397, 0.4176838, 0.5071156, 0.5670719");
values("1.6298, 1.43767, 1.30959, 1.43767, 1.6298");
}
}
}
/* xipath_xi106_net57#194_2_in_5000_ */
ccsn_last_stage () {
is_needed : "true";
stage_type : "both";
is_inverting : "true";
miller_cap_fall : "0.00886148";
miller_cap_rise : "0.00409391";
dc_current ("ccsn_dc") {
index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
values("14.2715, 6.54505, 5.90368, 5.60514, 5.26614, 5.08203, 4.88847, 4.68566, 4.47382, 4.25315, 4.02384, 3.78607, 3.54002, 3.28587, 3.02379, 2.75394, 2.47648, 2.19155, 1.89931, 1.59991, 1.29347, 0.980126, 0.660019, 0.333269, -5.81717e-09, -0.662369, -1.30628, -3.24147, -9.61107", \
"13.2757, 5.06731, 4.79394, 4.67556, 4.53015, 4.4409, 4.33681, 4.21648, 4.07965, 3.92655, 3.75764, 3.57344, 3.37447, 3.16125, 2.93425, 2.69396, 2.44083, 2.17527, 1.8977, 1.60849, 1.308, 0.99659, 0.674579, 0.342283, -1.98555e-09, -0.689067, -1.36868, -3.43707, -9.67469", \
"12.3454, 3.51284, 3.34428, 3.28217, 3.21261, 3.17419, 3.13266, 3.08729, 3.03697, 2.97984, 2.9125, 2.82982, 2.72737, 2.60341, 2.458, 2.29182, 2.10577, 1.90073, 1.67757, 1.4371, 1.18008, 0.907213, 0.619172, 0.316574, -4.86623e-09, -0.652463, -1.31418, -3.40106, -9.67678", \
"12.0457, 3.00753, 2.75922, 2.71065, 2.65728, 2.6283, 2.59744, 2.56432, 2.52844, 2.4891, 2.44521, 2.39484, 2.33416, 2.25697, 2.15801, 2.03545, 1.88954, 1.72122, 1.53155, 1.32159, 1.09233, 0.844704, 0.579582, 0.297765, -5.29606e-09, -0.623075, -1.26606, -3.33842, -9.66473", \
"11.7477, 2.6981, 2.15131, 2.11441, 2.07457, 2.05327, 2.03086, 2.00715, 1.9819, 1.95478, 1.92537, 1.89304, 1.85678, 1.81468, 1.7624, 1.6924, 1.59841, 1.47862, 1.33356, 1.16443, 0.972503, 0.758993, 0.52505, 0.271728, -7.90862e-09, -0.581694, -1.19715, -3.2405, -9.64374", \
"11.6021, 2.58628, 1.84765, 1.81595, 1.78202, 1.76401, 1.74517, 1.72537, 1.70443, 1.68214, 1.65822, 1.63226, 1.60371, 1.57163, 1.53411, 1.4866, 1.42073, 1.32968, 1.2117, 1.06753, 0.898489, 0.705954, 0.491235, 0.255545, -8.57103e-09, -0.555791, -1.15368, -3.17619, -9.62942", \
"11.4612, 2.49319, 1.55056, 1.52311, 1.4946, 1.47957, 1.46393, 1.44758, 1.43042, 1.4123, 1.39303, 1.37236, 1.34995, 1.32528, 1.29752, 1.26482, 1.22236, 1.16085, 1.07293, 0.956995, 0.813976, 0.645331, 0.452545, 0.237008, -7.90484e-09, -0.526034, -1.10358, -3.10049, -9.6123", \
"11.3276, 2.41934, 1.2737, 1.24093, 1.21734, 1.205, 1.19222, 1.17895, 1.1651, 1.15058, 1.13528, 1.11902, 1.10162, 1.08277, 1.06203, 1.03866, 1.0109, 0.973672, 0.916655, 0.831963, 0.71822, 0.576573, 0.40862, 0.215941, -4.11505e-08, -0.492151, -1.04637, -3.01248, -9.59221", \
"11.204, 2.36711, 1.06285, 0.974968, 0.955656, 0.945732, 0.935511, 0.924952, 0.914005, 0.902611, 0.890692, 0.878154, 0.864871, 0.850675, 0.83533, 0.818475, 0.79946, 0.776664, 0.744727, 0.692175, 0.610696, 0.49923, 0.359152, 0.192187, -9.61962e-07, -0.453901, -0.981645, -2.91124, -9.56902", \
"11.0928, 2.33953, 0.938375, 0.734818, 0.71516, 0.707397, 0.699444, 0.691275, 0.682858, 0.674157, 0.665125, 0.655707, 0.645829, 0.635398, 0.624284, 0.612308, 0.599187, 0.584389, 0.566442, 0.539709, 0.491442, 0.413054, 0.30391, 0.165607, -2.4205e-05, -0.411107, -0.909088, -2.79583, -9.5427", \
"10.9978, 2.33985, 0.878646, 0.554651, 0.501395, 0.495472, 0.489501, 0.483406, 0.477166, 0.47076, 0.464162, 0.457339, 0.450254, 0.442854, 0.435074, 0.426823, 0.417973, 0.408319, 0.397452, 0.38408, 0.362289, 0.317957, 0.242472, 0.135721, -0.00050638, -0.364099, -0.82894, -2.6658, -9.5139", \
"10.9218, 2.3716, 0.869824, 0.464948, 0.319058, 0.31291, 0.308391, 0.303887, 0.29933, 0.294695, 0.289964, 0.28512, 0.28014, 0.274999, 0.269666, 0.264097, 0.258237, 0.252003, 0.245261, 0.237725, 0.228318, 0.210888, 0.1699, 0.0970826, -0.0071013, -0.318708, -0.747215, -2.52715, -9.49017", \
"10.8675, 2.43857, 0.906039, 0.442301, 0.172756, 0.146451, 0.140075, 0.135412, 0.131106, 0.126923, 0.122783, 0.118642, 0.114473, 0.110256, 0.10597, 0.101594, 0.097104, 0.0924668, 0.0876372, 0.0825405, 0.0770043, 0.0702221, 0.0559941, 0.0175482, -0.0526243, -0.307829, -0.696986, -2.41385, -9.50258", \
"10.8384, 2.5452, 0.984794, 0.465302, 0.0717971, -0.0176402, -0.0456349, -0.0559482, -0.062934, -0.0687686, -0.0740448, -0.0790016, -0.0837592, -0.0883869, -0.0929295, -0.0974187, -0.101879, -0.106332, -0.110799, -0.115306, -0.119887, -0.12463, -0.130042, -0.142079, -0.178032, -0.370468, -0.715227, -2.35882, -9.5725", \
"10.8372, 2.69482, 1.10354, 0.525348, 0.0197909, -0.144652, -0.228084, -0.257398, -0.271709, -0.281631, -0.289643, -0.296612, -0.302934, -0.308817, -0.314386, -0.319723, -0.324881, -0.329899, -0.334808, -0.339631, -0.34439, -0.34911, -0.353835, -0.358892, -0.37065, -0.495211, -0.789041, -2.34705, -9.68169", \
"10.8598, 2.87756, 1.24812, 0.608365, 0.00362318, -0.228908, -0.380563, -0.449793, -0.478816, -0.496003, -0.508599, -0.518869, -0.527758, -0.535741, -0.543088, -0.549964, -0.556482, -0.562716, -0.568722, -0.574543, -0.580212, -0.58576, -0.591217, -0.596629, -0.602634, -0.664812, -0.899591, -2.36077, -9.81205", \
"10.8917, 3.0687, 1.39317, 0.692278, 0.000588757, -0.290947, -0.507194, -0.633164, -0.687648, -0.716116, -0.735271, -0.750052, -0.762362, -0.773103, -0.782768, -0.791651, -0.799943, -0.807774, -0.815236, -0.822397, -0.829312, -0.836024, -0.842575, -0.849013, -0.855433, -0.880661, -1.043, -2.39256, -9.95347", \
"10.9235, 3.25389, 1.52442, 0.766767, 9.6642e-05, -0.34165, -0.614527, -0.802313, -0.895593, -0.940697, -0.968649, -0.989101, -1.00553, -1.0195, -1.03181, -1.04295, -1.05321, -1.0628, -1.07184, -1.08045, -1.0887, -1.09666, -1.10437, -1.11191, -1.11933, -1.13577, -1.22309, -2.43935, -10.0999", \
"10.9544, 3.42954, 1.63776, 0.830273, 1.5891e-05, -0.383821, -0.704954, -0.950251, -1.09426, -1.16346, -1.20314, -1.23064, -1.25194, -1.2696, -1.28486, -1.29847, -1.31084, -1.32229, -1.33299, -1.34311, -1.35273, -1.36196, -1.37085, -1.37949, -1.38794, -1.40489, -1.44332, -2.49993, -10.2474", \
"10.9833, 3.59497, 1.7328, 0.883302, 2.52139e-06, -0.418628, -0.780181, -1.07486, -1.27506, -1.37805, -1.43356, -1.4699, -1.497, -1.51888, -1.53744, -1.55374, -1.56839, -1.5818, -1.59425, -1.60592, -1.61696, -1.62749, -1.63758, -1.64733, -1.65682, -1.67548, -1.69799, -2.5742, -10.3938", \
"11.0102, 3.74996, 1.81073, 0.926784, 3.34016e-07, -0.446982, -0.841921, -1.17757, -1.43153, -1.57848, -1.65557, -1.7032, -1.73731, -1.76408, -1.78635, -1.8056, -1.82271, -1.83823, -1.85251, -1.86582, -1.87833, -1.89018, -1.9015, -1.91238, -1.92292, -1.94343, -1.9647, -2.66291, -10.5377", \
"11.0351, 3.89422, 1.87328, 0.961737, 3.08528e-08, -0.469727, -0.891866, -1.26098, -1.56112, -1.75889, -1.86525, -1.9276, -1.97031, -2.00284, -2.02933, -2.05187, -2.07167, -2.08944, -2.10567, -2.12069, -2.13474, -2.14798, -2.16056, -2.17259, -2.18419, -2.20658, -2.22907, -2.76729, -10.6782", \
"11.0582, 4.02724, 1.92232, 0.989178, 7.2507e-09, -0.487638, -0.931617, -1.32776, -1.66525, -1.91414, -2.05861, -2.14047, -2.19399, -2.2334, -2.26476, -2.291, -2.31375, -2.33398, -2.3523, -2.36913, -2.38478, -2.39947, -2.41336, -2.42658, -2.43927, -2.46357, -2.48758, -2.88882, -10.8152", \
"11.0793, 4.14821, 1.95959, 1.01007, 6.26949e-09, -0.501418, -0.962638, -1.38038, -1.7473, -2.04133, -2.23139, -2.33918, -2.40654, -2.45434, -2.49141, -2.52187, -2.54791, -2.57082, -2.59139, -2.61017, -2.62752, -2.64372, -2.65897, -2.67343, -2.68725, -2.7135, -2.73908, -3.02907, -10.9486", \
"11.0987, 4.25595, 1.98673, 1.02532, 6.21715e-09, -0.511688, -0.98623, -1.421, -1.81089, -2.14116, -2.37933, -2.5208, -2.60618, -2.66443, -2.7083, -2.74363, -2.77338, -2.79926, -2.82229, -2.84315, -2.86232, -2.88012, -2.8968, -2.91255, -2.92753, -2.95581, -2.98299, -3.18941, -11.0785", \
"11.1322, 4.42537, 2.01619, 1.04201, 6.21556e-09, -0.523805, -1.01556, -1.4735, -1.89487, -2.27349, -2.59172, -2.81892, -2.95845, -3.04698, -3.10935, -3.15717, -3.19605, -3.22899, -3.25771, -3.28332, -3.30654, -3.32786, -3.34765, -3.36619, -3.38368, -3.41625, -3.4468, -3.56928, -11.3286", \
"11.1592, 4.52219, 2.02038, 1.04471, 6.9357e-09, -0.527512, -1.02702, -1.49728, -1.9366, -2.3421, -2.70673, -3.01156, -3.22983, -3.36875, -3.46044, -3.52664, -3.57811, -3.62029, -3.65618, -3.68757, -3.71559, -3.741, -3.76435, -3.78601, -3.8063, -3.8436, -3.87788, -3.98796, -11.5673", \
"11.2042, 4.45371, 1.94616, 1.00529, 1.42046e-07, -0.510551, -1.00133, -1.47177, -1.92128, -2.34916, -2.75453, -3.136, -3.49069, -3.81165, -4.08334, -4.28862, -4.43236, -4.53487, -4.61233, -4.67412, -4.72549, -4.76957, -4.8083, -4.84296, -4.87442, -4.93012, -4.97877, -5.10494, -12.2039", \
"11.1637, 4.00395, 1.72745, 0.888842, 4.65295e-06, -0.452011, -0.891524, -1.31834, -1.73225, -2.13305, -2.52054, -2.89449, -3.25466, -3.60078, -3.93255, -4.24952, -4.55097, -4.83557, -5.10044, -5.33953, -5.54345, -5.70632, -5.83252, -5.93161, -6.01188, -6.13662, -6.2323, -6.43591, -12.9813");
}
output_voltage_rise () {
vector ("ccsn_ovrf") {
index_1("0.01");
index_2("0.0319164");
index_3("0.0279880, 0.0440605, 0.0617688, 0.0821052, 0.1183710");
values("0.195, 0.585, 0.975, 1.365, 1.755");
}
vector ("ccsn_ovrf") {
index_1("0.01");
index_2("0.0957492");
index_3("0.0344261, 0.0630240, 0.0937413, 0.1317891, 0.1950532");
values("0.195, 0.585, 0.975, 1.365, 1.755");
}
vector ("ccsn_ovrf") {
index_1("0.1");
index_2("0.0319164");
index_3("0.0943418, 0.1128132, 0.1299217, 0.1505235, 0.1868303");
values("0.195, 0.585, 0.975, 1.365, 1.755");
}
vector ("ccsn_ovrf") {
index_1("0.1");
index_2("0.0957492");
index_3("0.1032050, 0.1321353, 0.1629765, 0.2002139, 0.2637778");
values("0.195, 0.585, 0.975, 1.365, 1.755");
}
}
output_voltage_fall () {
vector ("ccsn_ovrf") {
index_1("0.01");
index_2("0.045039");
index_3("0.0254493, 0.0385783, 0.0521227, 0.0670860, 0.0887394");
values("1.755, 1.365, 0.975, 0.585, 0.195");
}
vector ("ccsn_ovrf") {
index_1("0.01");
index_2("0.135117");
index_3("0.0318133, 0.0571585, 0.0832936, 0.1122604, 0.1547012");
values("1.755, 1.365, 0.975, 0.585, 0.195");
}
vector ("ccsn_ovrf") {
index_1("0.1");
index_2("0.045039");
index_3("0.0820914, 0.1001486, 0.1140389, 0.1287216, 0.1502549");
values("1.755, 1.365, 0.975, 0.585, 0.195");
}
vector ("ccsn_ovrf") {
index_1("0.1");
index_2("0.135117");
index_3("0.0920315, 0.1195424, 0.1457644, 0.1745637, 0.2170263");
values("1.755, 1.365, 0.975, 0.585, 0.195");
}
}
propagated_noise_low () {
vector ("ccsn_pnlh") {
index_1("1.31876");
index_2("2.39922");
index_3("0.0319164");
index_4("1.1966854, 1.2703293, 1.3806800, 1.5080764, 1.5792218");
values("0.488641, 0.781826, 0.977283, 0.781826, 0.488641");
}
vector ("ccsn_pnlh") {
index_1("1.42018");
index_2("1.39344");
index_3("0.0319164");
index_4("0.6991833, 0.7559387, 0.8492475, 0.9422313, 0.9968458");
values("0.550112, 0.88018, 1.10022, 0.88018, 0.550112");
}
vector ("ccsn_pnlh") {
index_1("1.50899");
index_2("0.944819");
index_3("0.0319164");
index_4("0.4768528, 0.5224628, 0.6125445, 0.6768160, 0.7191591");
values("0.57654, 0.922464, 1.15308, 0.922464, 0.57654");
}
vector ("ccsn_pnlh") {
index_1("1.31876");
index_2("1.19961");
index_3("0.0319164");
index_4("0.6044096, 0.6424816, 0.6949111, 0.7640174, 0.8046017");
values("0.258995, 0.414393, 0.517991, 0.414393, 0.258995");
}
vector ("ccsn_pnlh") {
index_1("1.50899");
index_2("0.47241");
index_3("0.0319164");
index_4("0.2425694, 0.2663597, 0.3002935, 0.3467938, 0.3755202");
values("0.31215, 0.499441, 0.624301, 0.499441, 0.31215");
}
vector ("ccsn_pnlh") {
index_1("1.42018");
index_2("1.39344");
index_3("0.0957492");
index_4("0.7003014, 0.7583227, 0.8512210, 0.9510706, 1.0084091");
values("0.325879, 0.521407, 0.651758, 0.521407, 0.325879");
}
vector ("ccsn_pnlh") {
index_1("1.50899");
index_2("0.944819");
index_3("0.0957492");
index_4("0.4776735, 0.5239726, 0.5871119, 0.6830033, 0.7302081");
values("0.336651, 0.538642, 0.673303, 0.538642, 0.336651");
}
}
propagated_noise_high () {
vector ("ccsn_pnlh") {
index_1("1.0653");
index_2("1.64783");
index_3("0.045039");
index_4("0.8242168, 0.8912668, 1.0255813, 1.1451531, 1.2290657");
values("1.41092, 1.08747, 0.871842, 1.08747, 1.41092");
}
vector ("ccsn_pnlh") {
index_1("1.17843");
index_2("0.924648");
index_3("0.045039");
index_4("0.4674775, 0.5179177, 0.5926564, 0.7060876, 0.7667738");
values("1.36367, 1.01187, 0.777334, 1.01187, 1.36367");
}
vector ("ccsn_pnlh") {
index_1("1.28626");
index_2("0.608461");
index_3("0.045039");
index_4("0.3112585, 0.3511756, 0.4270584, 0.4969378, 0.5446299");
values("1.34582, 0.983308, 0.741636, 0.983308, 1.34582");
}
vector ("ccsn_pnlh") {
index_1("1.0653");
index_2("0.823917");
index_3("0.045039");
index_4("0.4187869, 0.4531278, 0.5379979, 0.5896027, 0.6386529");
values("1.67604, 1.51166, 1.40207, 1.51166, 1.67604");
}
vector ("ccsn_pnlh") {
index_1("1.28626");
index_2("0.304231");
index_3("0.045039");
index_4("0.1621026, 0.1821135, 0.2141863, 0.2581426, 0.2894527");
values("1.65624, 1.47999, 1.36249, 1.47999, 1.65624");
}
vector ("ccsn_pnlh") {
index_1("1.17843");
index_2("0.924648");
index_3("0.135117");
index_4("0.4700352, 0.5221537, 0.6059946, 0.7158632, 0.7897206");
values("1.63472, 1.44555, 1.31943, 1.44555, 1.63472");
}
vector ("ccsn_pnlh") {
index_1("1.28626");
index_2("0.608461");
index_3("0.135117");
index_4("0.3126733, 0.3533397, 0.4176838, 0.5071156, 0.5670719");
values("1.6298, 1.43767, 1.30959, 1.43767, 1.6298");
}
}
}
}
pin ("IN_H") {
output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "output";
related_power_pin : "VDDIO_Q";
related_ground_pin : "VSSD";
always_on : true;
function : "(PAD&!INP_DIS)";
max_capacitance : 10.316900;
max_transition : 3.762950;
internal_power () {
related_pin : "PAD";
when : "( IB_MODE_SEL )";
rise_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.0317882, 0.101049, 0.3212164, 1.021089, 3.245859, 10.318");
values("8.2657759, 8.1607024, 7.8903167, 7.4960830, 6.9661263, 6.3023335, 4.8699502", \
"6.1400182, 6.0065182, 5.6893604, 5.2624420, 4.6058292, 4.1095560, 2.1589037", \
"6.3403540, 6.2216963, 5.9242047, 5.4948359, 5.0794226, 4.1117309, 3.5322749", \
"6.5315891, 6.4160608, 6.0864907, 5.6865567, 5.2191680, 4.9740398, 2.6565835", \
"6.7113836, 6.6175837, 6.2710076, 5.8871579, 5.3826639, 5.0037704, 2.6623064", \
"6.8783252, 6.7553285, 6.4587927, 5.9578466, 5.6010513, 5.2698419, 3.6399408", \
"7.0898591, 6.8838091, 6.6381479, 6.2964330, 5.7547443, 5.3542904, 5.0890481");
}
fall_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.0317882, 0.101049, 0.3212164, 1.021089, 3.245859, 10.318");
values("5.9660070, 5.8983345, 5.8220969, 5.7096990, 5.5285262, 5.4260639, 5.3803383", \
"9.0575568, 8.9565742, 8.7220118, 8.4280664, 8.2163460, 8.2684875, 8.2244116", \
"9.0838795, 8.9746821, 8.7576361, 8.4335412, 8.2365339, 8.1151266, 8.2340532", \
"9.1118319, 8.9818855, 8.7880345, 8.4156978, 8.2669887, 8.1219337, 8.1774292", \
"9.1229004, 8.9686123, 8.7826656, 8.4140568, 8.1544918, 8.1207645, 8.0952467", \
"9.0382745, 8.9558066, 8.6594395, 8.4259735, 8.3106664, 8.1262115, 8.1217186", \
"9.1751242, 9.0454476, 8.8763068, 8.5748839, 8.1745843, 8.1299516, 8.1177695");
}
}
internal_power () {
related_pin : "PAD";
when : "( !IB_MODE_SEL & VTRIP_SEL )";
rise_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.03178764, 0.1010454, 0.3211993, 1.021017, 3.24557, 10.3169");
values("9.9249407, 9.8060826, 9.5112294, 9.0242129, 8.4061622, 7.6853219, 6.0369121", \
"13.6707750, 13.5382570, 13.2289120, 12.7419040, 12.2540670, 11.5696600, 9.6024412", \
"25.6340630, 25.5178780, 25.1431430, 24.6906020, 24.2237190, 23.7954970, 21.6800190", \
"36.9871390, 36.8932800, 36.4966630, 36.0686650, 35.5399560, 35.1561310, 34.1416440", \
"48.1698080, 47.9541580, 47.7339760, 47.2477890, 46.7180150, 46.3349360, 45.9221760", \
"59.1497200, 58.8968180, 58.6709010, 58.1944110, 57.7295950, 57.2679020, 56.8951830", \
"69.9520030, 69.8848200, 69.5026050, 68.9904520, 68.5030990, 68.0991790, 67.7227720");
}
fall_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.03178764, 0.1010454, 0.3211993, 1.021017, 3.24557, 10.3169");
values("9.6463395, 9.5986655, 9.6250745, 9.7708315, 9.6977995, 9.6077645, 9.5688525", \
"29.5934180, 29.4853830, 29.2478820, 28.9277390, 28.6839980, 29.1204910, 29.0934190", \
"40.7744670, 40.6693360, 40.5340070, 40.1113310, 39.8639280, 39.7300140, 40.2234500", \
"52.0066520, 51.9021870, 51.7819990, 51.3318620, 51.1088880, 50.9112750, 51.3837760", \
"63.3064780, 63.2169460, 63.0832680, 62.6722980, 62.4449170, 62.1954990, 62.3577230", \
"74.6748150, 74.5769420, 74.4621930, 73.9993410, 73.7874690, 73.5724610, 73.4739840", \
"86.0636910, 85.9061020, 85.7871900, 85.3987510, 85.2552330, 84.9522470, 84.7712390");
}
}
internal_power () {
related_pin : "PAD";
when : "( !IB_MODE_SEL & !VTRIP_SEL )";
rise_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.03178764, 0.1010454, 0.3211993, 1.021017, 3.24557, 10.3169");
values("11.7530621, 11.6308450, 11.3405991, 10.8593451, 10.2512213, 9.5313151, 7.8806375", \
"47.2949630, 47.1657540, 46.8535520, 46.3755210, 45.8853610, 44.4364130, 43.1733360", \
"85.9505520, 85.8111150, 85.4552960, 85.0101730, 84.5020370, 84.1120990, 81.8975930", \
"123.5879200, 123.4568000, 123.1077900, 122.6383000, 122.1569700, 121.7034800, 119.7143500", \
"160.8328900, 160.6832000, 160.4140300, 159.9078000, 159.4788900, 158.9812900, 158.4594300", \
"197.5919700, 197.5420000, 197.2927700, 196.8011900, 196.2955900, 195.9746400, 195.3698700", \
"234.4667000, 234.0913600, 233.8058400, 233.2558300, 233.0391200, 232.3116700, 231.7875200");
}
fall_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.03178764, 0.1010454, 0.3211993, 1.021017, 3.24557, 10.3169");
values("9.7093275, 9.6398725, 9.5996105, 9.6231655, 9.4978855, 9.3904845, 9.3440605", \
"36.7946820, 36.6927740, 36.4474530, 36.1362750, 35.8847670, 36.2734110, 36.2595890", \
"55.9813860, 55.8918330, 55.6460570, 55.3413810, 55.0889890, 54.9103020, 55.4288140", \
"75.3363340, 75.3490260, 75.0674520, 74.7316790, 74.5329010, 74.3351010, 74.6965540", \
"94.9673120, 94.8611330, 94.6066700, 94.3195170, 94.0445850, 93.9093180, 93.7351170", \
"114.7657000, 114.5447300, 114.2690200, 114.1895700, 113.7154100, 113.6576700, 113.3729600", \
"134.4083300, 134.2711600, 134.0047700, 133.7182900, 133.4470500, 133.2517200, 132.9611000");
}
}
internal_power () {
related_pin : "INP_DIS";
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.03178764, 0.1010454, 0.3211993, 1.021017, 3.24557, 10.3169");
values("23.2331618, 23.1401998, 22.8958118, 22.5337078, 22.1199478, 21.5173318, 19.7745118", \
"23.2610767, 23.1390867, 22.8960647, 22.5394397, 22.1230037, 21.4871117, 19.7336007", \
"23.2671816, 23.1662036, 22.9086506, 22.5474696, 22.1258326, 21.5227666, 19.7433316", \
"23.2784944, 23.1685294, 22.9222824, 22.5726144, 22.1398944, 21.5379484, 19.9180314", \
"23.2898478, 23.1683908, 22.9404288, 22.5784878, 22.1526768, 21.5218108, 19.8913058", \
"23.3116656, 23.1944236, 22.9508946, 22.5965216, 22.1706906, 21.5428216, 19.9519376", \
"23.3088625, 23.2027285, 22.9708845, 22.6094735, 22.1712025, 21.5933905, 19.8295365");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.03178764, 0.1010454, 0.3211993, 1.021017, 3.24557, 10.3169");
values("18.6521019, 18.4776219, 18.0507859, 17.3942989, 16.5620099, 15.6754989, 15.2234419", \
"18.6590726, 18.4931086, 18.0588536, 17.3988416, 16.5597906, 15.6391066, 15.1790456", \
"18.6602063, 18.4717993, 18.0480603, 17.4041983, 16.5559113, 15.6675963, 15.2026683", \
"18.6551035, 18.4766595, 18.0482855, 17.4028045, 16.5588135, 15.6759185, 15.2482105", \
"18.6477275, 18.4701395, 18.0409335, 17.3967255, 16.5519775, 15.6748175, 15.2037605", \
"18.6583337, 18.5008947, 18.0366417, 17.3999737, 16.5540737, 15.6765227, 15.2417977", \
"18.6500809, 18.4753719, 18.0451669, 17.3894539, 16.5570759, 15.6743429, 15.2058989");
}
}
timing () {
related_pin : "PAD";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( IB_MODE_SEL )";
sdf_cond : " IB_MODE_SEL==1'b1 ";
cell_rise ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.03178, 0.100997, 0.320967, 1.02003, 3.24166, 10.302");
values("1.3079935, 1.3167403, 1.3404301, 1.4012955, 1.5722940, 2.1008755, 3.7885468", \
"2.9041158, 2.9126190, 2.9363283, 2.9971481, 3.1681300, 3.6988874, 5.3846354", \
"4.1792405, 4.1881019, 4.2117705, 4.2726507, 4.4434687, 4.9729190, 6.6690076", \
"4.4654098, 4.4740065, 4.4976995, 4.5586579, 4.7292983, 5.2581868, 6.9503101", \
"6.1145462, 6.1233938, 6.1470757, 6.2079444, 6.3787682, 6.8804402, 8.5977421", \
"7.5256734, 7.5340463, 7.5578521, 7.6188016, 7.7897804, 8.3676062, 10.0102960", \
"8.6774614, 8.6859178, 8.7096836, 8.7705274, 8.9414973, 9.4192494, 11.1598960", \
"10.3396000, 10.3475300, 10.3712540, 10.4321610, 10.6031710, 11.1134910, 12.8229640", \
"32.0162140, 32.0252590, 32.0484440, 32.1099980, 32.2810330, 32.2810345, 34.5010700", \
"45.4085340, 45.4182040, 45.4407320, 45.5551650, 45.7304450, 46.2127580, 47.8910070", \
"102.7687900, 103.4557400, 103.7209600, 103.7209664, 103.9863900, 103.9863930, 104.9418300", \
"309.6045900, 309.6045990, 309.6373900, 309.6374054, 309.9503700, 310.6335100, 311.5765700");
}
rise_transition ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.03178, 0.100997, 0.320967, 1.02003, 3.24166, 10.302");
values("0.0742272, 0.0811161, 0.1031828, 0.1720868, 0.4083431, 1.1996178, 3.7627630", \
"0.0737005, 0.0822820, 0.1037083, 0.1720478, 0.4075342, 1.2045408, 3.7384384", \
"0.0749599, 0.0818698, 0.1036745, 0.1718536, 0.4076627, 1.1997379, 3.7589003", \
"0.0746710, 0.0822553, 0.1031731, 0.1717352, 0.4080371, 1.1992534, 3.7491983", \
"0.0749705, 0.0818618, 0.1036848, 0.1718602, 0.4076930, 1.2019503, 3.7497987", \
"0.0737614, 0.0822893, 0.1036773, 0.1718989, 0.4079785, 1.1993853, 3.7509107", \
"0.0736112, 0.0822908, 0.1032006, 0.1719964, 0.4076181, 1.1993370, 3.7443186", \
"0.0736235, 0.0822867, 0.1031976, 0.1719660, 0.4077113, 1.2035437, 3.7513535", \
"0.0737652, 0.0811361, 0.1032081, 0.1718764, 0.4080644, 1.2035596, 3.7432919", \
"0.0736203, 0.0822854, 0.1031969, 0.1718738, 0.4075653, 1.1981746, 3.7508130", \
"0.0741007, 0.0819722, 0.1036882, 0.1717561, 0.4076875, 1.1993452, 3.7518340", \
"0.0748964, 0.0822864, 0.1032812, 0.1719744, 0.4077029, 1.2029163, 3.7552343");
}
cell_fall ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.03178, 0.100997, 0.320967, 1.02003, 3.24166, 10.302");
values("0.9294713, 0.9377984, 0.9621138, 1.0241041, 1.1936933, 1.7064445, 3.3349794", \
"2.2796127, 2.2879967, 2.3116864, 2.3739679, 2.5440390, 3.0570522, 4.6841548", \
"3.1184870, 3.1268665, 3.1505609, 3.2128538, 3.3829211, 3.8958756, 5.5247584", \
"3.2936123, 3.3020131, 3.3257510, 3.3879741, 3.5580430, 4.0708863, 5.6982401", \
"4.2746269, 4.2827670, 4.3068944, 4.3684762, 4.5392889, 5.0515765, 6.6832704", \
"5.0502029, 5.0579692, 5.0823408, 5.1438862, 5.2283085, 5.8271690, 7.4565776", \
"5.5895053, 5.5979843, 5.6216054, 5.6839169, 5.8426348, 6.3646715, 7.9967704", \
"6.3220362, 6.3306529, 6.3542185, 6.4166854, 6.5833023, 7.0733548, 8.7263841", \
"14.6523240, 14.6601300, 14.6828660, 14.7468820, 14.9316360, 15.4445980, 17.0583420", \
"19.3170720, 19.3261620, 19.3510220, 19.4115350, 19.6645030, 20.1635700, 21.7221330", \
"38.4683750, 38.5064350, 38.5165610, 38.5469430, 38.7625080, 39.2409550, 40.8869880", \
"104.9613900, 104.9756900, 104.9964200, 105.0595100, 105.2326000, 105.7214900, 107.3639700");
}
fall_transition ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.03178, 0.100997, 0.320967, 1.02003, 3.24166, 10.302");
values("0.0650115, 0.0711310, 0.0909639, 0.1506283, 0.3480443, 1.0076313, 3.1525964", \
"0.0649496, 0.0713672, 0.0913000, 0.1498808, 0.3479249, 1.0126594, 3.1389689", \
"0.0649383, 0.0713862, 0.0913596, 0.1507615, 0.3475503, 1.0133593, 3.1452823", \
"0.0648807, 0.0713945, 0.0905705, 0.1507581, 0.3476208, 1.0132474, 3.1477148", \
"0.0649968, 0.0711783, 0.0910509, 0.1512853, 0.3477219, 1.0118869, 3.1496215", \
"0.0650052, 0.0713360, 0.0911652, 0.1506937, 0.3475609, 1.0102875, 3.1572982", \
"0.0650396, 0.0713400, 0.0910911, 0.1506556, 0.3472780, 1.0117325, 3.1485237", \
"0.0651194, 0.0716406, 0.0910496, 0.1509029, 0.3477554, 1.0098886, 3.1529488", \
"0.0650030, 0.0713463, 0.0911500, 0.1503296, 0.3473473, 1.0134376, 3.1550620", \
"0.0651152, 0.0713211, 0.0905967, 0.1505904, 0.3475851, 1.0129537, 3.1720522", \
"0.0649574, 0.0713851, 0.0913595, 0.1510673, 0.3477835, 1.0127749, 3.1475322", \
"0.0647182, 0.0713338, 0.0905164, 0.1509189, 0.3477887, 1.0087189, 3.1476863");
}
}
timing () {
related_pin : "PAD";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !IB_MODE_SEL & VTRIP_SEL )";
sdf_cond : " IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
cell_rise ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.031775, 0.100965, 0.320816, 1.01939, 3.23912, 10.2923");
values("0.6678352, 0.6766784, 0.7012103, 0.7622305, 0.9332138, 1.4625954, 3.1453995", \
"0.0994353, 0.1084424, 0.1328140, 0.1941043, 0.3650416, 0.8935111, 2.5782094", \
"-0.7601395, -0.7523195, -0.7267525, -0.6654646, -0.4945256, 0.0339400, 1.7166100", \
"-0.9766280, -0.9676667, -0.9432393, -0.8819576, -0.7110174, -0.1825266, 1.5015722", \
"-2.3734950, -2.3649429, -2.3401123, -2.2788140, -2.1078785, -1.5793299, 0.1042817", \
"-3.6250805, -3.6183088, -3.5928489, -3.5303669, -3.3594727, -2.8308778, -1.1406343", \
"-4.6193058, -4.6105209, -4.5850399, -4.5231081, -4.3525321, -3.8239523, -2.1334603", \
"-6.0669922, -6.0566996, -6.0307803, -5.9718368, -5.8002616, -5.2717564, -3.5886885", \
"-28.3801050, -28.3801031, -28.3575970, -28.2887940, -28.1296800, -27.6011180, -25.8999100", \
"-43.7049970, -43.6959660, -43.6873650, -43.6384230, -43.4378290, -42.9124430, -41.2234870", \
"-113.2921300, -113.2921257, -113.2509300, -113.1887400, -113.0183400, -112.4964700, -110.8040600", \
"-386.0613700, -386.0345700, -386.0345611, -385.9575200, -385.8550000, -385.2747500, -383.6050000");
}
rise_transition ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.031775, 0.100965, 0.320816, 1.01939, 3.23912, 10.2923");
values("0.0768169, 0.0842433, 0.1057112, 0.1730434, 0.4089190, 1.2022950, 3.7476533", \
"0.0766918, 0.0842944, 0.1058485, 0.1736454, 0.4090498, 1.2018241, 3.7416621", \
"0.0766831, 0.0843011, 0.1061029, 0.1736494, 0.4090575, 1.2018398, 3.7489469", \
"0.0766716, 0.0842982, 0.1060894, 0.1736432, 0.4090364, 1.2018215, 3.7398036", \
"0.0767592, 0.0842935, 0.1058588, 0.1736219, 0.4089678, 1.2013250, 3.7395864", \
"0.0767655, 0.0843114, 0.1058456, 0.1736199, 0.4088801, 1.2011135, 3.7510008", \
"0.0766935, 0.0843160, 0.1060916, 0.1736544, 0.4089733, 1.2013395, 3.7460737", \
"0.0767635, 0.0843158, 0.1058598, 0.1736220, 0.4089724, 1.2013702, 3.7487293", \
"0.0767578, 0.0842849, 0.1059999, 0.1736577, 0.4088014, 1.2010383, 3.7432497", \
"0.0769058, 0.0844068, 0.1060394, 0.1736725, 0.4090915, 1.2016116, 3.7545269", \
"0.0770646, 0.0843404, 0.1061043, 0.1736511, 0.4090540, 1.2019010, 3.7397800", \
"0.0767587, 0.0843024, 0.1061555, 0.1736221, 0.4090332, 1.2013858, 3.7408219");
}
cell_fall ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.031775, 0.100965, 0.320816, 1.01939, 3.23912, 10.2923");
values("0.6752985, 0.6839139, 0.7083397, 0.7718370, 0.9438714, 1.4569729, 3.0839289", \
"2.5437326, 2.5523654, 2.5768061, 2.6402946, 2.8122968, 3.3252474, 4.9505364", \
"4.3118749, 4.3205395, 4.3449968, 4.4085144, 4.5804769, 5.0936713, 6.7196479", \
"4.7247606, 4.7333959, 4.7578514, 4.8212807, 4.9933169, 5.5062532, 7.1335533", \
"7.2660042, 7.2747062, 7.2992212, 7.3629667, 7.5346627, 8.0476790, 9.6734283", \
"9.4351202, 9.4437818, 9.4682218, 9.5334904, 9.7035997, 10.2167320, 11.8426850", \
"11.1175250, 11.1262010, 11.1506400, 11.2163840, 11.3861110, 11.8991380, 13.5272900", \
"13.5224620, 13.5404740, 13.5555960, 13.6276010, 13.7913940, 14.3127300, 15.9398200", \
"48.7702000, 48.7809900, 48.8035110, 48.8657650, 49.0380320, 49.5524510, 51.1767300", \
"72.3207380, 72.3291150, 72.3539200, 72.4191200, 72.5905170, 73.1018660, 74.7273250", \
"177.7695600, 177.7782200, 177.8031600, 177.8660300, 178.0383300, 178.5506200, 180.1774200", \
"586.0380300, 586.0481500, 586.0734400, 586.1391500, 586.3069000, 586.8204800, 588.4487000");
}
fall_transition ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.031775, 0.100965, 0.320816, 1.01939, 3.23912, 10.2923");
values("0.0674095, 0.0742443, 0.0932676, 0.1534616, 0.3497878, 1.0098756, 3.1475778", \
"0.0678200, 0.0741961, 0.0935649, 0.1536364, 0.3499019, 1.0095331, 3.1402156", \
"0.0676531, 0.0740926, 0.0933829, 0.1538168, 0.3497928, 1.0095917, 3.1386518", \
"0.0678092, 0.0741494, 0.0934371, 0.1532985, 0.3496353, 1.0090818, 3.1430993", \
"0.0675023, 0.0742485, 0.0936018, 0.1536843, 0.3501575, 1.0077538, 3.1402541", \
"0.0678273, 0.0740677, 0.0934175, 0.1535314, 0.3499062, 1.0088862, 3.1357932", \
"0.0678371, 0.0741511, 0.0934150, 0.1537827, 0.3497430, 1.0084068, 3.1445078", \
"0.0676710, 0.0739938, 0.0934095, 0.1533653, 0.3499022, 1.0077095, 3.1479206", \
"0.0675937, 0.0740174, 0.0936361, 0.1538989, 0.3497309, 1.0077583, 3.1482830", \
"0.0678905, 0.0742312, 0.0933702, 0.1536311, 0.3495362, 1.0082817, 3.1393241", \
"0.0677218, 0.0743320, 0.0935024, 0.1534764, 0.3500348, 1.0075480, 3.1453813", \
"0.0676234, 0.0741338, 0.0935253, 0.1534122, 0.3496227, 1.0068645, 3.1461231");
}
}
timing () {
related_pin : "PAD";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !IB_MODE_SEL & !VTRIP_SEL )";
sdf_cond : " IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
cell_rise ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.031775, 0.100965, 0.320816, 1.01939, 3.23912, 10.2923");
values("0.6783241, 0.6873695, 0.7116522, 0.7727998, 0.9438887, 1.4736071, 3.1611056", \
"1.0925115, 1.1015533, 1.1259148, 1.1871942, 1.3581416, 1.8865298, 3.5802976", \
"1.2574167, 1.2665574, 1.2908840, 1.3519657, 1.5230517, 2.0514479, 3.7354648", \
"1.2931907, 1.3016733, 1.3260500, 1.3878655, 1.5587910, 2.0872486, 3.7714090", \
"1.4683448, 1.4773452, 1.4999925, 1.5630263, 1.7339118, 2.2606270, 3.9531729", \
"1.5927135, 1.6036367, 1.6259807, 1.6878282, 1.8602492, 2.3912383, 4.0791122", \
"1.6859650, 1.6947835, 1.7138082, 1.7722810, 1.9514044, 2.4747371, 4.1656416", \
"1.8033277, 1.8086314, 1.8356696, 1.8974717, 2.0647772, 2.5938993, 4.2727621", \
"3.0304079, 3.0712062, 3.0740104, 3.1542984, 3.2987317, 3.8267203, 5.5112976", \
"3.7117958, 3.7603223, 3.7771208, 3.8448040, 4.0065586, 4.5319726, 6.1923280", \
"6.5016872, 6.5036971, 6.5240724, 6.5963599, 6.7639108, 7.2966913, 8.9542604", \
"15.8908480, 15.9123720, 15.9296010, 16.0048880, 16.1479470, 16.6841650, 18.3652760");
}
rise_transition ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.031775, 0.100965, 0.320816, 1.01939, 3.23912, 10.2923");
values("0.0765315, 0.0841980, 0.1053487, 0.1731416, 0.4087973, 1.2032443, 3.7421204", \
"0.0769035, 0.0843421, 0.1060179, 0.1736792, 0.4091192, 1.2019183, 3.7461620", \
"0.0766908, 0.0842983, 0.1058520, 0.1736756, 0.4090787, 1.2018101, 3.7680952", \
"0.0766796, 0.0843045, 0.1061542, 0.1736534, 0.4090643, 1.2018140, 3.7592218", \
"0.0767938, 0.0843657, 0.1060987, 0.1735465, 0.4084207, 1.2018982, 3.7441161", \
"0.0767020, 0.0842952, 0.1058601, 0.1736544, 0.4090587, 1.2013376, 3.7473220", \
"0.0767007, 0.0842810, 0.1058509, 0.1736484, 0.4090876, 1.2016647, 3.7446770", \
"0.0766817, 0.0844852, 0.1060973, 0.1736627, 0.4090703, 1.2010136, 3.7540837", \
"0.0766890, 0.0843129, 0.1060958, 0.1735923, 0.4090791, 1.2018383, 3.7538124", \
"0.0767721, 0.0843091, 0.1060985, 0.1736317, 0.4090868, 1.2001483, 3.7452308", \
"0.0766767, 0.0843308, 0.1058535, 0.1736525, 0.4090803, 1.2013005, 3.7451984", \
"0.0767703, 0.0842935, 0.1060964, 0.1736506, 0.4089099, 1.2017513, 3.7518735");
}
cell_fall ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.031775, 0.100965, 0.320816, 1.01939, 3.23912, 10.2923");
values("0.5622613, 0.5708119, 0.5953549, 0.6588194, 0.8307520, 1.3436303, 2.9710756", \
"1.9355448, 1.9441802, 1.9686479, 2.0320934, 2.2041342, 2.7170328, 4.3431410", \
"3.1916523, 3.2004108, 3.2247480, 3.2881962, 3.4602448, 3.9733079, 5.5992602", \
"3.4786918, 3.5129408, 3.5129411, 3.5756573, 3.7476648, 4.2607033, 5.8870291", \
"5.2782121, 5.2800218, 5.3061950, 5.3696967, 5.5409299, 6.0546332, 7.6834992", \
"6.7960810, 6.8045325, 6.8283459, 6.8917663, 7.0623360, 7.5664142, 9.1935313", \
"7.9732478, 7.9869436, 8.0097928, 8.0732159, 8.2264293, 8.7432106, 10.3759390", \
"9.6575690, 9.6834132, 9.6926875, 9.7361834, 9.9270974, 10.4389470, 12.0718310", \
"34.3094650, 34.3180200, 34.3413400, 34.4039960, 34.5800510, 35.0902030, 36.6292490", \
"50.7709750, 50.7709752, 50.7957660, 50.8701530, 51.0277760, 51.5405250, 53.1702360", \
"124.4534800, 124.5142000, 124.5509500, 124.6028800, 124.7825000, 125.2829400, 126.9297800", \
"410.1047200, 410.1419800, 410.1652600, 410.2045400, 410.3790200, 410.9161900, 412.5055600");
}
fall_transition ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.031775, 0.100965, 0.320816, 1.01939, 3.23912, 10.2923");
values("0.0675790, 0.0742222, 0.0934379, 0.1532628, 0.3496689, 1.0091808, 3.1459764", \
"0.0678340, 0.0741891, 0.0934818, 0.1533388, 0.3496507, 1.0101608, 3.1340877", \
"0.0678378, 0.0741858, 0.0934760, 0.1533745, 0.3496565, 1.0098470, 3.1490073", \
"0.0676262, 0.0741528, 0.0934490, 0.1538872, 0.3500137, 1.0098737, 3.1477236", \
"0.0676308, 0.0741710, 0.0934622, 0.1538981, 0.3497701, 1.0096488, 3.1559990", \
"0.0678442, 0.0741690, 0.0934728, 0.1537210, 0.3498931, 1.0097631, 3.1446885", \
"0.0676052, 0.0741717, 0.0934711, 0.1537767, 0.3498186, 1.0104767, 3.1456135", \
"0.0678497, 0.0740354, 0.0934691, 0.1535833, 0.3499092, 1.0098520, 3.1442038", \
"0.0676871, 0.0741734, 0.0934530, 0.1537599, 0.3498785, 1.0097513, 3.1370058", \
"0.0678536, 0.0741926, 0.0934580, 0.1534021, 0.3498859, 1.0105212, 3.1523792", \
"0.0676298, 0.0740948, 0.0934309, 0.1537924, 0.3497208, 1.0097003, 3.1458167", \
"0.0676475, 0.0740397, 0.0934560, 0.1536222, 0.3498592, 1.0097027, 3.1479361");
}
}
timing () {
related_pin : "INP_DIS";
timing_type : "combinational";
timing_sense : "negative_unate";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.0317876, 0.101045, 0.321199, 1.02102, 3.24557, 10.3169");
values("2.5178382, 2.5268239, 2.5512898, 2.6125249, 2.7836393, 3.3135201, 5.0064255", \
"2.6527118, 2.6618581, 2.6861178, 2.7472720, 2.9172227, 3.4484573, 5.1409477", \
"2.7587381, 2.7678850, 2.7923190, 2.8534159, 3.0241174, 3.5545713, 5.2468057", \
"2.8469265, 2.8559217, 2.8805198, 2.9417309, 3.1072154, 3.6431704, 5.3347819", \
"2.9216427, 2.9306417, 2.9550997, 3.0163623, 3.1863574, 3.7179080, 5.4097802", \
"2.9864624, 2.9954499, 3.0200080, 3.0811620, 3.2600229, 3.7827088, 5.4742708", \
"3.0608331, 3.0698606, 3.0942957, 3.1555123, 3.3256080, 3.8563841, 5.5493232");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.0317876, 0.101045, 0.321199, 1.02102, 3.24557, 10.3169");
values("0.0767708, 0.0842894, 0.1057046, 0.1734467, 0.4094770, 1.2016488, 3.7561052", \
"0.0766723, 0.0842168, 0.1057152, 0.1732846, 0.4083456, 1.2017638, 3.7568810", \
"0.0768055, 0.0843631, 0.1057900, 0.1734300, 0.4092000, 1.2029868, 3.7551873", \
"0.0766600, 0.0842826, 0.1057913, 0.1731966, 0.4090206, 1.2043896, 3.7455030", \
"0.0766847, 0.0842802, 0.1056201, 0.1734127, 0.4095393, 1.2046701, 3.7490628", \
"0.0766276, 0.0842995, 0.1057594, 0.1733802, 0.4094167, 1.2043890, 3.7448227", \
"0.0768025, 0.0843544, 0.1057991, 0.1733362, 0.4095833, 1.2011381, 3.7570342");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.0317876, 0.101045, 0.321199, 1.02102, 3.24557, 10.3169");
values("1.9956523, 2.0066468, 2.0371287, 2.1119559, 2.2969926, 2.8154890, 4.4408317", \
"2.0694149, 2.0799897, 2.1104549, 2.1856503, 2.3704205, 2.8888534, 4.5145243", \
"2.0981683, 2.1074780, 2.1379938, 2.2138771, 2.3978730, 2.9163474, 4.5411128", \
"2.1136879, 2.1246458, 2.1551783, 2.2298656, 2.4151556, 2.9335496, 4.5581432", \
"2.1194884, 2.1303939, 2.1608486, 2.2355655, 2.4208244, 2.9392513, 4.5647726", \
"2.1236362, 2.1344537, 2.1647524, 2.2397375, 2.4247069, 2.9431955, 4.5686757", \
"2.1225146, 2.1331366, 2.1635229, 2.2390818, 2.4235394, 2.9423848, 4.5677968");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.0317876, 0.101045, 0.321199, 1.02102, 3.24557, 10.3169");
values("0.1354806, 0.1447282, 0.1664549, 0.2229365, 0.4008890, 1.0274827, 3.1727008", \
"0.1357976, 0.1446759, 0.1664068, 0.2229879, 0.3995242, 1.0284195, 3.1600551", \
"0.1354417, 0.1450493, 0.1664994, 0.2230790, 0.3998106, 1.0283074, 3.1585119", \
"0.1358460, 0.1444543, 0.1663190, 0.2229222, 0.4000898, 1.0285720, 3.1583782", \
"0.1354668, 0.1446800, 0.1664198, 0.2227390, 0.3995639, 1.0283681, 3.1594063", \
"0.1359183, 0.1445530, 0.1666604, 0.2229864, 0.4009232, 1.0275032, 3.1737177", \
"0.1359895, 0.1450497, 0.1665955, 0.2232009, 0.3997118, 1.0286354, 3.1521368");
}
}
/* xipath_xi106_net68#114_2_in_h_5000 */
ccsn_last_stage () {
is_needed : "true";
stage_type : "both";
is_inverting : "true";
when : "( OE_N & !IB_MODE_SEL & VTRIP_SEL )";
miller_cap_fall : "0.0151747";
miller_cap_rise : "0.0114473";
dc_current ("ccsn_dc") {
index_1("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
index_2("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
values("91.6422, 44.0832, 29.6541, 28.493, 26.9135, 25.9396, 24.8801, 23.7672, 22.6177, 21.437, 20.2265, 18.9861, 17.7157, 16.4149, 15.0834, 13.7208, 12.3268, 10.9009, 9.4428, 7.95219, 6.42873, 4.87209, 3.28194, 1.65801, -2.46121e-07, -3.33749, -6.69098, -25.1905, -67.8562", \
"87.8502, 40.2903, 21.4984, 21.0496, 20.5141, 20.2008, 19.8462, 19.438, 18.9573, 18.3761, 17.6579, 16.7863, 15.8026, 14.7516, 13.6501, 12.5012, 11.3053, 10.0621, 8.77109, 7.43195, 6.04421, 4.60748, 3.1214, 1.58567, -7.95893e-08, -3.2084, -6.45957, -25.1134, -67.7769", \
"85.9305, 38.0906, 16.2962, 16.0104, 15.6909, 15.5123, 15.3173, 15.1022, 14.862, 14.5894, 14.274, 13.8995, 13.4395, 12.8517, 12.0944, 11.1951, 10.2105, 9.1594, 8.04443, 6.86558, 5.62248, 4.31471, 2.94189, 1.50373, -1.96599e-08, -3.05767, -6.20985, -25.0367, -67.6992", \
"85.4819, 37.3999, 14.566, 14.3122, 14.0407, 13.8912, 13.7296, 13.5531, 13.3585, 13.1408, 12.8936, 12.6067, 12.2646, 11.8414, 11.2935, 10.5724, 9.70242, 8.74182, 7.70796, 6.60286, 5.42642, 4.17823, 2.85794, 1.46526, -4.23633e-08, -2.98806, -6.09717, -25.0037, -67.6661", \
"85.122, 36.8635, 12.8689, 12.6142, 12.3816, 12.2564, 12.1223, 11.9774, 11.8193, 11.6446, 11.4492, 11.2269, 10.9681, 10.6581, 10.2712, 9.76257, 9.07749, 8.23837, 7.30319, 6.28671, 5.19028, 4.0137, 2.75662, 1.41877, -2.72062e-08, -2.90487, -5.96466, -24.9662, -67.6285", \
"84.9524, 36.6317, 12.3232, 11.7667, 11.5503, 11.4357, 11.3137, 11.1825, 11.04, 10.8835, 10.7097, 10.5134, 10.2875, 10.0205, 9.69334, 9.272, 8.69907, 7.94508, 7.06911, 6.10394, 5.05371, 3.91849, 2.69794, 1.39182, -2.52931e-07, -2.85712, -5.88966, -24.9454, -67.6078", \
"84.7848, 36.4079, 11.9842, 10.9221, 10.7187, 10.6137, 10.5028, 10.384, 10.2558, 10.1157, 9.96104, 9.78782, 9.5903, 9.35975, 9.08193, 8.7318, 8.26296, 7.61494, 6.80939, 5.90143, 4.90235, 3.81288, 2.63279, 1.36181, -0.000128511, -2.80473, -5.80817, -24.9235, -67.5863", \
"84.6161, 36.1918, 11.7082, 10.2308, 9.88105, 9.78278, 9.67995, 9.57068, 9.45333, 9.32604, 9.1865, 9.03155, 8.85668, 8.6552, 8.41643, 8.12213, 7.73831, 7.20391, 6.48492, 5.63951, 4.69483, 3.65332, 2.51473, 1.27868, -0.055061, -2.81739, -5.81139, -25.0065, -67.8157", \
"84.4437, 35.9801, 11.4554, 9.72807, 8.8263, 8.69668, 8.57887, 8.46086, 8.33861, 8.20957, 8.07138, 7.92127, 7.75562, 7.56926, 7.35427, 7.0976, 6.77564, 6.34244, 5.7306, 4.9537, 4.06203, 3.06331, 1.95671, 0.740761, -0.585835, -3.38741, -6.4868, -25.7716, -69.4211", \
"84.2646, 35.7997, 11.2073, 9.23079, 7.63472, 7.38068, 7.2308, 7.10129, 6.97609, 6.84931, 6.71747, 6.57758, 6.42642, 6.25982, 6.07179, 5.8529, 5.58685, 5.2425, 4.75873, 4.08439, 3.26708, 2.3308, 1.27536, 0.098397, -1.20227, -4.00624, -7.16517, -26.462, -70.6976", \
"84.0758, 35.5628, 10.9518, 8.72499, 6.56205, 6.04786, 5.82521, 5.67565, 5.54547, 5.42072, 5.29544, 5.16592, 5.02891, 4.88088, 4.71716, 4.53084, 4.31054, 4.03548, 3.66354, 3.12069, 2.39724, 1.53801, 0.547817, -0.576304, -1.83741, -4.61708, -7.78628, -27.0929, -71.8141", \
"83.8743, 35.3541, 10.6791, 8.2125, 5.62026, 4.80553, 4.42495, 4.23482, 4.09355, 3.96816, 3.84776, 3.72704, 3.60237, 3.47046, 3.32751, 3.16836, 2.98496, 2.76328, 2.47613, 2.06587, 1.46297, 0.695901, -0.215258, -1.27318, -2.48176, -5.21244, -8.36036, -27.6716, -72.8063", \
"83.6564, 35.1432, 10.3824, 7.69588, 4.77521, 3.70239, 3.07771, 2.80348, 2.63937, 2.5087, 2.39054, 2.27652, 2.16207, 2.04378, 1.91836, 1.78179, 1.62829, 1.44828, 1.22413, 0.919179, 0.458153, -0.197129, -1.01411, -1.99139, -3.13362, -5.7897, -8.88938, -28.2004, -73.6868", \
"83.4186, 34.9287, 10.0584, 7.17748, 4.00167, 2.7217, 1.83643, 1.40466, 1.19555, 1.05213, 0.932504, 0.822689, 0.716233, 0.609196, 0.498422, 0.380629, 0.251542, 0.104536, -0.0719879, -0.300729, -0.63222, -1.1496, -1.85473, -2.73511, -3.79562, -6.34954, -9.38236, -28.6829, -74.4678", \
"83.1572, 34.7089, 9.7082, 6.65974, 3.28349, 1.83608, 0.729276, 0.0709559, -0.224133, -0.392794, -0.519096, -0.62777, -0.7286, -0.826662, -0.92534, -1.02756, -1.13663, -1.25718, -1.39696, -1.57025, -1.80685, -2.17583, -2.74681, -3.51145, -4.47265, -6.89373, -9.83969, -29.1229, -75.1606", \
"82.8681, 34.4825, 9.33608, 6.14578, 2.61119, 1.02676, -0.25674, -1.15541, -1.59969, -1.81516, -1.95592, -2.06737, -2.16521, -2.25657, -2.34549, -2.4349, -2.52753, -2.62673, -2.73772, -2.86965, -3.04028, -3.28938, -3.70351, -4.33012, -5.17129, -7.42429, -10.2616, -29.5243, -75.775", \
"82.5484, 34.2492, 8.94893, 5.64039, 1.98079, 0.28342, -1.14256, -2.24644, -2.8999, -3.19893, -3.36639, -3.4859, -3.58387, -3.67089, -3.75228, -3.83132, -3.91052, -3.99246, -4.0807, -4.1812, -4.30487, -4.47368, -4.74091, -5.20381, -5.90011, -7.94316, -10.6476, -29.8899, -76.3188", \
"82.1971, 34.0101, 8.55724, 5.15177, 1.39424, -0.39633, -1.93895, -3.20398, -4.08255, -4.51872, -4.73268, -4.86781, -4.96976, -5.05504, -5.13111, -5.20203, -5.27042, -5.33849, -5.40877, -5.48517, -5.57463, -5.69005, -5.85794, -6.14861, -6.67027, -8.45204, -10.9963, -30.2133, -76.7982", \
"81.819, 33.7709, 8.17847, 4.69502, 0.861747, -1.00512, -2.6436, -4.03525, -5.10906, -5.73398, -6.02562, -6.18753, -6.29847, -6.38499, -6.45802, -6.52296, -6.58293, -6.64006, -6.69632, -6.75439, -6.81882, -6.8978, -7.00605, -7.17388, -7.49652, -8.95244, -11.305, -30.4795, -77.2068", \
"81.435, 33.5471, 7.84498, 4.29932, 0.40787, -1.52013, -3.23655, -4.72886, -5.95374, -6.78419, -7.1956, -7.40073, -7.52728, -7.61853, -7.69095, -7.75204, -7.80581, -7.85465, -7.90037, -7.94495, -7.99156, -8.04587, -8.11765, -8.22299, -8.39377, -9.44572, -11.5705, -30.7099, -77.536", \
"81.1133, 33.3806, 7.62657, 4.02757, 0.0884907, -1.88631, -3.66411, -5.23561, -6.57335, -7.58368, -8.15241, -8.42297, -8.57359, -8.67361, -8.748, -8.80744, -8.85726, -8.90046, -8.93899, -8.9746, -9.00978, -9.0489, -9.09969, -9.1744, -9.29079, -9.93441, -11.7983, -30.9175, -77.8168", \
"81.0595, 33.3773, 7.66247, 3.9961, 0.00134103, -2.00954, -3.83575, -5.46994, -6.89335, -8.04193, -8.78025, -9.14338, -9.33135, -9.44793, -9.53037, -9.59376, -9.64528, -9.68881, -9.7267, -9.7608, -9.7933, -9.82799, -9.87163, -9.93539, -10.0358, -10.4571, -12.017, -31.1133, -78.0639", \
"81.1646, 33.4467, 7.79595, 4.05474, 5.24377e-07, -2.04296, -3.91203, -5.60123, -7.09716, -8.35684, -9.26167, -9.7494, -9.99326, -10.1361, -10.2332, -10.3057, -10.3636, -10.4118, -10.4532, -10.4899, -10.5241, -10.5588, -10.6002, -10.6583, -10.7486, -11.1162, -12.2698, -31.3033, -78.2838", \
"81.2679, 33.5592, 7.91511, 4.10753, 5.48298e-08, -2.07083, -3.97739, -5.71476, -7.27304, -8.62331, -9.67618, -10.3142, -10.6352, -10.8134, -10.9291, -11.013, -11.0785, -11.1322, -11.1779, -11.2178, -11.2541, -11.2897, -11.3296, -11.3829, -11.4639, -11.7966, -12.6208, -31.4916, -78.4808", \
"81.3641, 33.6164, 8.02006, 4.15376, 9.41958e-08, -2.09501, -4.03442, -5.81409, -7.42652, -8.85188, -10.0278, -10.8269, -11.2515, -11.4774, -11.6172, -11.7153, -11.79, -11.8502, -11.9007, -11.9444, -11.9834, -12.0204, -12.0597, -12.1091, -12.1818, -12.4802, -13.1741, -31.6814, -78.6587", \
"81.5315, 33.6587, 8.19422, 4.22957, 2.9177e-07, -2.13417, -4.12773, -5.97761, -7.6792, -9.22244, -10.5787, -11.6662, -12.37, -12.7478, -12.961, -13.0991, -13.1986, -13.2757, -13.3385, -13.3916, -13.4378, -13.4795, -13.52, -13.5649, -13.6245, -13.8599, -14.4347, -32.0933, -78.9719", \
"81.6643, 33.787, 8.32948, 4.28717, 8.10895e-07, -2.16345, -4.19878, -6.10365, -7.87495, -9.50704, -10.9863, -12.2733, -13.2713, -13.8949, -14.2385, -14.443, -14.5805, -14.682, -14.7618, -14.8275, -14.8833, -14.9323, -14.9771, -15.0218, -15.0738, -15.2577, -15.7167, -32.5558, -79.2719", \
"81.9078, 33.8777, 8.57158, 4.38325, 8.0274e-06, -2.21076, -4.32092, -6.32925, -8.23445, -10.0349, -11.7282, -13.3094, -14.7672, -16.0715, -17.1512, -17.9172, -18.3875, -18.6764, -18.8701, -19.0117, -19.1219, -19.2118, -19.2876, -19.3534, -19.4125, -19.5321, -19.7378, -33.9307, -79.8931", \
"82.0633, 33.9611, 8.65648, 4.39525, 7.95978e-05, -2.21353, -4.3542, -6.42138, -8.41456, -10.3333, -12.177, -13.9451, -15.637, -17.2513, -18.7859, -20.2358, -21.5903, -22.8233, -23.8821, -24.7021, -25.2736, -25.6606, -25.934, -26.1392, -26.3013, -26.5474, -26.7334, -36.6206, -80.6727");
}
output_voltage_rise () {
vector ("ccsn_ovrf") {
index_1("0.01");
index_2("0.0675359");
index_3("0.0244730, 0.0364863, 0.0493817, 0.0654926, 0.0950739");
values("0.55, 1.65, 2.75, 3.85, 4.95");
}
vector ("ccsn_ovrf") {
index_1("0.01");
index_2("0.202608");
index_3("0.0311716, 0.0557036, 0.0823093, 0.1153488, 0.1753114");
values("0.55, 1.65, 2.75, 3.85, 4.95");
}
vector ("ccsn_ovrf") {
index_1("0.1");
index_2("0.0675359");
index_3("0.0742470, 0.0947703, 0.1091117, 0.1253235, 0.1548590");
values("0.55, 1.65, 2.75, 3.85, 4.95");
}
vector ("ccsn_ovrf") {
index_1("0.1");
index_2("0.202608");
index_3("0.0854802, 0.1144287, 0.1411198, 0.1739157, 0.2339122");
values("0.55, 1.65, 2.75, 3.85, 4.95");
}
}
output_voltage_fall () {
vector ("ccsn_ovrf") {
index_1("0.01");
index_2("0.0664462");
index_3("0.0242671, 0.0361503, 0.0482603, 0.0615671, 0.0812885");
values("4.95, 3.85, 2.75, 1.65, 0.55");
}
vector ("ccsn_ovrf") {
index_1("0.01");
index_2("0.199339");
index_3("0.0309032, 0.0549490, 0.0796049, 0.1065251, 0.1471618");
values("4.95, 3.85, 2.75, 1.65, 0.55");
}
vector ("ccsn_ovrf") {
index_1("0.1");
index_2("0.0664462");
index_3("0.0677206, 0.0891567, 0.1033872, 0.1166395, 0.1361962");
values("4.95, 3.85, 2.75, 1.65, 0.55");
}
vector ("ccsn_ovrf") {
index_1("0.1");
index_2("0.199339");
index_3("0.0795375, 0.1092724, 0.1338205, 0.1604400, 0.2012069");
values("4.95, 3.85, 2.75, 1.65, 0.55");
}
}
propagated_noise_low () {
vector ("ccsn_pnlh") {
index_1("2.475");
index_2("0.789677");
index_3("0.0675359");
index_4("0.3379724, 0.3866403, 0.4223882, 0.4669472, 0.5163076");
values("0.211161, 0.337858, 0.422322, 0.337858, 0.211161");
}
vector ("ccsn_pnlh") {
index_1("2.91503");
index_2("0.506548");
index_3("0.0675359");
index_4("0.2256078, 0.2572223, 0.2859440, 0.3176921, 0.3493800");
values("0.349402, 0.559043, 0.698804, 0.559043, 0.349402");
}
vector ("ccsn_pnlh") {
index_1("3.35774");
index_2("0.358713");
index_3("0.0675359");
index_4("0.1723685, 0.1919968, 0.2155440, 0.2458301, 0.2668971");
values("0.607058, 0.971293, 1.21412, 0.971293, 0.607058");
}
vector ("ccsn_pnlh") {
index_1("2.475");
index_2("0.394839");
index_3("0.0675359");
index_4("0.1787910, 0.2016051, 0.2234489, 0.2518276, 0.2794929");
values("0.188665, 0.301864, 0.37733, 0.301864, 0.188665");
}
vector ("ccsn_pnlh") {
index_1("3.35774");
index_2("0.179357");
index_3("0.0675359");
index_4("0.0906709, 0.1009696, 0.1155031, 0.1351039, 0.1508527");
values("0.386548, 0.618477, 0.773096, 0.618477, 0.386548");
}
vector ("ccsn_pnlh") {
index_1("2.91503");
index_2("0.506548");
index_3("0.202608");
index_4("0.2275574, 0.2601532, 0.2881698, 0.3422679, 0.3816753");
values("0.273116, 0.436986, 0.546232, 0.436986, 0.273116");
}
vector ("ccsn_pnlh") {
index_1("3.35774");
index_2("0.358713");
index_3("0.202608");
index_4("0.1700173, 0.1930095, 0.2285220, 0.2607388, 0.2915132");
values("0.388819, 0.62211, 0.777637, 0.62211, 0.388819");
}
}
propagated_noise_high () {
vector ("ccsn_pnlh") {
index_1("2.475");
index_2("0.413955");
index_3("0.0664462");
index_4("0.1802365, 0.2121998, 0.2425022, 0.2838830, 0.3187938");
values("5.06844, 4.80951, 4.63689, 4.80951, 5.06844");
}
vector ("ccsn_pnlh") {
index_1("2.75");
index_2("0.336307");
index_3("0.0664462");
index_4("0.1522441, 0.1773994, 0.2037293, 0.2415517, 0.2708419");
values("4.9651, 4.64417, 4.43021, 4.64417, 4.9651");
}
vector ("ccsn_pnlh") {
index_1("3.025");
index_2("0.281072");
index_3("0.0664462");
index_4("0.1324443, 0.1527314, 0.1819097, 0.2105463, 0.2361860");
values("4.83797, 4.44075, 4.17593, 4.44075, 4.83797");
}
vector ("ccsn_pnlh") {
index_1("2.475");
index_2("0.206977");
index_3("0.0664462");
index_4("0.0997512, 0.1146819, 0.1361101, 0.1614699, 0.1832316");
values("5.165, 4.964, 4.83, 4.964, 5.165");
}
vector ("ccsn_pnlh") {
index_1("3.025");
index_2("0.140536");
index_3("0.0664462");
index_4("0.0735445, 0.0838946, 0.1019588, 0.1220595, 0.1412963");
values("5.06481, 4.8037, 4.62963, 4.8037, 5.06481");
}
vector ("ccsn_pnlh") {
index_1("2.75");
index_2("0.336307");
index_3("0.199339");
index_4("0.1568619, 0.1827704, 0.2171606, 0.2658099, 0.3038723");
values("5.11218, 4.87949, 4.72437, 4.87949, 5.11218");
}
vector ("ccsn_pnlh") {
index_1("3.025");
index_2("0.281072");
index_3("0.199339");
index_4("0.1347480, 0.1571806, 0.1962738, 0.2325037, 0.2683923");
values("5.06041, 4.79665, 4.62081, 4.79665, 5.06041");
}
}
}
/* xipath_xi106_net68#114_2_in_h_5000_ */
ccsn_last_stage () {
is_needed : "true";
stage_type : "both";
is_inverting : "true";
miller_cap_fall : "0.0151747";
miller_cap_rise : "0.0114473";
dc_current ("ccsn_dc") {
index_1("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
index_2("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
values("91.6422, 44.0832, 29.6541, 28.493, 26.9135, 25.9396, 24.8801, 23.7672, 22.6177, 21.437, 20.2265, 18.9861, 17.7157, 16.4149, 15.0834, 13.7208, 12.3268, 10.9009, 9.4428, 7.95219, 6.42873, 4.87209, 3.28194, 1.65801, -2.46121e-07, -3.33749, -6.69098, -25.1905, -67.8562", \
"87.8502, 40.2903, 21.4984, 21.0496, 20.5141, 20.2008, 19.8462, 19.438, 18.9573, 18.3761, 17.6579, 16.7863, 15.8026, 14.7516, 13.6501, 12.5012, 11.3053, 10.0621, 8.77109, 7.43195, 6.04421, 4.60748, 3.1214, 1.58567, -7.95893e-08, -3.2084, -6.45957, -25.1134, -67.7769", \
"85.9305, 38.0906, 16.2962, 16.0104, 15.6909, 15.5123, 15.3173, 15.1022, 14.862, 14.5894, 14.274, 13.8995, 13.4395, 12.8517, 12.0944, 11.1951, 10.2105, 9.1594, 8.04443, 6.86558, 5.62248, 4.31471, 2.94189, 1.50373, -1.96599e-08, -3.05767, -6.20985, -25.0367, -67.6992", \
"85.4819, 37.3999, 14.566, 14.3122, 14.0407, 13.8912, 13.7296, 13.5531, 13.3585, 13.1408, 12.8936, 12.6067, 12.2646, 11.8414, 11.2935, 10.5724, 9.70242, 8.74182, 7.70796, 6.60286, 5.42642, 4.17823, 2.85794, 1.46526, -4.23633e-08, -2.98806, -6.09717, -25.0037, -67.6661", \
"85.122, 36.8635, 12.8689, 12.6142, 12.3816, 12.2564, 12.1223, 11.9774, 11.8193, 11.6446, 11.4492, 11.2269, 10.9681, 10.6581, 10.2712, 9.76257, 9.07749, 8.23837, 7.30319, 6.28671, 5.19028, 4.0137, 2.75662, 1.41877, -2.72062e-08, -2.90487, -5.96466, -24.9662, -67.6285", \
"84.9524, 36.6317, 12.3232, 11.7667, 11.5503, 11.4357, 11.3137, 11.1825, 11.04, 10.8835, 10.7097, 10.5134, 10.2875, 10.0205, 9.69334, 9.272, 8.69907, 7.94508, 7.06911, 6.10394, 5.05371, 3.91849, 2.69794, 1.39182, -2.52931e-07, -2.85712, -5.88966, -24.9454, -67.6078", \
"84.7848, 36.4079, 11.9842, 10.9221, 10.7187, 10.6137, 10.5028, 10.384, 10.2558, 10.1157, 9.96104, 9.78782, 9.5903, 9.35975, 9.08193, 8.7318, 8.26296, 7.61494, 6.80939, 5.90143, 4.90235, 3.81288, 2.63279, 1.36181, -0.000128511, -2.80473, -5.80817, -24.9235, -67.5863", \
"84.6161, 36.1918, 11.7082, 10.2308, 9.88105, 9.78278, 9.67995, 9.57068, 9.45333, 9.32604, 9.1865, 9.03155, 8.85668, 8.6552, 8.41643, 8.12213, 7.73831, 7.20391, 6.48492, 5.63951, 4.69483, 3.65332, 2.51473, 1.27868, -0.055061, -2.81739, -5.81139, -25.0065, -67.8157", \
"84.4437, 35.9801, 11.4554, 9.72807, 8.8263, 8.69668, 8.57887, 8.46086, 8.33861, 8.20957, 8.07138, 7.92127, 7.75562, 7.56926, 7.35427, 7.0976, 6.77564, 6.34244, 5.7306, 4.9537, 4.06203, 3.06331, 1.95671, 0.740761, -0.585835, -3.38741, -6.4868, -25.7716, -69.4211", \
"84.2646, 35.7997, 11.2073, 9.23079, 7.63472, 7.38068, 7.2308, 7.10129, 6.97609, 6.84931, 6.71747, 6.57758, 6.42642, 6.25982, 6.07179, 5.8529, 5.58685, 5.2425, 4.75873, 4.08439, 3.26708, 2.3308, 1.27536, 0.098397, -1.20227, -4.00624, -7.16517, -26.462, -70.6976", \
"84.0758, 35.5628, 10.9518, 8.72499, 6.56205, 6.04786, 5.82521, 5.67565, 5.54547, 5.42072, 5.29544, 5.16592, 5.02891, 4.88088, 4.71716, 4.53084, 4.31054, 4.03548, 3.66354, 3.12069, 2.39724, 1.53801, 0.547817, -0.576304, -1.83741, -4.61708, -7.78628, -27.0929, -71.8141", \
"83.8743, 35.3541, 10.6791, 8.2125, 5.62026, 4.80553, 4.42495, 4.23482, 4.09355, 3.96816, 3.84776, 3.72704, 3.60237, 3.47046, 3.32751, 3.16836, 2.98496, 2.76328, 2.47613, 2.06587, 1.46297, 0.695901, -0.215258, -1.27318, -2.48176, -5.21244, -8.36036, -27.6716, -72.8063", \
"83.6564, 35.1432, 10.3824, 7.69588, 4.77521, 3.70239, 3.07771, 2.80348, 2.63937, 2.5087, 2.39054, 2.27652, 2.16207, 2.04378, 1.91836, 1.78179, 1.62829, 1.44828, 1.22413, 0.919179, 0.458153, -0.197129, -1.01411, -1.99139, -3.13362, -5.7897, -8.88938, -28.2004, -73.6868", \
"83.4186, 34.9287, 10.0584, 7.17748, 4.00167, 2.7217, 1.83643, 1.40466, 1.19555, 1.05213, 0.932504, 0.822689, 0.716233, 0.609196, 0.498422, 0.380629, 0.251542, 0.104536, -0.0719879, -0.300729, -0.63222, -1.1496, -1.85473, -2.73511, -3.79562, -6.34954, -9.38236, -28.6829, -74.4678", \
"83.1572, 34.7089, 9.7082, 6.65974, 3.28349, 1.83608, 0.729276, 0.0709559, -0.224133, -0.392794, -0.519096, -0.62777, -0.7286, -0.826662, -0.92534, -1.02756, -1.13663, -1.25718, -1.39696, -1.57025, -1.80685, -2.17583, -2.74681, -3.51145, -4.47265, -6.89373, -9.83969, -29.1229, -75.1606", \
"82.8681, 34.4825, 9.33608, 6.14578, 2.61119, 1.02676, -0.25674, -1.15541, -1.59969, -1.81516, -1.95592, -2.06737, -2.16521, -2.25657, -2.34549, -2.4349, -2.52753, -2.62673, -2.73772, -2.86965, -3.04028, -3.28938, -3.70351, -4.33012, -5.17129, -7.42429, -10.2616, -29.5243, -75.775", \
"82.5484, 34.2492, 8.94893, 5.64039, 1.98079, 0.28342, -1.14256, -2.24644, -2.8999, -3.19893, -3.36639, -3.4859, -3.58387, -3.67089, -3.75228, -3.83132, -3.91052, -3.99246, -4.0807, -4.1812, -4.30487, -4.47368, -4.74091, -5.20381, -5.90011, -7.94316, -10.6476, -29.8899, -76.3188", \
"82.1971, 34.0101, 8.55724, 5.15177, 1.39424, -0.39633, -1.93895, -3.20398, -4.08255, -4.51872, -4.73268, -4.86781, -4.96976, -5.05504, -5.13111, -5.20203, -5.27042, -5.33849, -5.40877, -5.48517, -5.57463, -5.69005, -5.85794, -6.14861, -6.67027, -8.45204, -10.9963, -30.2133, -76.7982", \
"81.819, 33.7709, 8.17847, 4.69502, 0.861747, -1.00512, -2.6436, -4.03525, -5.10906, -5.73398, -6.02562, -6.18753, -6.29847, -6.38499, -6.45802, -6.52296, -6.58293, -6.64006, -6.69632, -6.75439, -6.81882, -6.8978, -7.00605, -7.17388, -7.49652, -8.95244, -11.305, -30.4795, -77.2068", \
"81.435, 33.5471, 7.84498, 4.29932, 0.40787, -1.52013, -3.23655, -4.72886, -5.95374, -6.78419, -7.1956, -7.40073, -7.52728, -7.61853, -7.69095, -7.75204, -7.80581, -7.85465, -7.90037, -7.94495, -7.99156, -8.04587, -8.11765, -8.22299, -8.39377, -9.44572, -11.5705, -30.7099, -77.536", \
"81.1133, 33.3806, 7.62657, 4.02757, 0.0884907, -1.88631, -3.66411, -5.23561, -6.57335, -7.58368, -8.15241, -8.42297, -8.57359, -8.67361, -8.748, -8.80744, -8.85726, -8.90046, -8.93899, -8.9746, -9.00978, -9.0489, -9.09969, -9.1744, -9.29079, -9.93441, -11.7983, -30.9175, -77.8168", \
"81.0595, 33.3773, 7.66247, 3.9961, 0.00134103, -2.00954, -3.83575, -5.46994, -6.89335, -8.04193, -8.78025, -9.14338, -9.33135, -9.44793, -9.53037, -9.59376, -9.64528, -9.68881, -9.7267, -9.7608, -9.7933, -9.82799, -9.87163, -9.93539, -10.0358, -10.4571, -12.017, -31.1133, -78.0639", \
"81.1646, 33.4467, 7.79595, 4.05474, 5.24377e-07, -2.04296, -3.91203, -5.60123, -7.09716, -8.35684, -9.26167, -9.7494, -9.99326, -10.1361, -10.2332, -10.3057, -10.3636, -10.4118, -10.4532, -10.4899, -10.5241, -10.5588, -10.6002, -10.6583, -10.7486, -11.1162, -12.2698, -31.3033, -78.2838", \
"81.2679, 33.5592, 7.91511, 4.10753, 5.48298e-08, -2.07083, -3.97739, -5.71476, -7.27304, -8.62331, -9.67618, -10.3142, -10.6352, -10.8134, -10.9291, -11.013, -11.0785, -11.1322, -11.1779, -11.2178, -11.2541, -11.2897, -11.3296, -11.3829, -11.4639, -11.7966, -12.6208, -31.4916, -78.4808", \
"81.3641, 33.6164, 8.02006, 4.15376, 9.41958e-08, -2.09501, -4.03442, -5.81409, -7.42652, -8.85188, -10.0278, -10.8269, -11.2515, -11.4774, -11.6172, -11.7153, -11.79, -11.8502, -11.9007, -11.9444, -11.9834, -12.0204, -12.0597, -12.1091, -12.1818, -12.4802, -13.1741, -31.6814, -78.6587", \
"81.5315, 33.6587, 8.19422, 4.22957, 2.9177e-07, -2.13417, -4.12773, -5.97761, -7.6792, -9.22244, -10.5787, -11.6662, -12.37, -12.7478, -12.961, -13.0991, -13.1986, -13.2757, -13.3385, -13.3916, -13.4378, -13.4795, -13.52, -13.5649, -13.6245, -13.8599, -14.4347, -32.0933, -78.9719", \
"81.6643, 33.787, 8.32948, 4.28717, 8.10895e-07, -2.16345, -4.19878, -6.10365, -7.87495, -9.50704, -10.9863, -12.2733, -13.2713, -13.8949, -14.2385, -14.443, -14.5805, -14.682, -14.7618, -14.8275, -14.8833, -14.9323, -14.9771, -15.0218, -15.0738, -15.2577, -15.7167, -32.5558, -79.2719", \
"81.9078, 33.8777, 8.57158, 4.38325, 8.0274e-06, -2.21076, -4.32092, -6.32925, -8.23445, -10.0349, -11.7282, -13.3094, -14.7672, -16.0715, -17.1512, -17.9172, -18.3875, -18.6764, -18.8701, -19.0117, -19.1219, -19.2118, -19.2876, -19.3534, -19.4125, -19.5321, -19.7378, -33.9307, -79.8931", \
"82.0633, 33.9611, 8.65648, 4.39525, 7.95978e-05, -2.21353, -4.3542, -6.42138, -8.41456, -10.3333, -12.177, -13.9451, -15.637, -17.2513, -18.7859, -20.2358, -21.5903, -22.8233, -23.8821, -24.7021, -25.2736, -25.6606, -25.934, -26.1392, -26.3013, -26.5474, -26.7334, -36.6206, -80.6727");
}
output_voltage_rise () {
vector ("ccsn_ovrf") {
index_1("0.01");
index_2("0.0675359");
index_3("0.0244730, 0.0364863, 0.0493817, 0.0654926, 0.0950739");
values("0.55, 1.65, 2.75, 3.85, 4.95");
}
vector ("ccsn_ovrf") {
index_1("0.01");
index_2("0.202608");
index_3("0.0311716, 0.0557036, 0.0823093, 0.1153488, 0.1753114");
values("0.55, 1.65, 2.75, 3.85, 4.95");
}
vector ("ccsn_ovrf") {
index_1("0.1");
index_2("0.0675359");
index_3("0.0742470, 0.0947703, 0.1091117, 0.1253235, 0.1548590");
values("0.55, 1.65, 2.75, 3.85, 4.95");
}
vector ("ccsn_ovrf") {
index_1("0.1");
index_2("0.202608");
index_3("0.0854802, 0.1144287, 0.1411198, 0.1739157, 0.2339122");
values("0.55, 1.65, 2.75, 3.85, 4.95");
}
}
output_voltage_fall () {
vector ("ccsn_ovrf") {
index_1("0.01");
index_2("0.0664462");
index_3("0.0242671, 0.0361503, 0.0482603, 0.0615671, 0.0812885");
values("4.95, 3.85, 2.75, 1.65, 0.55");
}
vector ("ccsn_ovrf") {
index_1("0.01");
index_2("0.199339");
index_3("0.0309032, 0.0549490, 0.0796049, 0.1065251, 0.1471618");
values("4.95, 3.85, 2.75, 1.65, 0.55");
}
vector ("ccsn_ovrf") {
index_1("0.1");
index_2("0.0664462");
index_3("0.0677206, 0.0891567, 0.1033872, 0.1166395, 0.1361962");
values("4.95, 3.85, 2.75, 1.65, 0.55");
}
vector ("ccsn_ovrf") {
index_1("0.1");
index_2("0.199339");
index_3("0.0795375, 0.1092724, 0.1338205, 0.1604400, 0.2012069");
values("4.95, 3.85, 2.75, 1.65, 0.55");
}
}
propagated_noise_low () {
vector ("ccsn_pnlh") {
index_1("2.475");
index_2("0.789677");
index_3("0.0675359");
index_4("0.3379724, 0.3866403, 0.4223882, 0.4669472, 0.5163076");
values("0.211161, 0.337858, 0.422322, 0.337858, 0.211161");
}
vector ("ccsn_pnlh") {
index_1("2.91503");
index_2("0.506548");
index_3("0.0675359");
index_4("0.2256078, 0.2572223, 0.2859440, 0.3176921, 0.3493800");
values("0.349402, 0.559043, 0.698804, 0.559043, 0.349402");
}
vector ("ccsn_pnlh") {
index_1("3.35774");
index_2("0.358713");
index_3("0.0675359");
index_4("0.1723685, 0.1919968, 0.2155440, 0.2458301, 0.2668971");
values("0.607058, 0.971293, 1.21412, 0.971293, 0.607058");
}
vector ("ccsn_pnlh") {
index_1("2.475");
index_2("0.394839");
index_3("0.0675359");
index_4("0.1787910, 0.2016051, 0.2234489, 0.2518276, 0.2794929");
values("0.188665, 0.301864, 0.37733, 0.301864, 0.188665");
}
vector ("ccsn_pnlh") {
index_1("3.35774");
index_2("0.179357");
index_3("0.0675359");
index_4("0.0906709, 0.1009696, 0.1155031, 0.1351039, 0.1508527");
values("0.386548, 0.618477, 0.773096, 0.618477, 0.386548");
}
vector ("ccsn_pnlh") {
index_1("2.91503");
index_2("0.506548");
index_3("0.202608");
index_4("0.2275574, 0.2601532, 0.2881698, 0.3422679, 0.3816753");
values("0.273116, 0.436986, 0.546232, 0.436986, 0.273116");
}
vector ("ccsn_pnlh") {
index_1("3.35774");
index_2("0.358713");
index_3("0.202608");
index_4("0.1700173, 0.1930095, 0.2285220, 0.2607388, 0.2915132");
values("0.388819, 0.62211, 0.777637, 0.62211, 0.388819");
}
}
propagated_noise_high () {
vector ("ccsn_pnlh") {
index_1("2.475");
index_2("0.413955");
index_3("0.0664462");
index_4("0.1802365, 0.2121998, 0.2425022, 0.2838830, 0.3187938");
values("5.06844, 4.80951, 4.63689, 4.80951, 5.06844");
}
vector ("ccsn_pnlh") {
index_1("2.75");
index_2("0.336307");
index_3("0.0664462");
index_4("0.1522441, 0.1773994, 0.2037293, 0.2415517, 0.2708419");
values("4.9651, 4.64417, 4.43021, 4.64417, 4.9651");
}
vector ("ccsn_pnlh") {
index_1("3.025");
index_2("0.281072");
index_3("0.0664462");
index_4("0.1324443, 0.1527314, 0.1819097, 0.2105463, 0.2361860");
values("4.83797, 4.44075, 4.17593, 4.44075, 4.83797");
}
vector ("ccsn_pnlh") {
index_1("2.475");
index_2("0.206977");
index_3("0.0664462");
index_4("0.0997512, 0.1146819, 0.1361101, 0.1614699, 0.1832316");
values("5.165, 4.964, 4.83, 4.964, 5.165");
}
vector ("ccsn_pnlh") {
index_1("3.025");
index_2("0.140536");
index_3("0.0664462");
index_4("0.0735445, 0.0838946, 0.1019588, 0.1220595, 0.1412963");
values("5.06481, 4.8037, 4.62963, 4.8037, 5.06481");
}
vector ("ccsn_pnlh") {
index_1("2.75");
index_2("0.336307");
index_3("0.199339");
index_4("0.1568619, 0.1827704, 0.2171606, 0.2658099, 0.3038723");
values("5.11218, 4.87949, 4.72437, 4.87949, 5.11218");
}
vector ("ccsn_pnlh") {
index_1("3.025");
index_2("0.281072");
index_3("0.199339");
index_4("0.1347480, 0.1571806, 0.1962738, 0.2325037, 0.2683923");
values("5.06041, 4.79665, 4.62081, 4.79665, 5.06041");
}
}
}
}
pin (PAD) {
output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "inout";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
always_on : false;
function : "(OUT)";
is_pad : true;
three_state : "OE_N";
capacitance : 1.047768;
max_capacitance : 551.048000;
max_transition : 25.0;
internal_power () {
related_pin : "OUT";
when : "( !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("52.7381386, 53.0555966, 54.8498626, 64.3245296, 90.9074806, 148.8680906, 177.5881406", \
"52.7075733, 53.0297943, 54.8155183, 64.2989363, 90.9331933, 148.8460063, 177.4203963", \
"52.6859140, 53.0074100, 54.7934850, 64.2735650, 90.8600620, 148.7878320, 177.4040520", \
"52.7120783, 53.0037023, 54.8006953, 64.2727923, 90.8177043, 148.8675433, 177.5488833", \
"52.7052999, 53.0202779, 54.8287619, 64.3103259, 90.9253419, 148.8668509, 177.4448509", \
"52.7667182, 53.1127982, 54.7717182, 64.4803822, 90.9007342, 148.8584522, 177.5022822", \
"52.8709175, 53.1549605, 54.8125065, 64.3578185, 90.9715025, 148.8664135, 177.4932335");
}
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("69.3661933, 68.4083213, 68.8195893, 69.2073693, 69.0244673, 58.1345603, -126.3398657", \
"69.1243750, 69.4541730, 68.8497890, 69.7570010, 69.0078430, 59.4355350, -123.7242650", \
"68.9301846, 68.9525346, 68.8821946, 69.2836856, 68.6098916, 59.4667196, -127.5037444", \
"68.6866232, 69.2045002, 68.8357672, 68.1969242, 68.9922602, 59.2324562, -128.1988378", \
"68.9281231, 68.9377891, 68.9242231, 68.9689241, 68.9438191, 59.0273551, -127.3992119", \
"68.8878647, 68.8886207, 68.7245297, 69.0030497, 68.8135697, 58.7296917, -127.0243153", \
"68.8982463, 68.8753063, 68.9327673, 69.0183023, 68.9782933, 58.7276733, -127.2749087");
}
}
internal_power () {
related_pin : "OUT";
when : "( !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("70.7086063, 70.7753793, 71.0835283, 71.4258793, 71.2847983, 65.8906963, -10.8447117", \
"70.6907190, 70.7119800, 70.9918250, 71.3328030, 71.1575950, 64.4311290, -15.5288890", \
"70.5987386, 70.6399896, 70.8509806, 71.2080566, 71.0983946, 66.1209526, -15.4336164", \
"70.5364832, 70.5896212, 70.8345922, 71.3123002, 71.0687802, 64.8626052, -5.8463091", \
"70.5475431, 70.6184381, 70.8751141, 71.2998301, 71.6842241, 65.2114091, -11.5603119", \
"70.5445807, 70.5814337, 70.8305537, 71.3052437, 71.0313997, 65.5482307, -6.2553756", \
"70.5197963, 70.5735243, 70.8295553, 71.2642973, 71.1249093, 65.0237893, -1.4588408");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("39.4971396, 39.5262726, 39.7239926, 40.6856646, 43.6083446, 43.3635126, 43.5669186", \
"39.3486653, 39.3906243, 39.7689433, 40.5189653, 42.5199443, 43.8989213, 44.0961423", \
"39.4186960, 39.4516010, 39.7156080, 40.5836080, 42.2807400, 43.1672500, 43.5163010", \
"39.4708193, 39.4476223, 39.5891453, 40.4712653, 42.8849323, 41.3901833, 43.8648773", \
"39.4884149, 39.5395779, 39.6581289, 40.2724509, 43.2466689, 42.2588649, 43.3686649", \
"39.5047742, 39.5368492, 39.6452522, 40.5237092, 42.5452662, 43.4011852, 43.4314662", \
"39.5039955, 39.5428905, 39.7401355, 40.6343445, 42.5305465, 43.4898345, 43.4161365");
}
}
internal_power () {
related_pin : "OE_N";
when : "( DM[2] & !DM[1] & DM[0] & SLOW )";
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("19.7277112, 19.7610872, 19.9563142, 20.8991572, 22.8196842, 23.7699082, 23.9710632", \
"21.2644276, 21.2998096, 21.5200516, 22.5427486, 24.5672006, 25.5633956, 25.7704276", \
"21.2669430, 21.3058650, 21.5217150, 22.5432780, 24.5695530, 25.5639820, 25.7738970", \
"21.2616945, 21.3013555, 21.5155055, 22.5412975, 24.5728565, 25.5639595, 25.7789405", \
"21.2666130, 21.3013100, 21.5209000, 22.5429600, 24.5740820, 25.5679280, 25.7791960", \
"21.2707254, 21.3092484, 21.5272204, 22.5494924, 24.5776934, 25.5710524, 25.7827064", \
"21.2718868, 21.3050958, 21.5233158, 22.5465078, 24.5736608, 25.5707328, 25.7785928");
}
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("63.4800549, 63.5608939, 63.7906819, 64.2172089, 64.0673569, 59.6540879, -22.1620181", \
"63.4428306, 63.5710686, 63.7829966, 64.1306416, 64.2168926, 59.5121626, -17.5555814", \
"63.4639973, 63.5879263, 63.7935533, 64.2117123, 64.1393533, 62.1665213, -17.5123877", \
"63.5311027, 63.6563227, 63.8621437, 64.2243817, 64.1501707, 57.8457377, -14.9609933", \
"63.5080382, 63.5805842, 63.8270262, 64.2216552, 65.6781012, 58.4714632, -8.9829419", \
"63.5647076, 63.6083116, 63.8357346, 64.2488756, 65.2174826, 58.5053386, -14.5498294", \
"63.5643740, 63.6286290, 63.8335830, 64.2475500, 64.2788510, 58.6103510, -14.8818330");
}
}
internal_power () {
related_pin : "OE_N";
when : "( DM[2] & DM[1] & DM[0] & SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("14.5037652, 14.5035152, 14.5033672, 14.5027172, 14.5022782, 14.5024462, 14.5020612", \
"14.9102916, 14.9099506, 14.9074866, 14.9029526, 14.8993406, 14.8984266, 14.8980466", \
"14.9201800, 14.9196560, 14.9169930, 14.9091800, 14.9030930, 14.9014850, 14.9012190", \
"14.9223505, 14.9178785, 14.9198375, 14.9081745, 14.9023195, 14.9019905, 14.9007035", \
"14.9225320, 14.9221280, 14.9220150, 14.9097140, 14.9022910, 14.8997200, 14.9010530", \
"14.9268854, 14.9262844, 14.9112574, 14.9000734, 14.9071714, 14.8920624, 14.9048244", \
"14.9242148, 14.9226218, 14.9159448, 14.9109058, 14.9036648, 14.9024608, 14.9014528");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("26.2638789, 26.3688919, 26.4559909, 26.3273439, 24.6657579, 26.1687259, 25.6692749", \
"26.2277316, 26.3256156, 26.4788246, 26.7811906, 26.4154686, 26.2782676, 25.8831946", \
"26.4603513, 26.3300853, 26.1839643, 26.1148183, 27.1315973, 23.5525323, 26.2535453", \
"26.3562027, 26.3598757, 26.2908247, 26.2104617, 25.7435747, 27.5650627, 26.8392517", \
"26.2430572, 26.6327962, 26.5028422, 26.3878812, 29.0961172, 26.1315322, 25.6101242", \
"26.3840566, 26.4218776, 26.3327976, 26.3257686, 24.2551816, 26.3772986, 25.8928126", \
"26.4006090, 26.4469580, 26.3997660, 26.3926610, 26.4287710, 26.4253130, 26.2450910");
}
}
internal_power () {
related_pin : "OUT";
when : "( !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("88.0132756, 88.8017976, 95.6777416, 125.0155206, 152.4085206, 160.5060306, 165.4443006", \
"88.3399693, 89.1016243, 95.5700953, 124.9916963, 152.4286663, 160.4471963, 166.1760163", \
"87.9467880, 88.9679360, 95.5030280, 124.7611020, 152.3800520, 160.4074820, 165.8915820", \
"87.7016003, 88.8730443, 95.5171323, 125.0662833, 152.4164333, 160.3908433, 166.1350133", \
"88.0228449, 89.1021549, 95.5161699, 124.9891409, 152.4720309, 160.3848109, 166.1223509", \
"87.8480512, 89.0762152, 95.0161512, 124.9948822, 152.4832222, 160.4135622, 166.2577922", \
"88.0665345, 89.2448275, 95.3780195, 125.0373635, 152.5079135, 160.4220735, 166.2897835");
}
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("19.4185243, 19.4190133, 19.4248303, 19.4271353, 19.4061043, 19.3999003, 19.3988563", \
"20.6313530, 20.6341250, 20.6195530, 20.5742890, 20.5504470, 20.4909340, 20.4650860", \
"20.6663746, 20.6563526, 20.6506876, 20.5985586, 20.5462846, 20.5024376, 20.5125066", \
"20.7206392, 20.7254812, 20.6966482, 20.6543362, 20.6119132, 20.5701952, 20.5490112", \
"20.7690771, 20.7492751, 20.7319751, 20.6874291, 20.6153061, 20.5873421, 20.5734041", \
"20.7669387, 20.7091867, 20.6810057, 20.6506547, 20.6022247, 20.5533877, 20.5395517", \
"20.6979393, 20.6780833, 20.6796573, 20.6288623, 20.5640953, 20.5310143, 20.5165753");
}
}
internal_power () {
related_pin : "OE_N";
when : "( !DM[2] & DM[1] & DM[0] & !SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("14.4923172, 14.4922562, 14.4918902, 14.4911722, 14.4907042, 14.4906602, 14.4905752", \
"14.9095786, 14.9095446, 14.9075786, 14.9023036, 14.8986366, 14.8976386, 14.8972586", \
"14.9188770, 14.9189520, 14.9161270, 14.9079430, 14.9025450, 14.9008650, 14.9000900", \
"14.9228725, 14.9205325, 14.9188255, 14.9097115, 14.9030185, 14.9012655, 14.9015045", \
"14.9256890, 14.9207490, 14.9182540, 14.9132650, 14.9019130, 14.9005160, 14.9039740", \
"14.9145204, 14.9133174, 14.9225174, 14.9014334, 14.9070224, 14.9046634, 14.8922544", \
"14.9195338, 14.9230968, 14.9196558, 14.9069868, 14.9035848, 14.9019698, 14.8974288");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("26.1175729, 26.4841929, 26.4421059, 26.3071869, 23.8441649, 26.5711419, 27.4960239", \
"26.3661156, 26.3558866, 26.3512826, 26.3231036, 26.2327936, 26.4633926, 25.4065386", \
"26.3373553, 26.4523623, 26.3335843, 26.4434163, 25.6940833, 26.3880753, 24.3657083", \
"26.3586387, 26.3290907, 26.3794867, 26.5917157, 26.0108417, 28.3572967, 26.8990707", \
"26.2272992, 26.2389232, 26.8571492, 25.9991572, 27.4988752, 26.5160852, 26.0093642", \
"26.2994236, 26.3837876, 26.2075666, 26.4831916, 25.9860286, 26.2370006, 26.2908416", \
"26.4338430, 26.4048610, 26.3995960, 26.3527600, 26.4376390, 26.4317320, 26.2664650");
}
}
internal_power () {
related_pin : "OE_N";
when : "( !DM[2] & DM[1] & !DM[0] & !SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("59.2946809, 59.2130449, 59.1663809, 58.4866749, 56.7596429, 43.9988329, -139.4478041", \
"59.3343886, 59.3257286, 59.1458376, 58.8179056, 56.7777736, 44.6405726, -143.9135794", \
"59.3036933, 59.2673473, 59.1714453, 58.7543623, 56.8890173, 44.5658473, -142.5154447", \
"59.2616707, 59.2908837, 59.1746987, 58.5453207, 56.9916777, 43.9567677, -144.2422933", \
"59.2160892, 59.5860572, 59.8101352, 58.2957632, 57.4487322, 45.3005332, -139.1813428", \
"59.3321556, 59.3154266, 59.2596936, 58.8855416, 56.9174206, 44.6980596, -140.8603414", \
"59.4022870, 59.3605080, 59.2847210, 58.9557280, 57.0818970, 44.5038050, -140.5751400");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("14.3323052, 14.3325062, 14.3343162, 14.3387252, 14.3416952, 14.3424872, 14.3430312", \
"14.3946306, 14.3955796, 14.3999076, 14.4107206, 14.4198256, 14.4224076, 14.4228356", \
"14.3910360, 14.3920350, 14.3966780, 14.4107110, 14.4218320, 14.4250480, 14.4251630", \
"14.3834395, 14.3858555, 14.3960855, 14.4052085, 14.4154105, 14.4194915, 14.4198825", \
"14.3891290, 14.3894380, 14.3971430, 14.4101750, 14.4226930, 14.4258610, 14.4264980", \
"14.3939084, 14.3931214, 14.3999704, 14.4130784, 14.4256554, 14.4291104, 14.4296854", \
"14.3927278, 14.3952268, 14.3977418, 14.4129658, 14.4270898, 14.4300138, 14.4313498");
}
}
internal_power () {
related_pin : "OUT";
when : "( !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("48.7223126, 49.0135346, 50.5552146, 59.1460056, 102.8293306, 160.4292306, 172.7964806", \
"48.7205293, 49.0890993, 50.5369243, 59.0546733, 103.3134063, 160.3858763, 172.7400563", \
"48.7762770, 48.9596100, 50.5894620, 59.0149240, 103.2641020, 160.3788020, 172.6980220", \
"48.7143453, 48.9799453, 50.6000693, 59.0402693, 103.3219733, 160.3258933, 172.6589633", \
"48.7309069, 49.0042229, 50.6127899, 59.1237319, 103.3537409, 160.2855209, 172.6606709", \
"48.7996582, 49.0672332, 50.5482152, 59.1377672, 102.9577122, 160.3636422, 172.8015022", \
"48.7759675, 49.0170975, 50.5799545, 59.1394375, 103.2756435, 160.3852535, 172.7333235");
}
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("20.0666933, 20.0729193, 20.0725633, 20.0702023, 20.0626343, 20.0398503, 20.0428113", \
"21.2849130, 21.2607260, 21.2353030, 21.2085120, 21.1529940, 21.1092200, 21.1057890", \
"21.2832266, 21.2777756, 21.2646096, 21.2189446, 21.1739166, 21.1303606, 21.0983836", \
"21.3455642, 21.3364332, 21.3346762, 21.2693962, 21.1995722, 21.1472532, 21.1572502", \
"21.3337291, 21.3624101, 21.3440561, 21.3152151, 21.2628611, 21.2168491, 21.2190091", \
"21.2816797, 21.3194777, 21.3143397, 21.2621187, 21.1954247, 21.1758527, 21.1534767", \
"21.2975883, 21.2938413, 21.2625923, 21.2236713, 21.1646443, 21.1463233, 21.1164033");
}
}
internal_power () {
related_pin : "OUT";
when : "( !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("66.5883393, 66.5106173, 67.4974043, 65.6250123, 60.5238573, 52.8494163, -133.2736257", \
"66.2469130, 66.3065250, 66.4340750, 65.9691350, 65.8069730, 51.6722860, -137.5963950", \
"66.2514176, 66.3939556, 66.3761366, 65.9017806, 64.0014526, 51.8213076, -134.3021544", \
"65.8641862, 66.5808492, 65.7880592, 66.1789062, 63.6777892, 52.3497642, -138.4789878", \
"66.2761961, 66.2754261, 66.2445411, 65.7813211, 63.9380041, 51.7485771, -134.0261019", \
"66.2700067, 66.2887557, 66.1899877, 65.8207067, 63.9803317, 51.4773987, -133.4838353", \
"66.2850173, 66.2547703, 66.1994183, 65.7926813, 64.0114603, 51.5688403, -134.0037187");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("32.0885696, 32.3835796, 32.1946136, 32.2256366, 33.8536226, 21.1531086, 31.4452956", \
"32.0613343, 32.5135283, 32.0598253, 32.2745223, 35.1325443, 32.2083313, 32.6143893", \
"32.1914780, 32.1139460, 32.2085990, 31.7051580, 31.9569570, 31.9428850, 32.0675840", \
"32.1334833, 32.0629533, 31.9779453, 32.4554103, 31.9541583, 32.2673003, 31.5423563", \
"32.1926669, 32.2008859, 32.1879349, 31.7421799, 32.0924659, 32.1804239, 31.8917929", \
"32.2162122, 32.2140962, 32.2098802, 32.1588902, 32.2540602, 32.2474542, 32.0744162", \
"32.2434725, 32.2439345, 32.2550435, 32.2535935, 32.2368425, 32.2621485, 32.0429045");
}
}
internal_power () {
related_pin : "OUT";
when : "( !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("66.7100263, 66.3849533, 66.3267503, 67.0103283, 64.1036533, 52.9163553, -122.6314757", \
"66.2743490, 66.3340030, 66.3691340, 66.1751230, 65.5419000, 51.6967490, -136.0819450", \
"66.3470356, 66.3871276, 66.2548726, 65.8408216, 63.9966656, 52.0251196, -136.1497544", \
"65.8176742, 66.0611672, 66.4444932, 65.2369932, 63.7604662, 39.4106522, -131.7947278", \
"66.3004261, 66.2923361, 66.2064251, 65.8675781, 64.1187271, 51.6156971, -134.4451419", \
"66.2740107, 66.2457347, 66.1757077, 65.8262647, 63.7553937, 51.5866967, -135.0988553", \
"66.2706323, 66.2141083, 66.1941453, 65.8615153, 63.9808253, 51.6944723, -134.9808787");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("32.0939926, 32.3718706, 32.1299076, 32.2263066, 33.8604616, 32.3305876, 32.7402526", \
"32.1955923, 32.2831783, 32.0419853, 32.3862343, 35.0963623, 24.7370353, 32.1133593", \
"32.1929520, 32.1128880, 32.2056680, 31.6132600, 31.9362790, 32.1076630, 31.9379270", \
"32.0962043, 31.9571803, 31.9742713, 31.4736643, 31.9234993, 31.9190443, 32.7383883", \
"32.1932669, 32.2155169, 32.1901479, 31.6542709, 31.9538169, 32.1806909, 32.2278989", \
"32.2196922, 32.2158722, 32.2043152, 32.0415572, 32.2538942, 32.2486482, 32.0667622", \
"32.2340675, 32.2343245, 32.2216985, 32.2590165, 32.2365515, 32.2487885, 32.0423625");
}
}
internal_power () {
related_pin : "OUT";
when : "( !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("70.2088873, 70.3299363, 70.7271193, 71.0075543, 71.5182223, 65.2053003, -0.7081994", \
"70.1925970, 70.1808980, 70.4874160, 71.0364290, 71.1898890, 65.4246260, -12.1796820", \
"70.1513596, 70.1495356, 70.3973436, 70.7834936, 70.7461136, 65.1233746, -7.9675142", \
"70.0844632, 70.1439642, 70.4158302, 70.9404522, 70.9657232, 66.7030502, -8.3182571", \
"70.1000401, 70.1573201, 70.4257581, 70.8567121, 70.8724291, 65.0576841, -9.9976421", \
"70.0725907, 70.1259087, 70.3796157, 70.7904957, 70.6567137, 65.1979277, -6.1777424", \
"70.0799393, 70.1121433, 70.3654333, 70.8282503, 70.7983373, 64.7013323, -11.4646807");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("24.0309026, 24.0683046, 24.2860436, 25.3062656, 27.3267916, 28.3125566, 28.5198326", \
"25.8697753, 25.8997563, 26.1262353, 27.1501853, 29.1689193, 30.1633873, 30.3664543", \
"25.8688600, 25.9076240, 26.1242750, 27.1493950, 29.1782110, 30.1662020, 30.3746810", \
"25.8710813, 25.9199853, 26.1283273, 27.1538313, 29.1919683, 30.1734123, 30.3913213", \
"25.9021629, 25.9413139, 26.1575799, 27.1809089, 29.2082349, 30.1999759, 30.4061209", \
"25.9266352, 25.9639352, 26.1820722, 27.2056562, 29.2308952, 30.2215302, 30.4297562", \
"25.9337405, 25.9815495, 26.1900845, 27.2128515, 29.2494095, 30.2299555, 30.4493425");
}
}
internal_power () {
related_pin : "OE_N";
when : "( DM[2] & !DM[1] & DM[0] & !SLOW )";
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("19.7699102, 19.8028502, 19.9971392, 20.9387702, 22.8578352, 23.8073522, 24.0075152", \
"21.3140826, 21.3479256, 21.5698836, 22.5883996, 24.6156446, 25.6094176, 25.8174716", \
"21.3155880, 21.3549700, 21.5712070, 22.5898470, 24.6172110, 25.6124150, 25.8216970", \
"21.3095785, 21.3465405, 21.5650595, 22.5862655, 24.6154755, 25.6106345, 25.8248505", \
"21.3136640, 21.3548240, 21.5660470, 22.5892550, 24.6198650, 25.6140850, 25.8290920", \
"21.3188574, 21.3565784, 21.5738594, 22.5926124, 24.6215864, 25.6174034, 25.8288714", \
"21.3204348, 21.3543678, 21.5736138, 22.5949928, 24.6221338, 25.6175198, 25.8264638");
}
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("85.3794129, 85.4259309, 85.5354689, 85.3033629, 86.2116289, 79.5553559, 1.5492866", \
"85.3829056, 85.3982126, 85.5325776, 85.3107346, 86.2909436, 78.7651996, 0.1806388", \
"85.3848173, 85.4018033, 85.5438913, 85.3479503, 86.2990163, 79.1981893, -0.6417952", \
"85.4252977, 85.4123637, 85.5499617, 85.3430027, 86.3193257, 79.7536337, 1.2385109", \
"85.4660222, 85.4613872, 85.5800982, 85.3612932, 86.3705142, 79.1287792, 5.3207847", \
"85.4528516, 85.4692906, 85.5920326, 85.3926776, 86.3349796, 78.8445686, 1.2810157", \
"85.4653210, 85.4930490, 85.6015940, 85.3514530, 86.4316450, 79.7391030, 13.2154400");
}
}
internal_power () {
related_pin : "OUT";
when : "( !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("95.2699933, 95.3728493, 95.5571593, 95.7341713, 98.1965553, 93.0520593, 9.2858181", \
"95.2082600, 95.2578450, 95.4442100, 95.6809720, 98.1989720, 93.4943600, 27.4563030", \
"95.1130806, 95.1340146, 95.2978766, 95.5675636, 98.0437016, 94.7521816, 9.8681156", \
"95.0900782, 95.1181882, 95.3395392, 95.5810472, 98.3226252, 94.7281942, 17.1228782", \
"95.0994591, 95.1243351, 95.3476841, 95.5754251, 98.3542171, 93.4707691, 16.3039141", \
"94.9758367, 95.1274097, 95.3449307, 95.5167717, 98.2505777, 93.6533527, 11.6569497", \
"95.0568213, 95.1182863, 95.3040543, 95.5079533, 98.2135463, 94.6904403, 16.4806873");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("60.4134016, 60.7324516, 62.5266766, 73.2234876, 101.4822606, 160.0945406, 188.9238106", \
"60.2114973, 60.6600343, 62.5841343, 73.0484093, 101.4640163, 160.0699163, 188.9034463", \
"60.3535060, 60.6664990, 62.5042920, 73.1679010, 101.4294920, 160.0340120, 188.8093420", \
"60.3606923, 60.6821853, 62.4497163, 73.0174013, 101.4444933, 160.0490433, 188.6590533", \
"60.3853339, 60.6938579, 62.5959479, 73.0929679, 101.4005509, 160.0888709, 188.9422509", \
"60.4049272, 60.6715962, 62.6068392, 73.2089422, 101.5632922, 160.1198122, 188.9325922", \
"60.4111775, 60.6926185, 62.5792345, 73.1728435, 101.6115735, 160.1401835, 188.9081235");
}
}
internal_power () {
related_pin : "OE_N";
when : "( DM[2] & !DM[1] & !DM[0] & !SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("14.8822922, 14.8390072, 14.8531802, 14.8854702, 14.8848122, 14.8687902, 14.8719012", \
"16.4677426, 16.5106766, 16.4824616, 16.4496836, 16.4288536, 16.3818646, 16.3375756", \
"16.6826280, 16.6805820, 16.6592400, 16.6186650, 16.5682210, 16.5232620, 16.5193400", \
"16.6685215, 16.6833325, 16.6715835, 16.6073115, 16.5689785, 16.5248415, 16.5200605", \
"16.6809220, 16.6806760, 16.6653100, 16.6168240, 16.5641580, 16.5347390, 16.5170760", \
"16.6855064, 16.6842274, 16.6679354, 16.6165334, 16.5685544, 16.5351074, 16.5217864", \
"16.6675078, 16.6763298, 16.6604128, 16.5965918, 16.5636318, 16.5272408, 16.5198168");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("44.3338499, 44.6321389, 46.2387259, 54.6520059, 98.9608399, 155.9790159, 168.2017659", \
"44.3518076, 44.6103156, 46.2415106, 54.6692176, 98.9518156, 155.9462106, 168.2438206", \
"44.3594493, 44.5915553, 46.2582463, 54.6879203, 98.7925503, 155.9976353, 168.3675253", \
"44.3794997, 44.6609787, 46.2792087, 54.6981377, 98.9837437, 156.0643767, 168.2692267", \
"44.3649172, 44.7123472, 46.2986512, 54.7588422, 98.9360462, 156.0044372, 168.3352872", \
"44.3731536, 44.6714256, 46.2524456, 54.7252406, 98.4167806, 156.0881686, 168.3879786", \
"44.5017310, 44.7528840, 46.1803640, 54.7487460, 98.4667470, 156.1122100, 168.4282100");
}
}
internal_power () {
related_pin : "OE_N";
when : "( !DM[2] & DM[1] & !DM[0] & SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("15.8807312, 15.8607472, 15.8800332, 15.8761032, 15.8642562, 15.8278502, 15.8372622", \
"16.5708666, 16.5701416, 16.5509366, 16.5078226, 16.4573596, 16.4165786, 16.4063386", \
"16.5573890, 16.5674180, 16.4374260, 16.5180440, 16.4667500, 16.4188350, 16.4092750", \
"16.5659875, 16.5710095, 16.5410245, 16.5026975, 16.4517595, 16.4115085, 16.3955295", \
"16.5702760, 16.5626400, 16.5508040, 16.5046730, 16.4516400, 16.4144510, 16.4026050", \
"16.5580044, 16.5533424, 16.5244544, 16.4905804, 16.4386794, 16.4030134, 16.3838514", \
"16.5700848, 16.5671348, 16.5410508, 16.5045128, 16.4502508, 16.4016058, 16.3958588");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("29.3737469, 29.5068559, 30.1052869, 31.6936799, 35.7218739, 48.6425179, 71.4089769", \
"29.4855046, 29.5144016, 30.1060086, 31.6666346, 35.7429366, 48.5371256, 71.4054896", \
"29.4933833, 29.5298953, 30.0985553, 31.6787843, 35.6811863, 48.6465653, 71.4810633", \
"29.4991007, 29.5842477, 30.1329057, 31.7178737, 35.7622157, 48.6891487, 71.4107447", \
"29.5396512, 29.6157052, 30.1085362, 31.7348262, 35.7658962, 48.7264142, 71.4199112", \
"29.5397236, 29.6207876, 30.1162276, 31.7428706, 35.8181186, 48.7471616, 71.4305266", \
"29.5619170, 29.6514740, 30.1136740, 31.7762290, 35.8090730, 48.6325170, 71.4777800");
}
}
internal_power () {
related_pin : "OE_N";
when : "( DM[2] & !DM[1] & !DM[0] & SLOW )";
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("83.3671129, 84.5276839, 91.1403949, 120.7061759, 148.0712159, 156.0561859, 161.7194259", \
"83.3805426, 84.3986166, 91.1323696, 120.7057106, 148.0821306, 156.0656606, 161.7750606", \
"83.6603283, 84.5292993, 91.1221443, 120.4334653, 148.1337153, 156.0589853, 161.8329453", \
"83.3364267, 84.5211257, 91.1144627, 120.7507467, 148.0737167, 156.1081867, 161.9225067", \
"83.5310842, 84.6839262, 91.2075892, 120.7644572, 148.1011172, 156.0180972, 161.9781172", \
"83.6059546, 84.7798696, 91.3438206, 120.6754686, 148.1246186, 156.1186686, 161.8401786", \
"83.7915210, 84.8269630, 91.0854640, 120.6880600, 148.1658300, 156.1800600, 161.9711600");
}
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("14.2947722, 14.2936052, 14.2971682, 14.2926752, 14.2923372, 14.2887682, 14.2905482", \
"15.8570416, 15.8639636, 15.8665966, 15.8223866, 15.7915646, 15.7458826, 15.7391146", \
"16.0712880, 16.0688340, 16.0515890, 16.0097650, 15.9525570, 15.9171960, 15.9049080", \
"16.0752445, 16.0782595, 16.0620465, 16.0166615, 15.9567105, 15.9215205, 15.9134385", \
"16.0823260, 16.0787440, 16.0505050, 16.0171130, 15.9624240, 15.9155860, 15.9168670", \
"16.0843324, 16.0964564, 16.0705954, 16.0093244, 15.9715824, 15.9288144, 15.9207744", \
"16.0816968, 16.0723428, 16.0644588, 16.0102498, 15.9576208, 15.9298338, 15.9102828");
}
}
internal_power () {
related_pin : "OE_N";
when : "( !DM[2] & DM[1] & DM[0] & SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("14.4924852, 14.4924302, 14.4921352, 14.4914392, 14.4909852, 14.4907912, 14.4907212", \
"14.9094766, 14.9095076, 14.9075616, 14.9024926, 14.8983956, 14.8974996, 14.8974136", \
"14.9188840, 14.9189600, 14.9160840, 14.9078940, 14.9019340, 14.9009140, 14.9006160", \
"14.9248085, 14.9229305, 14.9174435, 14.9115535, 14.9030715, 14.9010725, 14.9003425", \
"14.9252760, 14.9207120, 14.9176190, 14.9132060, 14.9049900, 14.8997030, 14.9039690", \
"14.9144294, 14.9129394, 14.9097704, 14.9013954, 14.8939024, 14.9047374, 14.8922754", \
"14.9196368, 14.9227398, 14.9199328, 14.9069588, 14.8995278, 14.9017338, 14.8974838");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("26.3188019, 26.3768239, 26.4241159, 26.7210009, 26.8533029, 26.5361629, 23.6061419", \
"26.3170316, 26.3277306, 26.4010846, 26.5423396, 26.1279556, 26.3814506, 25.3444216", \
"26.2018423, 26.3275453, 26.2857213, 26.4589233, 26.2714893, 20.0619573, 17.2136173", \
"26.3701897, 26.3389407, 26.3516337, 26.1862437, 26.4699887, 26.3655377, 25.4504237", \
"26.3244402, 26.3377562, 26.0045812, 26.8501482, 28.4970932, 26.4951232, 25.9627032", \
"26.3881216, 26.3827036, 26.4050156, 26.2837446, 26.0303836, 26.3350336, 26.3204516", \
"26.4279870, 26.4153090, 26.4153370, 26.3496810, 26.4260960, 26.4230010, 26.2903310");
}
}
internal_power () {
related_pin : "OUT";
when : "( !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("92.1333223, 92.1592733, 92.2930483, 92.1266053, 92.7396563, 87.1692653, 7.2161568", \
"92.0415980, 92.0644270, 92.1878150, 92.0191720, 92.6499590, 86.4891370, 7.5020060", \
"91.9457946, 91.9354346, 92.1111486, 91.9050076, 92.5497906, 85.4155596, 12.6017556", \
"91.9270252, 91.9752642, 92.1063122, 91.9097402, 92.5348652, 87.0265082, 9.0387546", \
"91.9455511, 91.9970731, 92.1260111, 91.9170601, 92.4703811, 87.0064191, 7.5656742", \
"91.9063687, 91.9272747, 92.0646237, 91.8528837, 92.4718877, 85.3078067, 6.9737752", \
"91.9058763, 91.9370463, 92.0817913, 91.8380903, 92.7678743, 85.3078003, 8.2852235");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("24.0833206, 24.1205436, 24.3383076, 25.3573986, 27.3772216, 28.3611206, 28.5686696", \
"25.9152143, 25.9529453, 26.1712563, 27.1950763, 29.2214573, 30.2119113, 30.4183403", \
"25.9221420, 25.9573270, 26.1830560, 27.1950280, 29.2167040, 30.2067460, 30.4151520", \
"25.9376533, 25.9702493, 26.2009063, 27.2171523, 29.2446453, 30.2347043, 30.4414723", \
"25.9466629, 25.9867439, 26.2021139, 27.2271859, 29.2536609, 30.2404859, 30.4475179", \
"25.9718352, 26.0098352, 26.2268662, 27.2503082, 29.2770112, 30.2693212, 30.4780812", \
"25.9770445, 26.0269575, 26.2321895, 27.2542225, 29.2807695, 30.2728995, 30.4961935");
}
}
internal_power () {
related_pin : "OE_N";
when : "( DM[2] & DM[1] & !DM[0] & !SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("85.3770259, 85.3817369, 85.5728409, 85.3104189, 86.2750709, 80.3715779, 2.9014952", \
"85.3734826, 85.3752036, 85.5183186, 85.3147866, 86.2321916, 80.5216136, 3.0825871", \
"85.3877663, 85.4306273, 85.5432593, 85.2947983, 86.2967403, 79.0453463, 2.8537996", \
"85.4613597, 85.4004287, 85.5879877, 85.3681557, 86.2846657, 80.4311257, -0.5167946", \
"85.4654672, 85.4877762, 85.5830402, 85.3404632, 86.2953452, 78.8509802, 0.0249191", \
"85.4418886, 85.5121236, 85.6015876, 85.3758926, 86.4106416, 80.3969586, 0.2672022", \
"85.4668750, 85.4895310, 85.6154780, 85.3586210, 86.4422480, 79.0680490, 6.6920802");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("19.7698872, 19.8005812, 19.9974922, 20.9400812, 22.8569802, 23.8069772, 24.0079642", \
"21.3138586, 21.3503646, 21.5697396, 22.5881936, 24.6154726, 25.6076806, 25.8192866", \
"21.3160600, 21.3520820, 21.5703650, 22.5893230, 24.6173200, 25.6127480, 25.8223670", \
"21.3094205, 21.3464465, 21.5648825, 22.5860265, 24.6158935, 25.6162495, 25.8234325", \
"21.3111580, 21.3545810, 21.5688850, 22.5889500, 24.6202710, 25.6189980, 25.8269530", \
"21.3187374, 21.3564974, 21.5736814, 22.5924964, 24.6215534, 25.6186574, 25.8275924", \
"21.3185698, 21.3540658, 21.5731098, 22.5943188, 24.6245308, 25.6163438, 25.8296468");
}
}
internal_power () {
related_pin : "OE_N";
when : "( DM[2] & DM[1] & DM[0] & !SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("14.5036642, 14.5034382, 14.5032792, 14.5030122, 14.5023912, 14.5019642, 14.5019472", \
"14.9103456, 14.9100646, 14.9080336, 14.9032906, 14.8993786, 14.8977826, 14.8980376", \
"14.9201540, 14.9196260, 14.9167590, 14.9090650, 14.9030320, 14.9016950, 14.9013890", \
"14.9240615, 14.9200675, 14.9176095, 14.9115975, 14.9043875, 14.9001865, 14.9017095", \
"14.9261990, 14.9219040, 14.9184140, 14.9043760, 14.9028930, 14.9038470, 14.9043160", \
"14.9154564, 14.9266304, 14.9228724, 14.9006424, 14.8925754, 14.8929434, 14.8925984", \
"14.9200078, 14.9230468, 14.9199638, 14.9118768, 14.9045458, 14.8982788, 14.8977878");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("26.2562849, 26.3500929, 26.3946239, 26.3158169, 25.5839629, 26.1699059, 25.6957569", \
"26.2546426, 26.2667356, 26.2258466, 26.2035726, 25.7995556, 26.2274246, 26.4421546", \
"26.3353853, 26.1568173, 26.3408733, 26.1295343, 26.3269753, 21.9266283, 26.1576903", \
"26.3694507, 26.2634727, 26.6693937, 26.4064597, 26.3709687, 26.5720007, 27.0363917", \
"26.9268802, 26.4604442, 26.6015832, 26.4475502, 24.9460112, 26.2260792, 26.9775372", \
"26.3631256, 26.3848236, 26.4270386, 26.3872076, 25.1001016, 26.4004036, 26.2899446", \
"26.3852660, 26.3644680, 26.4093370, 26.3645960, 26.4350630, 26.4482670, 26.2185330");
}
}
internal_power () {
related_pin : "OE_N";
when : "( DM[2] & DM[1] & !DM[0] & SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("15.8835862, 15.8906152, 15.8908772, 15.8681382, 15.8772342, 15.8556742, 15.8396822", \
"16.5708096, 16.5680426, 16.5530456, 16.4847456, 16.4548986, 16.4162536, 16.4044236", \
"16.5711320, 16.5461880, 16.5555330, 16.5010260, 16.4421290, 16.4114940, 16.3983900", \
"16.5757035, 16.5607245, 16.5599265, 16.5080755, 16.4551005, 16.4072685, 16.3869305", \
"16.5711750, 16.5658740, 16.5484990, 16.5028080, 16.4605320, 16.4133250, 16.3981850", \
"16.5583684, 16.5595324, 16.5396854, 16.4938274, 16.4411424, 16.4049354, 16.3854544", \
"16.5676268, 16.5678098, 16.5498618, 16.5019958, 16.4484138, 16.4098798, 16.3959038");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("29.4180209, 29.4962399, 30.1232969, 31.6471719, 35.7233589, 48.6748529, 71.3263349", \
"29.4151026, 29.5151126, 30.0757056, 31.6623536, 35.7394046, 48.6155696, 71.3946786", \
"29.4563883, 29.5309533, 30.0472173, 31.6767653, 35.7387973, 48.6285213, 71.4759703", \
"29.4814647, 29.5785887, 30.0748137, 31.7148487, 35.7644077, 48.6567657, 71.4022647", \
"29.5612592, 29.6334582, 30.0332462, 31.7390272, 35.8695532, 48.7209192, 71.4571662", \
"29.5455276, 29.6206696, 30.0996786, 31.7578386, 35.7831186, 48.7461206, 71.4343506", \
"29.5554780, 29.6490630, 30.1124390, 31.7939270, 35.8265660, 48.7254630, 71.4503510");
}
}
internal_power () {
related_pin : "OUT";
when : "( !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("92.4973293, 92.4869423, 92.6419013, 92.4396463, 93.1385593, 86.0137243, 4.4429303", \
"92.4059800, 92.4673550, 92.5401440, 92.3833390, 93.0528240, 86.3476110, 7.1924411", \
"92.3395276, 92.3348446, 92.4408286, 92.2874446, 93.1272386, 87.4024356, 6.4549981", \
"92.3054382, 92.3344562, 92.4697992, 92.2428702, 92.9044102, 85.7462262, 5.8247938", \
"92.3096211, 92.2983251, 92.4549981, 92.2279091, 92.8433261, 87.4344171, 6.2247785", \
"92.2631597, 92.3043767, 92.4237117, 92.2284927, 92.8063077, 85.6866007, 6.8568991", \
"92.2755803, 92.3215993, 92.4722433, 92.2022023, 93.1347963, 85.7048163, 16.1900153");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("39.5764656, 39.5966646, 39.7596516, 40.7119526, 43.1837256, 43.4223646, 43.3944426", \
"39.5204483, 39.5539353, 39.7238893, 40.6625343, 42.5584383, 44.7125103, 43.6818583", \
"39.2190120, 39.6531300, 39.8963420, 40.4003110, 42.4946350, 43.3641170, 43.5105830", \
"39.5906543, 39.4451213, 39.9938173, 40.5236123, 39.7313953, 45.4139713, 43.0955793", \
"39.5638439, 39.5378369, 39.7609469, 40.5363159, 42.6520399, 43.3922569, 43.3398479", \
"39.5037822, 39.5820982, 39.7426462, 40.5794112, 42.5670642, 43.4308902, 43.5257802", \
"39.5462975, 39.5791255, 39.7679955, 40.6895535, 42.5229165, 43.5336885, 43.4610105");
}
}
internal_power () {
related_pin : "OUT";
when : "( !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("35.3883226, 35.4732996, 35.9406376, 37.5738246, 41.6281396, 54.5498596, 77.1998076", \
"35.3368393, 35.4306463, 35.9423103, 37.5262443, 41.6040483, 54.4633773, 77.1137063", \
"35.3215750, 35.4126410, 35.8757150, 37.5248950, 41.5669990, 54.6093200, 77.1993220", \
"35.3232063, 35.4073373, 35.8855273, 37.5306313, 41.5671393, 54.5045853, 77.2145853", \
"35.3564389, 35.4376549, 35.8966039, 37.5458469, 41.6271549, 54.5680049, 77.2609809", \
"35.3706162, 35.4615992, 35.9069682, 37.5679522, 41.6136432, 54.4805902, 77.2697012", \
"35.3727755, 35.4608265, 35.9233175, 37.5670755, 41.6446295, 54.4922075, 77.2853805");
}
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("69.0733723, 69.0071683, 69.1500403, 68.9387023, 71.0970313, 59.3667413, -125.5936357", \
"69.0253150, 69.4006190, 69.1573480, 68.6521270, 70.9837000, 61.8718570, -131.3088550", \
"68.8665196, 68.3554976, 69.1278936, 69.1860276, 68.8314226, 56.1309436, -99.3967304", \
"68.5084182, 69.1000072, 69.5572322, 69.5822332, 68.8920282, 58.5416822, -124.4615578", \
"68.8335951, 68.8441831, 68.8387921, 68.9202341, 69.0064081, 58.8218531, -126.8062719", \
"68.8034387, 68.8024677, 68.8946927, 68.9058297, 68.9437917, 58.8330257, -126.4935353", \
"68.8110243, 68.7769333, 68.7997063, 68.9066003, 68.8323543, 58.5038803, -125.6113487");
}
}
internal_power () {
related_pin : "OUT";
when : "( !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("42.7148816, 42.8386786, 43.4967906, 46.0944706, 52.0012066, 65.7646006, 88.6624226", \
"42.6936143, 42.7786403, 43.4942173, 46.0631553, 51.9588243, 65.5567223, 88.5275973", \
"42.6558430, 42.7771630, 43.4746950, 46.0508250, 51.9711280, 65.8517950, 88.5106560", \
"42.6631133, 42.8081293, 43.4502263, 46.0419763, 51.9534873, 65.7726893, 88.5924563", \
"42.6892259, 42.8031099, 43.4683939, 46.0609899, 51.9631859, 65.7167919, 88.6361389", \
"42.7187902, 42.8311792, 43.5065112, 46.0916612, 51.9817832, 65.6156552, 88.6140082", \
"42.7167515, 42.8558905, 43.5101655, 46.1080565, 51.9984865, 65.7113265, 88.6727365");
}
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("73.3441503, 73.3938953, 73.7472583, 74.7741043, 77.3167503, 73.9050353, -0.4514574", \
"73.2370090, 73.3499950, 73.6305060, 74.5551070, 76.3815000, 75.1719950, -10.2834711", \
"73.1528826, 73.1792296, 73.5608406, 74.5970396, 77.8738426, 76.0596896, -10.2287939", \
"73.1759902, 73.1350852, 73.6743272, 74.3911712, 77.2402252, 72.2786872, 1.6187098", \
"73.1464091, 73.2105171, 73.5812831, 74.4591081, 76.0062461, 73.0990371, -3.8915694", \
"73.1032427, 73.1737277, 73.5262727, 74.3840787, 76.4353137, 74.0076097, 5.1470975", \
"73.1206533, 73.1818123, 73.5105353, 74.3816093, 77.3664023, 74.7326763, 1.8606246");
}
}
internal_power () {
related_pin : "OE_N";
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("56.0923819, 56.3982483, 57.8400492, 64.4127294, 78.3889912, 100.0822147, 111.9612854", \
"57.0828765, 57.3065841, 58.7804228, 65.4461344, 79.8383552, 101.1659088, 113.1482745", \
"57.1388810, 57.3286265, 58.7479617, 65.3533625, 79.9119709, 99.8419427, 112.2555956", \
"57.1191650, 57.3575732, 58.8090144, 65.4208103, 79.8585687, 101.5621958, 113.4903530", \
"57.1823082, 57.4185060, 58.8435576, 65.4686296, 80.4052188, 101.2144375, 113.3768343", \
"57.1785072, 57.4342867, 58.8096961, 65.4724920, 79.5320332, 101.2394753, 113.3668753", \
"57.2246663, 57.4590229, 58.7988315, 65.4745451, 79.9678610, 101.2762204, 113.4204233");
}
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("83.4301119, 83.3942193, 83.6539343, 83.5613501, 82.3832559, 74.6634034, -45.2998591", \
"84.2847994, 84.2461309, 84.2408226, 83.9883821, 84.0175901, 75.4578827, -46.3907766", \
"84.2605567, 84.2464864, 84.3389303, 84.2538415, 84.6212700, 75.0258309, -44.6272807", \
"84.3280659, 84.3330889, 84.3727248, 84.1978154, 83.7432868, 74.6404983, -46.2543844", \
"84.3660579, 84.4443810, 84.4883832, 84.4174646, 84.2213488, 76.4945309, -44.0403977", \
"84.3220728, 84.3438893, 84.4092335, 84.2811354, 83.9927854, 75.0784568, -45.0559125", \
"84.3547013, 84.3653246, 84.4230577, 84.2929957, 83.9372696, 74.9743381, -43.5319814");
}
}
internal_power () {
related_pin : "OUT";
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("86.5601205, 86.9970990, 89.2465734, 100.3151772, 125.0778510, 157.8592672, 179.8083957", \
"87.1535088, 87.6139678, 89.8058891, 100.8617721, 125.8999701, 159.2835319, 180.6952938", \
"87.0921738, 87.4979625, 89.8457042, 100.5733287, 124.7558935, 160.1706843, 180.3892007", \
"87.0958219, 87.4262426, 89.7612966, 100.7107149, 124.4086383, 160.2182023, 180.4804916", \
"87.1961781, 87.5805256, 89.8459200, 100.6227193, 125.0248155, 160.0605915, 180.4581811", \
"87.2086154, 87.6238846, 89.7612392, 100.8735199, 124.9380964, 160.2784572, 180.5640234", \
"87.2754570, 87.6725005, 89.8650575, 100.9476962, 125.0111308, 160.3424622, 180.5484218");
}
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("134.2309063, 134.0535448, 134.5568654, 134.6311184, 134.7353816, 122.3238174, -76.5760664", \
"134.3938968, 134.5704452, 134.6578530, 134.8906682, 135.9457660, 122.9392398, -80.4969795", \
"134.2743570, 134.2327154, 134.5359022, 134.7297374, 135.0997859, 122.6585137, -76.7410914", \
"134.0104306, 134.3841696, 134.5828794, 134.5827284, 135.0284431, 120.4328791, -76.9645466", \
"134.2649617, 134.3112380, 134.5168102, 134.6461244, 135.0193249, 122.3829015, -79.3732452", \
"134.1916197, 134.2490624, 134.4249952, 134.5910984, 134.8238227, 121.9595969, -77.0343182", \
"134.2031858, 134.2229091, 134.4386499, 134.5756726, 135.1562564, 122.0555098, -75.0574745");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_disable_fall";
timing_sense : "positive_unate";
when : "( DM[2] & !DM[1] & DM[0] & SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("1.3469892, 1.3528225, 1.3572524, 1.3572525, 1.3572526, 1.3572527, 1.7029130", \
"1.4074173, 1.4087872, 1.4148206, 1.4199175, 1.4199176, 1.4199177, 1.6762531", \
"1.4307686, 1.4373259, 1.4373261, 1.4373262, 1.4412168, 1.4412169, 1.6278524", \
"1.4414747, 1.4415921, 1.4415922, 1.4415923, 1.4415924, 1.4415926, 1.6057726", \
"1.4455419, 1.4455420, 1.4466807, 1.4466808, 1.4466809, 1.4466810, 1.6134821", \
"1.4476748, 1.4486949, 1.4486951, 1.4486952, 1.4486953, 1.4486954, 1.6071682", \
"1.4358226, 1.4369789, 1.4369790, 1.4369792, 1.4419874, 1.4419875, 1.5813895");
}
fall_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OUT";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("7.2590898, 7.4408528, 8.5774854, 15.5527360, 58.4124250, 321.1066800, 1938.9006000", \
"7.3331150, 7.5091950, 8.6643928, 15.6154310, 58.4589300, 321.7640500, 1938.9729000", \
"7.3542159, 7.5374409, 8.6678824, 15.6537020, 58.6064530, 321.5095000, 1939.0807000", \
"7.3667938, 7.5582767, 8.7022283, 15.6616210, 58.5884190, 321.8617100, 1940.7644000", \
"7.3689588, 7.5575493, 8.6871292, 15.6693660, 58.5173580, 321.8559400, 1939.5600000", \
"7.3869137, 7.5697342, 8.6824334, 15.6918830, 58.5228190, 321.1978000, 1938.7369000", \
"7.3787478, 7.5630968, 8.7039176, 15.6774180, 58.4960700, 321.3089600, 1941.6968000");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("11.9165230, 12.2416150, 14.4397410, 28.2405550, 113.4156400, 637.7800900, 3872.9950000", \
"11.8783470, 12.2437790, 14.4427670, 28.2288860, 113.4976100, 637.9816600, 3872.9963000", \
"11.8859000, 12.2289460, 14.4671610, 28.2304460, 113.4888800, 637.7689500, 3872.9381000", \
"11.8653620, 12.2365710, 14.4408660, 28.1783430, 113.4786700, 638.0581700, 3872.6284000", \
"11.8703810, 12.2268200, 14.4561650, 28.1698810, 113.4221500, 638.0528800, 3871.9345000", \
"11.8673760, 12.2350140, 14.4435570, 28.1696440, 113.0510200, 637.3157900, 3871.5566000", \
"11.8729260, 12.2211700, 14.4380990, 28.1862460, 113.4981000, 637.1572000, 3871.0241000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("1.7199236, 1.7288538, 1.7794294, 2.0312536, 3.0073473, 5.6919078, 14.7472770", \
"1.8524834, 1.8614116, 1.9119886, 2.1638003, 3.1390683, 5.8247513, 14.8807380", \
"1.9497060, 1.9586281, 2.0092019, 2.2610218, 3.2370557, 5.9215672, 14.9769530", \
"2.0308999, 2.0401714, 2.0907478, 2.3425812, 3.3181755, 6.0035954, 15.0581330", \
"2.1012634, 2.1101167, 2.1606594, 2.4123366, 3.3882717, 6.0735950, 15.1292970", \
"2.1697761, 2.1782727, 2.2291049, 2.4808613, 3.4563175, 6.1439458, 15.1968590", \
"2.2331659, 2.2416786, 2.2931206, 2.5444589, 3.5214587, 6.2064869, 15.2625750");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("0.4434239, 0.4471207, 0.4768253, 0.6521141, 1.4608955, 3.3918028, 13.2035280", \
"0.4434625, 0.4471351, 0.4768685, 0.6521253, 1.4630006, 3.3921630, 13.2170100", \
"0.4434613, 0.4471322, 0.4768581, 0.6521238, 1.4610112, 3.3916896, 13.2047640", \
"0.4443048, 0.4471056, 0.4767861, 0.6520995, 1.4592690, 3.3920222, 13.2171330", \
"0.4445826, 0.4476586, 0.4779015, 0.6527163, 1.4627753, 3.3926397, 13.2146550", \
"0.4423484, 0.4493805, 0.4743449, 0.6556404, 1.4587961, 3.3906415, 13.2262540", \
"0.4453098, 0.4497931, 0.4763069, 0.6523998, 1.4632513, 3.3856031, 13.2056980");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_disable";
timing_sense : "positive_unate";
when : "( DM[2] & DM[1] & DM[0] & SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("2.9282354, 2.9293528, 2.9293529, 2.9293532, 2.9293534, 2.9293536, 2.9293539", \
"2.9688929, 2.9927019, 2.9927022, 2.9927024, 2.9927027, 2.9927029, 2.9927031", \
"2.9997980, 3.0006098, 3.0006100, 3.0006103, 3.0006105, 3.0006108, 3.0006110", \
"3.0348459, 3.0348460, 3.0348462, 3.0348465, 3.0348467, 3.0348470, 3.0348472", \
"3.0330400, 3.0426006, 3.0426008, 3.0426010, 3.0426013, 3.0426015, 3.0426018", \
"3.0417175, 3.0427478, 3.0427479, 3.0427481, 3.0427484, 3.0427486, 3.0427489", \
"3.0374965, 3.0378526, 3.0378527, 3.0378529, 3.0378532, 3.0378534, 3.0378536");
}
rise_transition (scalar) {
values("0.0000000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("2.6562652, 2.6592710, 2.6653528, 2.6653530, 2.6653532, 2.6653535, 2.7509068", \
"2.7393241, 2.7495470, 2.7495472, 2.7495474, 2.7495477, 2.7495479, 2.7495481", \
"2.7780216, 2.7780217, 2.7780220, 2.7780222, 2.7780225, 2.7780227, 2.7780229", \
"2.7925338, 2.7936389, 2.7936391, 2.7936394, 2.7936396, 2.7936398, 2.7936401", \
"2.7902884, 2.7902886, 2.7902889, 2.7902891, 2.7902893, 2.7902896, 2.7902898", \
"2.7948228, 2.7948229, 2.7948231, 2.7948233, 2.7948236, 2.7948238, 2.7948241", \
"2.7926743, 2.7926745, 2.7926747, 2.7926749, 2.7926752, 2.7926754, 2.7926757");
}
fall_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OUT";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("1.8428987, 1.8671586, 2.0152480, 2.9052813, 8.0116322, 16.2219620, 35.6784400", \
"1.9118398, 1.9359161, 2.0839712, 2.9748641, 8.0789260, 16.2938050, 35.7447870", \
"1.9380597, 1.9622932, 2.1103748, 3.0004563, 8.1056859, 16.3189070, 35.7680610", \
"1.9500512, 1.9743005, 2.1223341, 3.0132419, 8.1171363, 16.3271920, 35.8038610", \
"1.9546880, 1.9791229, 2.1272364, 3.0180840, 8.1226643, 16.3322940, 35.8042410", \
"1.9544264, 1.9790238, 2.1270874, 3.0176601, 8.1222380, 16.3330880, 35.8088240", \
"1.9507904, 1.9752122, 2.1232509, 3.0141327, 8.1145659, 16.3298090, 35.7979460");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("1.5083065, 1.5521144, 1.8299767, 3.5887660, 7.9236484, 10.2984470, 28.2117250", \
"1.5077055, 1.5499899, 1.8299386, 3.5890244, 7.9229370, 10.3017430, 28.2160780", \
"1.5036606, 1.5505105, 1.8301137, 3.5900161, 7.9235694, 10.2888670, 28.2027310", \
"1.5041006, 1.5501235, 1.8300726, 3.5910963, 7.9230071, 10.3102910, 28.1937780", \
"1.5050036, 1.5504347, 1.8308358, 3.5874874, 7.9223428, 10.3066540, 28.1984360", \
"1.5066910, 1.5518701, 1.8302527, 3.5862815, 7.9237337, 10.3008740, 28.1919180", \
"1.5063711, 1.5518933, 1.8304740, 3.5820698, 7.9215781, 10.3115740, 28.2270530");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("7.5092288, 7.6968423, 8.8619485, 15.9368550, 59.0939240, 322.9114000, 1945.7968000", \
"7.6462090, 7.8278317, 8.9871968, 16.0866480, 59.1393780, 322.4263000, 1945.3462000", \
"7.7427149, 7.9343891, 9.0873717, 16.1915600, 59.2050750, 322.5975700, 1945.4412000", \
"7.8206241, 8.0336777, 9.1610817, 16.2422320, 59.2122690, 322.8171900, 1945.8278000", \
"7.9160714, 8.0928437, 9.2546468, 16.3091600, 59.3188500, 322.9077700, 1946.2493000", \
"7.9515221, 8.1689899, 9.3036796, 16.3969920, 59.3640760, 323.1849600, 1946.0178000", \
"8.0192475, 8.2353084, 9.3578976, 16.4397240, 59.3736370, 323.2155200, 1947.3198000");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("11.6965320, 12.0630060, 14.2834500, 28.0835180, 113.3125300, 639.1290400, 3887.3545000", \
"11.7085990, 12.0648220, 14.2838420, 28.1070120, 113.6187500, 639.7813800, 3885.5187000", \
"11.6876400, 12.0415690, 14.2800150, 28.0923590, 113.6942600, 639.6752500, 3885.4769000", \
"11.6847610, 12.0489460, 14.2591720, 28.0236940, 113.3746700, 640.3765300, 3885.0008000", \
"11.6987760, 12.0620300, 14.2926600, 28.0239260, 113.3964700, 639.8598800, 3885.3377000", \
"11.6810600, 12.0614350, 14.2798720, 28.0577360, 113.2823800, 640.1119800, 3885.4619000", \
"11.6696200, 12.0579060, 14.2764920, 28.0311530, 113.2355800, 640.1440400, 3885.4191000");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_disable";
timing_sense : "positive_unate";
when : "( !DM[2] & DM[1] & DM[0] & !SLOW )";
sdf_cond : " DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("2.9283849, 2.9297220, 2.9297222, 2.9297225, 2.9297227, 2.9297230, 2.9297232", \
"2.9785623, 2.9930971, 2.9930972, 2.9930975, 2.9930977, 2.9930980, 2.9930982", \
"2.9987295, 3.0006124, 3.0006127, 3.0006129, 3.0006131, 3.0006134, 3.0006136", \
"3.0348450, 3.0366021, 3.0366022, 3.0366024, 3.0366027, 3.0366029, 3.0366031", \
"3.0357974, 3.0386860, 3.0386862, 3.0386864, 3.0386867, 3.0386869, 3.0386872", \
"3.0419387, 3.0435767, 3.0435769, 3.0435771, 3.0435774, 3.0435776, 3.0435778", \
"3.0372842, 3.0397482, 3.0397484, 3.0397486, 3.0397488, 3.0397491, 3.0397493");
}
rise_transition (scalar) {
values("0.0000000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("1.3518359, 1.3543897, 1.3551054, 1.3551055, 1.3551056, 1.3568551, 1.6992309", \
"1.4100212, 1.4106245, 1.4166520, 1.4166521, 1.4166522, 1.4166523, 1.6590612", \
"1.4322834, 1.4396818, 1.4396819, 1.4396820, 1.4396821, 1.4396822, 1.6362868", \
"1.4465673, 1.4474062, 1.4474063, 1.4474302, 1.4474304, 1.4474305, 1.6204100", \
"1.4458142, 1.4479912, 1.4479914, 1.4479915, 1.4479916, 1.4479917, 1.6135064", \
"1.4455422, 1.4464749, 1.4492013, 1.4492015, 1.4492016, 1.4492017, 1.6055702", \
"1.4373651, 1.4394499, 1.4424950, 1.4424951, 1.4424952, 1.4424953, 1.5956583");
}
fall_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OUT";
timing_type : "three_state_enable_fall";
timing_sense : "negative_unate";
when : "( !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("1.9792721, 2.0041178, 2.1546143, 3.0764240, 7.5600539, 27.1791140, 67.5328170", \
"2.1126789, 2.1373001, 2.2874584, 3.2062735, 7.6925302, 27.3120710, 67.6528420", \
"2.2096258, 2.2347730, 2.3859155, 3.3058412, 7.7898141, 27.4061110, 67.7637930", \
"2.2919582, 2.3162980, 2.4673896, 3.3873084, 7.8713391, 27.4887540, 67.8319050", \
"2.3624425, 2.3861708, 2.5367128, 3.4556513, 7.9413685, 27.5604390, 67.9251710", \
"2.4299982, 2.4549140, 2.6061919, 3.5284242, 8.0098310, 27.6293710, 67.9763520", \
"2.4949254, 2.5191578, 2.6697309, 3.5927570, 8.0722753, 27.6918510, 68.0427950");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("1.5228059, 1.5686933, 1.8521636, 3.2730267, 8.5847365, 31.0370960, 38.0546790", \
"1.5230422, 1.5676203, 1.8516235, 3.2718241, 8.5702165, 31.0614790, 38.0302230", \
"1.5216078, 1.5690464, 1.8534412, 3.2726977, 8.5701561, 31.0444650, 38.0423800", \
"1.5227921, 1.5691737, 1.8533787, 3.2727510, 8.5706935, 31.0424540, 38.0133290", \
"1.5220201, 1.5697805, 1.8531013, 3.2726837, 8.5681338, 31.0715540, 38.1555270", \
"1.5217674, 1.5674743, 1.8516658, 3.2708458, 8.5678478, 31.0734940, 38.1091890", \
"1.5186769, 1.5652161, 1.8528872, 3.2740508, 8.5681160, 31.0657240, 38.1096400");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_disable_fall";
timing_sense : "positive_unate";
when : "( DM[2] & !DM[1] & DM[0] & !SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("1.3547160, 1.3547161, 1.3547162, 1.3616683, 1.3616685, 1.3616686, 1.7052170", \
"1.4104934, 1.4148771, 1.4148772, 1.4148773, 1.4191833, 1.4191834, 1.6711692", \
"1.4333759, 1.4333761, 1.4333762, 1.4338591, 1.4338592, 1.4350321, 1.6135340", \
"1.4469056, 1.4474562, 1.4474564, 1.4474911, 1.4474912, 1.4474913, 1.6205575", \
"1.4460632, 1.4474666, 1.4477277, 1.4477278, 1.4477279, 1.4477280, 1.6133747", \
"1.4455733, 1.4466098, 1.4466100, 1.4466101, 1.4466102, 1.4466103, 1.6056566", \
"1.4374670, 1.4399582, 1.4399583, 1.4399584, 1.4451937, 1.4451938, 1.5955014");
}
fall_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OUT";
timing_type : "three_state_enable_fall";
timing_sense : "negative_unate";
when : "( !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("1.7054771, 1.7140145, 1.7653137, 2.0199660, 3.0794352, 8.6146228, 36.1075260", \
"1.8371873, 1.8464557, 1.8976709, 2.1524438, 3.2107621, 8.7471559, 36.2428450", \
"1.9351778, 1.9434083, 1.9944897, 2.2496511, 3.3092322, 8.8444390, 36.3414260", \
"2.0160731, 2.0249140, 2.0767117, 2.3311635, 3.3907925, 8.9257304, 36.4146790", \
"2.0866111, 2.0949027, 2.1464255, 2.4012270, 3.4607128, 8.9962775, 36.4930950", \
"2.1549473, 2.1630655, 2.2134610, 2.4692248, 3.5290253, 9.0624521, 36.5317870", \
"2.2183717, 2.2277900, 2.2787860, 2.5341329, 3.5921679, 9.1292325, 36.6241540");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("0.4478750, 0.4515369, 0.4793512, 0.6618651, 1.7590037, 8.6974801, 30.0345100", \
"0.4496461, 0.4542076, 0.4796487, 0.6606012, 1.7578273, 8.6953822, 30.0289390", \
"0.4502797, 0.4534930, 0.4826016, 0.6581288, 1.7593120, 8.7050260, 30.0342540", \
"0.4495993, 0.4532143, 0.4823387, 0.6606048, 1.7583158, 8.7059870, 30.0626370", \
"0.4485374, 0.4523020, 0.4818360, 0.6616530, 1.7590445, 8.6972438, 30.0371530", \
"0.4501192, 0.4549756, 0.4800543, 0.6621706, 1.7591915, 8.7013401, 30.0328150", \
"0.4478650, 0.4516225, 0.4804005, 0.6610159, 1.7604326, 8.7029042, 30.0683320");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_enable";
timing_sense : "negative_unate";
when : "( !DM[2] & DM[1] & !DM[0] & !SLOW )";
sdf_cond : " DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("7.1206379, 7.3064070, 8.4274649, 15.2998450, 57.8581800, 320.4129100, 1938.0243000", \
"7.2534285, 7.4329569, 8.5676293, 15.4685700, 57.9983670, 320.5367100, 1938.1330000", \
"7.3502466, 7.5341129, 8.6649576, 15.5748550, 58.0932640, 320.6205300, 1938.7878000", \
"7.4387017, 7.6142275, 8.7405336, 15.6570690, 58.2430530, 320.5424300, 1938.3353000", \
"7.5102351, 7.6929085, 8.7970262, 15.7031380, 58.2539670, 320.6653500, 1939.0075000", \
"7.5783575, 7.7425923, 8.8696650, 15.7660660, 58.3255830, 321.3431800, 1938.4800000", \
"7.6219111, 7.8266202, 8.9388861, 15.8409050, 58.3719450, 320.7463500, 1938.0786000");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("11.8752830, 12.2283560, 14.4654910, 28.1885470, 113.5050700, 638.0868700, 3873.2937000", \
"11.8767270, 12.2172330, 14.4543180, 28.1684360, 113.4465700, 638.0560600, 3873.2196000", \
"11.8752750, 12.2335630, 14.4553610, 28.1363360, 113.4582600, 638.0444100, 3872.4634000", \
"11.8757460, 12.2373610, 14.4527050, 28.1635250, 113.4841600, 637.7969700, 3873.2742000", \
"11.8715490, 12.2329960, 14.4596060, 28.1746320, 113.0985800, 637.8261300, 3872.3792000", \
"11.8714020, 12.2322760, 14.4603130, 28.1876820, 113.4253300, 638.1328600, 3874.2369000", \
"11.8596380, 12.2330990, 14.4599990, 28.2024870, 113.5172200, 637.4164600, 3872.6866000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("1.8866737, 1.8957869, 1.9464090, 2.1979931, 3.1741350, 5.8604743, 14.9163930", \
"2.0186417, 2.0277191, 2.0785513, 2.3287958, 3.3065035, 5.9914016, 15.0472830", \
"2.1169677, 2.1254136, 2.1740607, 2.4281577, 3.4036263, 6.0897452, 15.1442010", \
"2.1971631, 2.2053892, 2.2569244, 2.5085549, 3.4846217, 6.1692234, 15.2262430", \
"2.2680322, 2.2775291, 2.3274693, 2.5772193, 3.5559309, 6.2388903, 15.2948680", \
"2.3270994, 2.3426840, 2.3972171, 2.6481835, 3.6241576, 6.3110210, 15.3666220", \
"2.4012472, 2.4097893, 2.4614566, 2.7134017, 3.6887786, 6.3752177, 15.4274980");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("0.4467424, 0.4486350, 0.4780455, 0.6530549, 1.4637396, 3.3915093, 13.2257580", \
"0.4469194, 0.4505578, 0.4781011, 0.6529785, 1.4636555, 3.3913536, 13.2084710", \
"0.4465281, 0.4486352, 0.4779900, 0.6525352, 1.4638538, 3.3921763, 13.2027590", \
"0.4470413, 0.4506338, 0.4780810, 0.6525356, 1.4601116, 3.3852516, 13.2065990", \
"0.4456029, 0.4494007, 0.4780068, 0.6522473, 1.4606205, 3.3923608, 13.1993400", \
"0.4450494, 0.4484834, 0.4774686, 0.6550437, 1.4612909, 3.3862408, 13.2130790", \
"0.4445003, 0.4506218, 0.4762337, 0.6561311, 1.4631125, 3.3884289, 13.2102040");
}
}
timing () {
related_pin : "OUT";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("7.0838309, 7.2551862, 8.3791738, 15.3087340, 57.8124040, 320.4640400, 1938.2358000", \
"7.1537807, 7.3412716, 8.4568397, 15.3726810, 57.8372120, 320.4120300, 1937.8513000", \
"7.1814190, 7.3619573, 8.4907557, 15.4031880, 57.8814120, 320.4235700, 1939.3863000", \
"7.1927886, 7.3743012, 8.4925649, 15.4142960, 57.9276610, 320.4272900, 1938.7858000", \
"7.1885631, 7.3662600, 8.5080432, 15.3966550, 58.1143170, 320.4220900, 1940.2571000", \
"7.1879609, 7.3844797, 8.5007095, 15.3770330, 57.9218560, 320.2598600, 1940.3476000", \
"7.1974817, 7.3674715, 8.4951548, 15.4003710, 57.9260370, 320.3103600, 1940.6668000");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("11.8770050, 12.2320150, 14.4479890, 28.1456710, 113.4509100, 638.1633900, 3874.8053000", \
"11.8534440, 12.2345700, 14.4470200, 28.1916110, 113.2649400, 637.9935900, 3873.2464000", \
"11.8494790, 12.2362980, 14.4528660, 28.1610700, 113.4554400, 637.5353200, 3876.3351000", \
"11.8587930, 12.2326220, 14.4642660, 28.1676070, 113.4869200, 637.5350900, 3873.8422000", \
"11.8657640, 12.2326780, 14.4343950, 28.1875220, 113.3721000, 637.5284400, 3871.8308000", \
"11.8667050, 12.2361170, 14.4374370, 28.1706230, 113.4988400, 637.4907000, 3871.3601000", \
"11.8733650, 12.2212140, 14.4504660, 28.1981830, 113.4854100, 637.4552300, 3873.3215000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("7.2269457, 7.4336461, 8.5349070, 15.4616000, 58.2451140, 321.3184000, 1944.2032000", \
"7.3597448, 7.5666877, 8.6675485, 15.5936770, 58.3789190, 321.8119400, 1944.5060000", \
"7.4568431, 7.6638500, 8.7647267, 15.6913110, 58.4790280, 321.6391600, 1944.6038000", \
"7.5386435, 7.7449673, 8.8451415, 15.7574130, 58.5572870, 322.2971300, 1944.5586000", \
"7.6087847, 7.8162419, 8.9164116, 15.8441190, 58.6258050, 321.9462000, 1948.0251000", \
"7.6769595, 7.8868494, 8.9838146, 15.9119310, 58.7069490, 322.4770600, 1944.5449000", \
"7.7413222, 7.9206890, 9.0610417, 15.9779640, 58.6352510, 322.2714300, 1948.0592000");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("11.7012680, 12.0638210, 14.2952090, 28.0585300, 113.6685300, 640.0939600, 3887.7183000", \
"11.7015170, 12.0632770, 14.2925730, 28.0338210, 113.6659900, 639.7801300, 3886.7420000", \
"11.7014110, 12.0633410, 14.2946990, 28.0578030, 113.6603600, 640.2045800, 3885.0012000", \
"11.7016050, 12.0636200, 14.2947040, 28.0068110, 113.6670300, 639.1861100, 3885.1699000", \
"11.6968360, 12.0633090, 14.2934110, 28.0293990, 113.6692200, 639.8870500, 3889.0857000", \
"11.6944480, 12.0585460, 14.2812990, 28.0315540, 113.6418400, 639.9581000, 3886.9862000", \
"11.7019460, 12.0598660, 14.2900280, 28.0783540, 113.2752700, 639.8689700, 3885.4218000");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_disable";
timing_sense : "positive_unate";
when : "( !DM[2] & DM[1] & !DM[0] & !SLOW )";
sdf_cond : " DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("1.3994554, 1.4011694, 1.4011695, 1.4011696, 1.4011698, 1.4011699, 1.5692339", \
"1.4505324, 1.4592050, 1.4592051, 1.4592053, 1.4651707, 1.4651709, 1.6123404", \
"1.4948344, 1.4948345, 1.5023681, 1.5023682, 1.5023683, 1.5023684, 1.6080125", \
"1.4854111, 1.4854112, 1.4927849, 1.4948034, 1.4948035, 1.5077839, 1.6529590", \
"1.4929082, 1.4929083, 1.4929085, 1.4939154, 1.4939155, 1.4966625, 1.6244973", \
"1.4985718, 1.4985719, 1.4985720, 1.4985721, 1.4985722, 1.4985723, 1.6171925", \
"1.4880454, 1.4880456, 1.4956313, 1.4993189, 1.4993191, 1.5014865, 1.6281705");
}
rise_transition (scalar) {
values("0.0000000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("2.6681353, 2.6681356, 2.6681358, 2.6681361, 2.6681363, 2.6681365, 2.6681368", \
"2.7478437, 2.7513638, 2.7513639, 2.7513642, 2.7513644, 2.7513646, 2.7513649", \
"2.7782971, 2.7782974, 2.7782976, 2.7782978, 2.7782981, 2.7782983, 2.7782985", \
"2.7924627, 2.7938805, 2.7938806, 2.7938809, 2.7938811, 2.7938813, 2.7938816", \
"2.7907332, 2.7913576, 2.7913577, 2.7913579, 2.7913582, 2.7913584, 2.7913586", \
"2.7949205, 2.7952676, 2.7952678, 2.7952680, 2.7952682, 2.7952685, 2.7952687", \
"2.7927223, 2.7928095, 2.7928097, 2.7928099, 2.7928101, 2.7928104, 2.7928106");
}
fall_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_enable_rise";
timing_sense : "negative_unate";
when : "( DM[2] & !DM[1] & DM[0] & SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("1.8894926, 1.9137809, 2.0618096, 2.9532228, 8.0765679, 16.3167360, 35.7604580", \
"2.0213364, 2.0453632, 2.1943036, 3.0837555, 8.2076603, 16.4471070, 35.9018170", \
"2.1177287, 2.1401407, 2.2917375, 3.1788590, 8.3046459, 16.5409390, 35.9993140", \
"2.2010258, 2.2243838, 2.3708611, 3.2625087, 8.3854967, 16.6228170, 36.0841420", \
"2.2710844, 2.2955509, 2.4432194, 3.3335574, 8.4536582, 16.6900430, 36.1611720", \
"2.3390858, 2.3573203, 2.5070988, 3.4017755, 8.5174738, 16.7571040, 36.2217990", \
"2.3982156, 2.4269955, 2.5760993, 3.4672925, 8.5852365, 16.8181950, 36.2850760");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("1.5042783, 1.5485407, 1.8287769, 3.5681633, 7.9614058, 10.2820990, 28.2121080", \
"1.5043786, 1.5459898, 1.8297162, 3.5718868, 7.9641138, 10.2844120, 28.2090580", \
"1.5018097, 1.5461721, 1.8296002, 3.5683423, 7.9624343, 10.3021180, 28.2091430", \
"1.5015107, 1.5469606, 1.8295969, 3.5728600, 7.9632381, 10.3079230, 28.2066170", \
"1.5036651, 1.5484023, 1.8291695, 3.5738470, 7.9674276, 10.3182010, 28.2166200", \
"1.5040039, 1.5483198, 1.8283899, 3.5766072, 7.9773823, 10.3146530, 28.2056800", \
"1.5014039, 1.5485031, 1.8293788, 3.5716026, 7.9656459, 10.3097550, 28.2068980");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_disable_rise";
timing_sense : "positive_unate";
when : "( DM[2] & !DM[1] & !DM[0] & !SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("1.5941954, 1.6040964, 1.6114887, 1.6114888, 1.6114889, 1.6125603, 1.7702370", \
"1.6562560, 1.6591053, 1.6591054, 1.6832475, 1.6832476, 1.6832911, 1.7745634", \
"1.6978549, 1.6980748, 1.6980749, 1.6980750, 1.6980752, 1.6980753, 1.8011639", \
"1.6915073, 1.7089637, 1.7089639, 1.7089640, 1.7089641, 1.7102950, 1.8005750", \
"1.6945962, 1.6994803, 1.7094760, 1.7108189, 1.7146264, 1.7201107, 1.8400695", \
"1.7100840, 1.7100841, 1.7100842, 1.7159807, 1.7159808, 1.7159809, 1.8120365", \
"1.7134221, 1.7134222, 1.7134223, 1.7134224, 1.7134225, 1.7161028, 1.8109327");
}
rise_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OUT";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("7.0839579, 7.2556645, 8.3791818, 15.2945280, 57.8007690, 320.3049900, 1937.9920000", \
"7.1518929, 7.3416255, 8.4586027, 15.3593460, 57.8441720, 320.3759600, 1937.8954000", \
"7.1681598, 7.3620465, 8.4809635, 15.3996570, 57.9035660, 320.6544900, 1940.5823000", \
"7.1950410, 7.3766775, 8.4961131, 15.3792930, 57.9028520, 320.5082900, 1939.3240000", \
"7.1884960, 7.3856281, 8.5059151, 15.3939370, 57.8837600, 320.7354700, 1937.9675000", \
"7.2017525, 7.3754509, 8.5006446, 15.3823620, 57.9221720, 320.2408400, 1938.2812000", \
"7.1981243, 7.3671380, 8.4893870, 15.4089700, 57.9157950, 320.4249300, 1940.1343000");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("11.8768240, 12.2320910, 14.4406260, 28.1991440, 113.3493200, 638.0430500, 3873.6148000", \
"11.8599810, 12.2351180, 14.4420200, 28.1949610, 113.2808000, 637.8896300, 3873.0132000", \
"11.8723650, 12.2364020, 14.4551620, 28.1899550, 113.4364000, 637.9916000, 3873.9786000", \
"11.8674850, 12.2022220, 14.4641210, 28.1882060, 113.3611100, 638.0656100, 3876.2978000", \
"11.8676790, 12.2350250, 14.4435470, 28.1887740, 113.2436400, 637.7765100, 3873.2269000", \
"11.8734050, 12.2111870, 14.4456020, 28.1592580, 113.4954000, 637.3322800, 3874.7353000", \
"11.8741600, 12.2218430, 14.4661800, 28.1998050, 113.4935700, 638.0680000, 3873.5666000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("7.2271167, 7.4336088, 8.5340446, 15.4618130, 58.2460590, 321.3066300, 1944.2377000", \
"7.3599140, 7.5657356, 8.6675778, 15.5944400, 58.3797190, 321.5148800, 1944.9701000", \
"7.4569906, 7.6637248, 8.7647782, 15.6917930, 58.4789140, 321.6100900, 1944.6049000", \
"7.5640392, 7.7339477, 8.8450944, 15.7735280, 58.5582570, 321.7643900, 1944.6018000", \
"7.6089215, 7.8148057, 8.9163930, 15.8449430, 58.6090860, 322.4097000, 1947.4730000", \
"7.6769071, 7.8868556, 8.9839629, 15.9121800, 58.7069910, 322.4766700, 1947.3075000", \
"7.7437878, 7.9232905, 9.0436938, 15.9679450, 58.6265510, 322.5797500, 1944.2007000");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("11.7014420, 12.0638660, 14.2961510, 28.0603400, 113.6688800, 640.0716200, 3887.7274000", \
"11.7013070, 12.0651430, 14.2948960, 28.0594480, 113.6665300, 640.1725200, 3885.7297000", \
"11.7016140, 12.0633710, 14.2948140, 28.0584640, 113.6627100, 640.1704100, 3884.1690000", \
"11.6992800, 12.0618750, 14.2950180, 28.0569490, 113.6671800, 640.2641500, 3885.0148000", \
"11.6979520, 12.0647960, 14.2935730, 28.0283280, 113.6930200, 639.5864000, 3887.8698000", \
"11.6936940, 12.0586330, 14.2820830, 28.0326140, 113.6436700, 639.9535600, 3883.6356000", \
"11.6952640, 12.0615700, 14.2702980, 28.0715420, 113.2476500, 639.6747900, 3886.2026000");
}
}
timing () {
related_pin : "OUT";
timing_type : "three_state_enable_rise";
timing_sense : "positive_unate";
when : "( !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("1.8400601, 1.8643702, 2.0123880, 2.9023215, 8.0251006, 16.2613570, 35.7244720", \
"1.9092115, 1.9337418, 2.0813924, 2.9717799, 8.0942497, 16.3320680, 35.7912630", \
"1.9347173, 1.9594153, 2.1076474, 2.9975495, 8.1222309, 16.3546850, 35.8222040", \
"1.9473454, 1.9716799, 2.1194830, 3.0100429, 8.1323419, 16.3753600, 35.8344540", \
"1.9522601, 1.9764037, 2.1246473, 3.0156067, 8.1371594, 16.3714930, 35.8526930", \
"1.9516009, 1.9762654, 2.1241517, 3.0149527, 8.1388150, 16.3708420, 35.8479080", \
"1.9482886, 1.9724089, 2.1203437, 3.0115046, 8.1333225, 16.3678080, 35.8309040");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("1.5072028, 1.5482461, 1.8290849, 3.5880905, 7.9624254, 10.3141720, 28.2269690", \
"1.5057595, 1.5504046, 1.8291231, 3.5906074, 7.9632008, 10.3115340, 28.2086640", \
"1.5056427, 1.5502567, 1.8292146, 3.5900032, 7.9649451, 10.3117350, 28.2054750", \
"1.5060350, 1.5491697, 1.8291103, 3.5903476, 7.9637141, 10.2849720, 28.2067220", \
"1.5035634, 1.5495811, 1.8298276, 3.5869319, 7.9641316, 10.3126230, 28.2102430", \
"1.5041550, 1.5496505, 1.8293267, 3.5817340, 7.9617077, 10.3102400, 28.1964870", \
"1.5061377, 1.5511032, 1.8299093, 3.5839594, 7.9636431, 10.3177680, 28.2315770");
}
}
timing () {
related_pin : "OUT";
timing_type : "three_state_disable_rise";
timing_sense : "positive_unate";
when : "( !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("1.3850969, 1.3872126, 1.3954852, 1.3954853, 1.3954854, 1.3954855, 1.5682059", \
"1.4545365, 1.4612395, 1.4612396, 1.4612397, 1.4612398, 1.4612399, 1.5846344", \
"1.4891411, 1.4891412, 1.4891413, 1.4891415, 1.4891416, 1.4891417, 1.5931839", \
"1.4708849, 1.4890043, 1.4890044, 1.4890045, 1.4890046, 1.4904514, 1.5938455", \
"1.4876802, 1.4876803, 1.4890833, 1.4890834, 1.4890835, 1.4936538, 1.5931330", \
"1.4931674, 1.4931675, 1.4931676, 1.4931677, 1.4931679, 1.4960528, 1.5895882", \
"1.4677515, 1.4709978, 1.4709979, 1.4709980, 1.4748638, 1.4853515, 1.5706415");
}
rise_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OUT";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("1.8274708, 1.8409865, 1.9130282, 2.1781479, 3.1012464, 7.6770963, 25.6200870", \
"1.8968342, 1.9102271, 1.9820510, 2.2473872, 3.1703198, 7.7489632, 25.7096320", \
"1.9229343, 1.9363156, 2.0083191, 2.2734108, 3.1973284, 7.7748199, 25.7231040", \
"1.9348902, 1.9484105, 2.0201767, 2.2852173, 3.2093435, 7.7880591, 25.7404840", \
"1.9394752, 1.9530388, 2.0248916, 2.2898825, 3.2138932, 7.7908089, 25.7471150", \
"1.9395601, 1.9529081, 2.0247160, 2.2898980, 3.2139316, 7.7911784, 25.7557050", \
"1.9357747, 1.9488859, 2.0210702, 2.2861602, 3.2102170, 7.7865829, 25.7398870");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("0.7593118, 0.7619307, 0.7713578, 0.7585229, 1.7324295, 7.0719057, 27.2780020", \
"0.7592811, 0.7616159, 0.7713762, 0.7583367, 1.7335271, 7.0785005, 27.3503870", \
"0.7594070, 0.7615411, 0.7717386, 0.7580623, 1.7326707, 7.0824879, 27.3142910", \
"0.7594799, 0.7621507, 0.7717099, 0.7591710, 1.7359982, 7.0805073, 27.3469830", \
"0.7595045, 0.7615576, 0.7718374, 0.7592849, 1.7351108, 7.0815700, 27.3454020", \
"0.7595248, 0.7617673, 0.7720940, 0.7590071, 1.7351610, 7.0816188, 27.3548220", \
"0.7593266, 0.7613738, 0.7713462, 0.7600980, 1.7380672, 7.0776194, 27.3381350");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("1.7355442, 1.7441285, 1.7952611, 2.0490678, 3.0249399, 5.7012088, 14.7540050", \
"1.8682551, 1.8767996, 1.9277717, 2.1817628, 3.1574567, 5.8334626, 14.8866510", \
"1.9654065, 1.9738611, 2.0249875, 2.2788984, 3.2547658, 5.9308660, 14.9837670", \
"2.0469386, 2.0555315, 2.1066815, 2.3605955, 3.3364156, 6.0121832, 15.0652720", \
"2.1169959, 2.1256030, 2.1765902, 2.4303226, 3.4065704, 6.0828165, 15.1343510", \
"2.1846736, 2.1933746, 2.2452229, 2.4983819, 3.4741447, 6.1522289, 15.2034810", \
"2.2484696, 2.2569067, 2.3081124, 2.5616211, 3.5376664, 6.2171512, 15.2678940");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("0.4246750, 0.4293011, 0.4574120, 0.6419931, 1.4489108, 3.3851526, 13.2040900", \
"0.4226850, 0.4291386, 0.4579237, 0.6388554, 1.4486161, 3.3852559, 13.2098000", \
"0.4246624, 0.4293043, 0.4576721, 0.6419737, 1.4488420, 3.3850176, 13.1993700", \
"0.4246673, 0.4293286, 0.4573892, 0.6389018, 1.4489894, 3.3849958, 13.2079570", \
"0.4246786, 0.4293101, 0.4580184, 0.6398025, 1.4499097, 3.3864624, 13.2261940", \
"0.4246526, 0.4288951, 0.4580379, 0.6418468, 1.4487034, 3.3821659, 13.2257160", \
"0.4247489, 0.4292313, 0.4594527, 0.6397672, 1.4535693, 3.3815437, 13.2101760");
}
}
timing () {
related_pin : "OUT";
timing_type : "three_state_disable_rise";
timing_sense : "positive_unate";
when : "( !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("1.4123067, 1.4176776, 1.4176778, 1.4176779, 1.4176780, 1.4181054, 1.6037869", \
"1.4878764, 1.4878765, 1.4878766, 1.4878768, 1.4878769, 1.4878770, 1.6281089", \
"1.5128780, 1.5132051, 1.5179694, 1.5179695, 1.5179696, 1.5179697, 1.6373245", \
"1.5301084, 1.5301086, 1.5301087, 1.5301088, 1.5301089, 1.5301090, 1.6454246", \
"1.5123722, 1.5174088, 1.5174090, 1.5180158, 1.5180159, 1.5180160, 1.6338737", \
"1.5113256, 1.5154579, 1.5166773, 1.5166774, 1.5166775, 1.5192753, 1.6276439", \
"1.5159265, 1.5159266, 1.5159267, 1.5159268, 1.5181719, 1.5206931, 1.6289254");
}
rise_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_disable";
timing_sense : "positive_unate";
when : "( !DM[2] & DM[1] & !DM[0] & SLOW )";
sdf_cond : " DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("1.4028001, 1.4028002, 1.4028003, 1.4028004, 1.4028006, 1.4028007, 1.6001241", \
"1.4524848, 1.4723761, 1.4723762, 1.4723763, 1.4723765, 1.4723766, 1.6285702", \
"1.4894364, 1.4894365, 1.4894366, 1.4894367, 1.4894369, 1.4973013, 1.5794581", \
"1.5124797, 1.5124798, 1.5139009, 1.5139010, 1.5139011, 1.5139012, 1.6011447", \
"1.4934145, 1.4934146, 1.4934148, 1.4934149, 1.4934150, 1.4982064, 1.6241719", \
"1.4938885, 1.4938886, 1.4947589, 1.4986498, 1.4986499, 1.4986501, 1.6336346", \
"1.4860824, 1.4860825, 1.4878735, 1.4878737, 1.4990217, 1.5013327, 1.6118752");
}
rise_transition (scalar) {
values("0.0000000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("2.6754130, 2.6754131, 2.6754133, 2.6754135, 2.6754138, 2.6754140, 2.7465512", \
"2.7465934, 2.7521321, 2.7521323, 2.7521326, 2.7521328, 2.7521331, 2.7521333", \
"2.7800995, 2.7822782, 2.7822785, 2.7822787, 2.7822789, 2.7822792, 2.7822794", \
"2.7925797, 2.7943938, 2.7943939, 2.7943942, 2.7943944, 2.7943947, 2.7943949", \
"2.7914455, 2.7942899, 2.7942900, 2.7942902, 2.7942905, 2.7942907, 2.7942910", \
"2.7949787, 2.7967750, 2.7967753, 2.7967755, 2.7967757, 2.7967760, 2.7967762", \
"2.7925235, 2.7925236, 2.7925238, 2.7925240, 2.7925243, 2.7925245, 2.7925247");
}
fall_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_enable";
timing_sense : "negative_unate";
when : "( !DM[2] & DM[1] & DM[0] & !SLOW )";
sdf_cond : " DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("1.8623585, 1.8761959, 1.9497237, 2.2181137, 3.1353073, 7.7095059, 25.6712160", \
"1.9928281, 2.0081852, 2.0813320, 2.3500808, 3.2667486, 7.8417139, 25.8035030", \
"2.0920223, 2.1058510, 2.1750095, 2.4475670, 3.3656702, 7.9405309, 25.9004780", \
"2.1718589, 2.1866395, 2.2601381, 2.5249991, 3.4456373, 8.0206570, 25.9742340", \
"2.2415119, 2.2580293, 2.3301059, 2.5983065, 3.5130976, 8.0890690, 26.0541190", \
"2.3122599, 2.3258726, 2.3999089, 2.6665672, 3.5860284, 8.1613587, 26.1185260", \
"2.3766238, 2.3855944, 2.4557492, 2.7324942, 3.6464283, 8.2254452, 26.1851940");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("0.7784656, 0.7816162, 0.7932876, 0.7838810, 1.7364897, 7.0819226, 27.3430810", \
"0.7781895, 0.7810957, 0.7932760, 0.7854158, 1.7379602, 7.0881510, 27.3432570", \
"0.7781859, 0.7812400, 0.7931102, 0.7854139, 1.7375859, 7.0866131, 27.3511080", \
"0.7782988, 0.7812493, 0.7931123, 0.7854823, 1.7381943, 7.0865762, 27.3452050", \
"0.7782638, 0.7810346, 0.7933168, 0.7851626, 1.7377156, 7.0867361, 27.3395670", \
"0.7784592, 0.7811058, 0.7931052, 0.7854661, 1.7372266, 7.0829325, 27.3512910", \
"0.7785505, 0.7811039, 0.7931851, 0.7835839, 1.7382267, 7.0855877, 27.3519150");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("7.3733402, 7.5581710, 8.6814431, 15.6051850, 58.4345800, 322.1715900, 1944.6456000", \
"7.5087785, 7.6881141, 8.8122416, 15.7356050, 58.5568150, 321.9790600, 1945.0049000", \
"7.6112139, 7.7838669, 8.9109520, 15.8316100, 58.6613850, 322.3887000, 1944.5492000", \
"7.6850144, 7.8654239, 8.9981116, 15.9160490, 58.7278240, 322.1109900, 1945.0274000", \
"7.7562117, 7.9394491, 9.0770333, 15.9978380, 58.7902810, 322.5595700, 1944.5695000", \
"7.8235045, 8.0082163, 9.1290673, 16.0586480, 58.8554800, 322.3036600, 1945.1495000", \
"7.8839797, 8.0723721, 9.1970422, 16.1085990, 58.9196070, 322.6179500, 1945.4151000");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("11.6978880, 12.0643770, 14.2959730, 28.0475620, 113.5717000, 640.0254600, 3886.6533000", \
"11.7036480, 12.0603810, 14.2960120, 28.0453280, 113.6065100, 639.8369100, 3886.4114000", \
"11.6922390, 12.0543090, 14.2958080, 28.0640510, 113.5725600, 640.0759400, 3886.1372000", \
"11.7020110, 12.0555960, 14.2837700, 28.0781570, 113.6314000, 640.4386000, 3885.0762000", \
"11.6945720, 12.0631080, 14.2939210, 28.0595350, 113.6492800, 639.6000500, 3885.6924000", \
"11.6981150, 12.0510500, 14.2964370, 28.0372760, 113.6579300, 640.2604500, 3886.3218000", \
"11.7011430, 12.0572610, 14.2972660, 28.0323730, 113.6595300, 639.0889100, 3886.6069000");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_disable";
timing_sense : "positive_unate";
when : "( !DM[2] & DM[1] & DM[0] & SLOW )";
sdf_cond : " DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("2.9284046, 2.9296925, 2.9296927, 2.9296929, 2.9296932, 2.9296934, 2.9296936", \
"2.9762457, 3.0018363, 3.0018365, 3.0018367, 3.0018369, 3.0018372, 3.0018374", \
"2.9986154, 2.9993785, 2.9993786, 2.9993789, 2.9993791, 2.9993793, 2.9993796", \
"3.0352802, 3.0366354, 3.0366356, 3.0366358, 3.0366360, 3.0366363, 3.0366365", \
"3.0357938, 3.0385219, 3.0385222, 3.0385224, 3.0385227, 3.0385229, 3.0385231", \
"3.0419192, 3.0436569, 3.0436570, 3.0436572, 3.0436575, 3.0436577, 3.0436579", \
"3.0373930, 3.0399547, 3.0399548, 3.0399551, 3.0399553, 3.0399555, 3.0399558");
}
rise_transition (scalar) {
values("0.0000000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("1.3587638, 1.3587639, 1.3587640, 1.3587642, 1.3587643, 1.3592582, 1.6960786", \
"1.4105873, 1.4110237, 1.4133172, 1.4133173, 1.4133174, 1.4188111, 1.6357113", \
"1.4303650, 1.4340569, 1.4340570, 1.4403981, 1.4403982, 1.4403983, 1.6357725", \
"1.4411140, 1.4415884, 1.4415885, 1.4415886, 1.4415887, 1.4415889, 1.6048387", \
"1.4445535, 1.4462982, 1.4462984, 1.4462985, 1.4462986, 1.4462987, 1.6102679", \
"1.4439197, 1.4492145, 1.4492146, 1.4492147, 1.4492148, 1.4492149, 1.6043983", \
"1.4362851, 1.4372418, 1.4372419, 1.4435284, 1.4435285, 1.4468315, 1.6002608");
}
fall_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_enable_fall";
timing_sense : "negative_unate";
when : "( DM[2] & !DM[1] & !DM[0] & SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("2.1490074, 2.1731823, 2.3245095, 3.2442644, 7.7286515, 27.3478090, 67.6887170", \
"2.2809290, 2.3051481, 2.4564331, 3.3760486, 7.8603790, 27.4768670, 67.8384280", \
"2.3786439, 2.4025792, 2.5543483, 3.4699008, 7.9574320, 27.5770000, 67.9169080", \
"2.4548368, 2.4830911, 2.6339149, 3.5544541, 8.0383815, 27.6586700, 68.0212370", \
"2.5225511, 2.5547890, 2.7055016, 3.6252676, 8.1100540, 27.7276340, 68.0910400", \
"2.5989133, 2.6221199, 2.7748830, 3.6951220, 8.1784313, 27.7958350, 68.1565240", \
"2.6553586, 2.6833562, 2.8386091, 3.7619605, 8.2338882, 27.8560230, 68.2029580");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("1.5226870, 1.5694890, 1.8532849, 3.2729157, 8.5705798, 31.0612050, 38.0291960", \
"1.5226612, 1.5692591, 1.8532872, 3.2728673, 8.5700922, 31.0496300, 38.0403480", \
"1.5217783, 1.5694801, 1.8524566, 3.2727152, 8.5688745, 31.0547890, 38.0312560", \
"1.5229977, 1.5693802, 1.8513960, 3.2728835, 8.5726901, 31.0605550, 38.0284070", \
"1.5232081, 1.5686337, 1.8535713, 3.2728575, 8.5756140, 31.0718060, 38.1499240", \
"1.5234172, 1.5675110, 1.8517446, 3.2709677, 8.5774969, 31.0417430, 38.0011290", \
"1.5208439, 1.5695903, 1.8511435, 3.2703411, 8.5848928, 31.0375760, 38.0215430");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_disable";
timing_sense : "positive_unate";
when : "( DM[2] & DM[1] & !DM[0] & SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("1.4062876, 1.4062877, 1.4062878, 1.4062879, 1.4062880, 1.4062881, 1.5801207", \
"1.4509406, 1.4708724, 1.4708725, 1.4708726, 1.4708728, 1.4715157, 1.6219094", \
"1.4771923, 1.4894208, 1.4894209, 1.4985463, 1.4985464, 1.4985465, 1.6491238", \
"1.5014567, 1.5014568, 1.5014570, 1.5014571, 1.5014572, 1.5014573, 1.6028809", \
"1.4898406, 1.4899944, 1.4913233, 1.4913235, 1.4913236, 1.4934483, 1.6242732", \
"1.4966720, 1.4966721, 1.4966723, 1.4966724, 1.4966725, 1.4985398, 1.6167521", \
"1.4841716, 1.5060635, 1.5060636, 1.5060637, 1.5060638, 1.5060639, 1.5814772");
}
rise_transition (scalar) {
values("0.0000000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("1.3609284, 1.3609285, 1.3609286, 1.3609288, 1.3609289, 1.3609290, 1.7014522", \
"1.4074962, 1.4131659, 1.4177126, 1.4177127, 1.4177128, 1.4177129, 1.6620332", \
"1.4305941, 1.4358205, 1.4358206, 1.4358207, 1.4358208, 1.4358209, 1.6339324", \
"1.4412848, 1.4414165, 1.4414166, 1.4414167, 1.4414169, 1.4414170, 1.6049523", \
"1.4427604, 1.4455952, 1.4462705, 1.4462706, 1.4462707, 1.4462708, 1.6140254", \
"1.4479451, 1.4479452, 1.4479453, 1.4479455, 1.4479456, 1.4479457, 1.6062736", \
"1.4362088, 1.4374241, 1.4377101, 1.4377102, 1.4377103, 1.4377104, 1.6029728");
}
fall_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_disable";
timing_sense : "positive_unate";
when : "( DM[2] & DM[1] & DM[0] & !SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("2.9286795, 2.9298362, 2.9298364, 2.9298367, 2.9298369, 2.9298372, 2.9298374", \
"2.9689413, 3.0010943, 3.0010945, 3.0010947, 3.0010950, 3.0010952, 3.0010955", \
"2.9991998, 2.9999538, 2.9999539, 2.9999542, 2.9999544, 2.9999546, 2.9999549", \
"3.0316141, 3.0316142, 3.0316145, 3.0316147, 3.0316149, 3.0316152, 3.0316154", \
"3.0330436, 3.0369642, 3.0369643, 3.0369646, 3.0369648, 3.0369651, 3.0369653", \
"3.0417284, 3.0433847, 3.0433850, 3.0433852, 3.0433854, 3.0433857, 3.0433859", \
"3.0355314, 3.0378378, 3.0378379, 3.0378382, 3.0378384, 3.0378386, 3.0378389");
}
rise_transition (scalar) {
values("0.0000000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("2.6575762, 2.6725802, 2.6725804, 2.6725806, 2.6725809, 2.6725811, 2.7459282", \
"2.7392961, 2.7392964, 2.7450147, 2.7450148, 2.7450151, 2.7450153, 2.7450156", \
"2.7781342, 2.7813560, 2.7813563, 2.7813565, 2.7813567, 2.7813570, 2.7813572", \
"2.7926528, 2.7946152, 2.7946154, 2.7946157, 2.7946159, 2.7946162, 2.7946164", \
"2.7923039, 2.7948717, 2.7948720, 2.7948722, 2.7948725, 2.7948727, 2.7948729", \
"2.7947480, 2.7963787, 2.7963788, 2.7963790, 2.7963793, 2.7963795, 2.7963797", \
"2.7925358, 2.7925360, 2.8059808, 2.8059809, 2.8059811, 2.8059813, 2.8059816");
}
fall_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OUT";
timing_type : "three_state_enable_rise";
timing_sense : "positive_unate";
when : "( !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("1.8128771, 1.8266378, 1.9005124, 2.1687363, 3.0865968, 7.6620328, 25.6236670", \
"1.8821675, 1.8959694, 1.9693625, 2.2378115, 3.1558860, 7.7304838, 25.6911360", \
"1.9079796, 1.9219195, 1.9953372, 2.2637106, 3.1816672, 7.7566487, 25.7159560", \
"1.9201742, 1.9342996, 2.0076572, 2.2757394, 3.1931544, 7.7677571, 25.7281930", \
"1.9250719, 1.9389386, 2.0124853, 2.2804831, 3.1987810, 7.7728917, 25.7337010", \
"1.9243824, 1.9382653, 2.0120867, 2.2804989, 3.1979892, 7.7741609, 25.7313940", \
"1.9212485, 1.9346216, 2.0086814, 2.2766264, 3.1948049, 7.7678850, 25.7274360");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("0.7785584, 0.7816680, 0.7931645, 0.7854794, 1.7339006, 7.0802253, 27.3406790", \
"0.7785035, 0.7815885, 0.7930755, 0.7854207, 1.7357691, 7.0874515, 27.3401390", \
"0.7784558, 0.7815705, 0.7930326, 0.7855782, 1.7344629, 7.0876371, 27.3542470", \
"0.7782852, 0.7810603, 0.7932267, 0.7852766, 1.7328818, 7.0833135, 27.3521720", \
"0.7785738, 0.7811318, 0.7930930, 0.7852463, 1.7359029, 7.0847519, 27.3415600", \
"0.7788464, 0.7811182, 0.7930069, 0.7845753, 1.7348291, 7.0887332, 27.3385150", \
"0.7783305, 0.7807306, 0.7932921, 0.7843499, 1.7388958, 7.0862289, 27.3434480");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_disable_rise";
timing_sense : "positive_unate";
when : "( DM[2] & !DM[1] & !DM[0] & SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("1.6260993, 1.6430560, 1.6430561, 1.6430563, 1.6430564, 1.6430565, 1.7912555", \
"1.6975262, 1.6975263, 1.6975264, 1.6999703, 1.6999704, 1.7002231, 1.8341967", \
"1.6998206, 1.7228210, 1.7228211, 1.7228212, 1.7256198, 1.7272912, 1.8476444", \
"1.7343551, 1.7343552, 1.7343553, 1.7343554, 1.7359425, 1.7397189, 1.8545606", \
"1.7524621, 1.7524623, 1.7524624, 1.7571055, 1.7571056, 1.7571057, 1.8657775", \
"1.7363743, 1.7384616, 1.7410066, 1.7464499, 1.7464501, 1.7464502, 1.8550114", \
"1.7420945, 1.7420946, 1.7420947, 1.7420949, 1.7420950, 1.7420951, 1.8426850");
}
rise_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_enable";
timing_sense : "negative_unate";
when : "( DM[2] & DM[1] & !DM[0] & !SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("1.8620553, 1.8761648, 1.9498472, 2.2179658, 3.1358687, 7.7096587, 25.6702290", \
"1.9928037, 2.0075746, 2.0812729, 2.3495559, 3.2679575, 7.8422158, 25.8016350", \
"2.0918862, 2.1052338, 2.1795017, 2.4475268, 3.3654162, 7.9406623, 25.9013110", \
"2.1715811, 2.1860471, 2.2600848, 2.5268854, 3.4429950, 8.0205051, 25.9821270", \
"2.2440452, 2.2579072, 2.3315245, 2.5927802, 3.5155745, 8.0905380, 26.0546890", \
"2.3103794, 2.3257718, 2.3994748, 2.6677587, 3.5847789, 8.1617291, 26.1183150", \
"2.3765987, 2.3841014, 2.4529616, 2.7249036, 3.6463004, 8.2203231, 26.1827640");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("0.7784332, 0.7812155, 0.7933298, 0.7854480, 1.7354660, 7.0848150, 27.3473880", \
"0.7784091, 0.7814592, 0.7931257, 0.7853058, 1.7380777, 7.0805397, 27.3480260", \
"0.7784839, 0.7815964, 0.7931210, 0.7850218, 1.7356798, 7.0884396, 27.3545150", \
"0.7782158, 0.7812120, 0.7932881, 0.7854493, 1.7370986, 7.0808510, 27.3482520", \
"0.7782419, 0.7812456, 0.7931738, 0.7850724, 1.7380407, 7.0890369, 27.3372190", \
"0.7784705, 0.7812955, 0.7930914, 0.7851361, 1.7371372, 7.0797861, 27.3557890", \
"0.7786316, 0.7811580, 0.7931655, 0.7836964, 1.7376379, 7.0860833, 27.3486180");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("1.8867214, 1.8957147, 1.9461537, 2.1982716, 3.1748510, 5.8597185, 14.9155230", \
"2.0186430, 2.0281217, 2.0785507, 2.3307039, 3.3065719, 5.9915704, 15.0481350", \
"2.1128943, 2.1254283, 2.1760278, 2.4284139, 3.4004524, 6.0890684, 15.1452770", \
"2.1976752, 2.2049296, 2.2567712, 2.5071687, 3.4845449, 6.1712022, 15.2230960", \
"2.2679323, 2.2774853, 2.3277273, 2.5795670, 3.5560510, 6.2416703, 15.2955340", \
"2.3364377, 2.3445064, 2.3965980, 2.6454412, 3.6189423, 6.3096128, 15.3685720", \
"2.3975644, 2.4055427, 2.4541131, 2.7122957, 3.6888548, 6.3719674, 15.4289360");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("0.4449251, 0.4486117, 0.4784777, 0.6534934, 1.4611755, 3.3842373, 13.2131910", \
"0.4451146, 0.4485649, 0.4779068, 0.6535315, 1.4606485, 3.3837200, 13.2275460", \
"0.4452229, 0.4486041, 0.4778938, 0.6526198, 1.4610088, 3.3853731, 13.2136040", \
"0.4451054, 0.4487259, 0.4788903, 0.6526130, 1.4598993, 3.3889873, 13.2134160", \
"0.4456177, 0.4491783, 0.4781008, 0.6528623, 1.4593211, 3.3915351, 13.2173290", \
"0.4466150, 0.4510916, 0.4786511, 0.6552083, 1.4609371, 3.3922056, 13.2170240", \
"0.4443444, 0.4492721, 0.4782673, 0.6531857, 1.4611845, 3.3924872, 13.2315580");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_enable";
timing_sense : "negative_unate";
when : "( DM[2] & DM[1] & !DM[0] & SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("1.8894790, 1.9137651, 2.0617759, 2.9531466, 8.0765510, 16.3198100, 35.7689100", \
"2.0212767, 2.0461521, 2.1924942, 3.0846292, 8.2082678, 16.4435720, 35.9064490", \
"2.1169517, 2.1434852, 2.2914466, 3.1823384, 8.3027736, 16.5405220, 36.0010010", \
"2.1999480, 2.2252202, 2.3715681, 3.2616350, 8.3854879, 16.6233910, 36.0895530", \
"2.2709918, 2.2941753, 2.4372688, 3.3333503, 8.4536707, 16.6836770, 36.1619890", \
"2.3390763, 2.3638975, 2.5114180, 3.3985346, 8.5239549, 16.7579850, 36.2206730", \
"2.3971212, 2.4279663, 2.5760678, 3.4666612, 8.5825365, 16.8211450, 36.2869130");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("1.5044002, 1.5486706, 1.8291243, 3.5665177, 7.9613747, 10.2925140, 28.2291110", \
"1.5045051, 1.5469069, 1.8267172, 3.5724985, 7.9612086, 10.2771580, 28.2194550", \
"1.5043924, 1.5465601, 1.8296931, 3.5733152, 7.9603870, 10.3135050, 28.2076440", \
"1.5040733, 1.5473116, 1.8297194, 3.5690693, 7.9626101, 10.3119260, 28.2106840", \
"1.5038869, 1.5496726, 1.8292916, 3.5741574, 7.9674178, 10.3269220, 28.2066850", \
"1.5024363, 1.5489955, 1.8277387, 3.5688192, 7.9623232, 10.3170680, 28.2065220", \
"1.5014189, 1.5483488, 1.8294531, 3.5714365, 7.9648839, 10.3222320, 28.1986400");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("2.1599826, 2.1837687, 2.3345279, 3.2587646, 8.4143004, 16.3855150, 31.8838890", \
"2.2916513, 2.3145663, 2.4669471, 3.3902543, 8.5465768, 16.5161480, 32.0167080", \
"2.3859681, 2.4131908, 2.5650750, 3.4842700, 8.6441840, 16.6145710, 32.1139700", \
"2.4698394, 2.4933902, 2.6445373, 3.5658045, 8.7251060, 16.6930270, 32.1953950", \
"2.5410569, 2.5617086, 2.7136966, 3.6410892, 8.7941752, 16.7659110, 32.2660010", \
"2.6107243, 2.6343097, 2.7856726, 3.7070569, 8.8649372, 16.8306770, 32.3329420", \
"2.6737390, 2.6997486, 2.8498757, 3.7738817, 8.9289571, 16.9037370, 32.3918560");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("1.5191024, 1.5642918, 1.8531222, 3.6350205, 8.7151886, 9.4374375, 19.4425900", \
"1.5191055, 1.5644088, 1.8526883, 3.6336998, 8.7175493, 9.4392769, 19.4350670", \
"1.5167929, 1.5636986, 1.8533606, 3.6342906, 8.7151921, 9.4392405, 19.4704320", \
"1.5167319, 1.5633266, 1.8531046, 3.6453034, 8.7149674, 9.4390362, 19.4308820", \
"1.5191601, 1.5639806, 1.8526600, 3.6373835, 8.7161887, 9.4411199, 19.4705980", \
"1.5184844, 1.5628824, 1.8507775, 3.6453138, 8.7182901, 9.4360217, 19.4687060", \
"1.5141409, 1.5636528, 1.8489267, 3.6388692, 8.7173957, 9.4352979, 19.4664120");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_disable";
timing_sense : "positive_unate";
when : "( DM[2] & DM[1] & !DM[0] & !SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("1.4013850, 1.4013851, 1.4014299, 1.4029121, 1.4029122, 1.4029124, 1.5884261", \
"1.4666349, 1.4666350, 1.4678078, 1.4726291, 1.4726292, 1.4726293, 1.6274962", \
"1.4917915, 1.4969777, 1.5003602, 1.5003604, 1.5003605, 1.5003606, 1.6234941", \
"1.5097810, 1.5097811, 1.5116387, 1.5116388, 1.5116389, 1.5116390, 1.6228981", \
"1.4910034, 1.4914298, 1.4914299, 1.4914300, 1.4914302, 1.4945388, 1.6236710", \
"1.4844745, 1.4844746, 1.4945176, 1.4964883, 1.4964884, 1.4964886, 1.6127217", \
"1.4993393, 1.4993395, 1.4993396, 1.5060110, 1.5060111, 1.5060112, 1.5814434");
}
rise_transition (scalar) {
values("0.0000000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("1.3526742, 1.3526744, 1.3599077, 1.3599079, 1.3599080, 1.3599081, 1.7075340", \
"1.4103606, 1.4106671, 1.4108523, 1.4118365, 1.4129866, 1.4205473, 1.6593499", \
"1.4325000, 1.4339097, 1.4339098, 1.4347056, 1.4347057, 1.4347058, 1.6345386", \
"1.4475906, 1.4476026, 1.4476027, 1.4477064, 1.4477065, 1.4477066, 1.6207888", \
"1.4474744, 1.4481162, 1.4481163, 1.4481164, 1.4481165, 1.4481167, 1.6135213", \
"1.4466898, 1.4497366, 1.4497367, 1.4497368, 1.4497369, 1.4497371, 1.6083821", \
"1.4441746, 1.4441748, 1.4441749, 1.4441750, 1.4441751, 1.4441752, 1.5953610");
}
fall_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OUT";
timing_type : "three_state_disable_fall";
timing_sense : "negative_unate";
when : "( !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("1.2525256, 1.2525257, 1.2525258, 1.2575558, 1.2575560, 1.2575561, 1.6630410", \
"1.3684938, 1.3684939, 1.3700376, 1.3700377, 1.3700378, 1.3700379, 1.6128551", \
"1.4583480, 1.4589490, 1.4590572, 1.4590573, 1.4590574, 1.4590576, 1.6495927", \
"1.5357803, 1.5366844, 1.5366845, 1.5366846, 1.5366847, 1.5366849, 1.6945318", \
"1.6049095, 1.6050488, 1.6050489, 1.6050490, 1.6050491, 1.6050492, 1.7519106", \
"1.6713911, 1.6720164, 1.6720165, 1.6720166, 1.6720167, 1.6720168, 1.8161047", \
"1.7350427, 1.7357000, 1.7357001, 1.7357002, 1.7357004, 1.7357005, 1.8799080");
}
fall_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_enable_fall";
timing_sense : "negative_unate";
when : "( DM[2] & !DM[1] & !DM[0] & !SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("1.8731192, 1.8819026, 1.9337387, 2.1880904, 3.2478042, 8.7829015, 36.2785250", \
"2.0053101, 2.0141229, 2.0655158, 2.3200692, 3.3800216, 8.9150962, 36.4116560", \
"2.1027085, 2.1111866, 2.1595294, 2.4171776, 3.4774235, 9.0112406, 36.5068970", \
"2.1839732, 2.1921445, 2.2442677, 2.4978313, 3.5552796, 9.0939114, 36.5896790", \
"2.2537423, 2.2601565, 2.3111830, 2.5694513, 3.6285737, 9.1577954, 36.6552510", \
"2.3241824, 2.3297504, 2.3833739, 2.6339451, 3.6974899, 9.2326822, 36.7147980", \
"2.3867248, 2.3964324, 2.4483859, 2.7024272, 3.7610994, 9.2892867, 36.7898070");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("0.4498067, 0.4533270, 0.4824080, 0.6579264, 1.7588353, 8.7046317, 30.1164180", \
"0.4497698, 0.4532826, 0.4823773, 0.6579349, 1.7586277, 8.7085770, 30.0248320", \
"0.4498045, 0.4542698, 0.4818044, 0.6588046, 1.7613400, 8.7163814, 30.0191230", \
"0.4497573, 0.4532678, 0.4829005, 0.6586069, 1.7591675, 8.6933456, 30.0141740", \
"0.4479960, 0.4544434, 0.4825095, 0.6626074, 1.7602465, 8.6992848, 30.0324460", \
"0.4481942, 0.4522210, 0.4823763, 0.6628892, 1.7598232, 8.7175538, 29.9825230", \
"0.4499795, 0.4542583, 0.4796565, 0.6591944, 1.7590204, 8.7092618, 30.0599550");
}
}
timing () {
related_pin : "OUT";
timing_type : "three_state_disable_fall";
timing_sense : "negative_unate";
when : "( !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("1.2520106, 1.2520107, 1.2524565, 1.2527143, 1.2555544, 1.2555545, 1.6523570", \
"1.3692685, 1.3692686, 1.3692688, 1.3692689, 1.3692690, 1.3692691, 1.5945827", \
"1.4606543, 1.4606544, 1.4606545, 1.4606546, 1.4606547, 1.4606548, 1.6442949", \
"1.5362281, 1.5366258, 1.5366259, 1.5366260, 1.5366261, 1.5366262, 1.6946827", \
"1.6054205, 1.6054206, 1.6054207, 1.6054208, 1.6054209, 1.6054211, 1.7519202", \
"1.6722161, 1.6722162, 1.6722163, 1.6722164, 1.6722165, 1.6722166, 1.8164287", \
"1.7358984, 1.7358985, 1.7358986, 1.7358987, 1.7358988, 1.7358990, 1.8772335");
}
fall_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_enable";
timing_sense : "negative_unate";
when : "( DM[2] & DM[1] & DM[0] & SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("7.1189938, 7.2995832, 8.4176728, 15.3040780, 57.8736350, 320.4868300, 1938.4959000", \
"7.2617707, 7.4330679, 8.5610434, 15.4405080, 58.0109930, 320.5457200, 1938.1978000", \
"7.3557449, 7.5291640, 8.6472740, 15.5865790, 58.0452380, 320.6157300, 1938.2344000", \
"7.4383593, 7.6057889, 8.7441565, 15.6642180, 58.1542490, 320.7049100, 1938.3333000", \
"7.5022983, 7.6916997, 8.8055954, 15.6955360, 58.2473730, 320.6137400, 1938.1903000", \
"7.5767366, 7.7614466, 8.8745846, 15.7982870, 58.3201330, 320.6139600, 1939.4702000", \
"7.6279294, 7.8152924, 8.9352969, 15.8200370, 58.3824650, 320.7067300, 1938.0345000");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("11.8676760, 12.2274960, 14.4411250, 28.1765580, 113.0666100, 638.8702300, 3874.3055000", \
"11.8750870, 12.2165630, 14.4492200, 28.1893640, 113.1197500, 638.0876700, 3873.7517000", \
"11.8686780, 12.2274400, 14.4410970, 28.1510580, 113.4723200, 637.9256100, 3873.1919000", \
"11.8751850, 12.2372150, 14.4601150, 28.1435320, 113.3602200, 638.0820400, 3873.3610000", \
"11.8710610, 12.2329100, 14.4640420, 28.1870280, 113.4243100, 637.4261300, 3874.1737000", \
"11.8704840, 12.2328290, 14.4550450, 28.1371510, 113.5168500, 637.3747400, 3872.1291000", \
"11.8721760, 12.2328200, 14.4624650, 28.1644060, 113.5174400, 637.3856500, 3872.1982000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("7.3769293, 7.5562898, 8.6746628, 15.6077640, 58.3009230, 322.1759400, 1944.8207000", \
"7.5066353, 7.6866522, 8.8267811, 15.7469490, 58.4249410, 322.2490600, 1944.7673000", \
"7.6336804, 7.8157329, 8.9102716, 15.8400470, 58.6610010, 322.0188200, 1945.1561000", \
"7.6864026, 7.8915887, 8.9933563, 15.9192940, 58.6049720, 321.7461400, 1944.9894000", \
"7.7577624, 7.9586178, 9.0625658, 15.9931750, 58.7936240, 322.5601100, 1946.4981000", \
"7.8230764, 8.0222167, 9.1562056, 16.0917080, 58.8333470, 322.5274300, 1947.4362000", \
"7.8850153, 8.0945810, 9.1965644, 16.1241300, 58.8165110, 322.1645100, 1944.7893000");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("11.7034790, 12.0609770, 14.2618250, 28.0786130, 113.5456900, 639.9190500, 3884.5355000", \
"11.6829020, 12.0606460, 14.2904200, 28.0858220, 113.4947700, 639.1478000, 3886.6401000", \
"11.6982160, 12.0575860, 14.2959770, 28.0696230, 113.5802800, 640.4184800, 3886.8557000", \
"11.7025780, 12.0654300, 14.2974340, 28.0757890, 113.6085400, 640.1354000, 3885.9275000", \
"11.7031480, 12.0601310, 14.2785870, 28.0401600, 113.6250300, 639.6567200, 3883.3041000", \
"11.6980960, 12.0649110, 14.3008100, 28.0836310, 113.6807400, 638.9888700, 3885.4901000", \
"11.7004540, 12.0665660, 14.2969240, 28.0813400, 113.3983900, 639.8629500, 3888.0953000");
}
}
timing () {
related_pin : "OUT";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("1.8121006, 1.8256895, 1.8985842, 2.1661224, 3.0858309, 7.6712006, 25.6441570", \
"1.8807162, 1.8946567, 1.9672175, 2.2350182, 3.1545095, 7.7401623, 25.7123190", \
"1.9072008, 1.9209314, 1.9937636, 2.2613171, 3.1810292, 7.7670821, 25.7390540", \
"1.9191770, 1.9328938, 2.0054076, 2.2733497, 3.1930540, 7.7772606, 25.7511110", \
"1.9240023, 1.9374626, 2.0102384, 2.2778228, 3.1973588, 7.7818001, 25.7523070", \
"1.9239730, 1.9372648, 2.0101094, 2.2777757, 3.1979972, 7.7840117, 25.7531310", \
"1.9201458, 1.9336062, 2.0064166, 2.2741802, 3.1939813, 7.7770208, 25.7374990");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("0.7734202, 0.7768170, 0.7882450, 0.7814910, 1.7395616, 7.0982580, 27.3498980", \
"0.7733055, 0.7764114, 0.7882084, 0.7818011, 1.7390790, 7.1006845, 27.3465570", \
"0.7733908, 0.7763650, 0.7882205, 0.7818597, 1.7419597, 7.0949322, 27.3443560", \
"0.7738124, 0.7762041, 0.7883163, 0.7801252, 1.7378915, 7.0988686, 27.3431680", \
"0.7736242, 0.7760578, 0.7880117, 0.7815326, 1.7381026, 7.0958230, 27.3428690", \
"0.7733784, 0.7763961, 0.7881984, 0.7809174, 1.7398679, 7.1006228, 27.3282530", \
"0.7734465, 0.7759373, 0.7879202, 0.7806988, 1.7434667, 7.0978312, 27.3183180");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("7.5083229, 7.6977669, 8.8639940, 15.9299670, 59.0940420, 322.8873600, 1945.6902000", \
"7.6476381, 7.8325328, 9.0003853, 16.1063730, 59.1260370, 322.6007300, 1945.3025000", \
"7.7328375, 7.9479809, 9.0803756, 16.1530910, 59.2994030, 322.4667500, 1945.4142000", \
"7.8168449, 8.0096569, 9.1750856, 16.2478210, 59.3595080, 322.7897000, 1945.9103000", \
"7.8828855, 8.1049736, 9.2346149, 16.3080910, 59.4878670, 323.3139700, 1945.2898000", \
"7.9506570, 8.1416722, 9.2964498, 16.3944180, 59.3272170, 322.9761200, 1946.2541000", \
"8.0188665, 8.2343004, 9.3599315, 16.4376780, 59.4286040, 323.2107400, 1946.8571000");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("11.6907920, 12.0596410, 14.2871910, 28.0419400, 113.3350900, 639.0514500, 3885.8066000", \
"11.6914520, 12.0595030, 14.2695780, 28.0804850, 113.6917300, 639.8393000, 3885.4100000", \
"11.7076360, 12.0630730, 14.2874940, 28.0800500, 113.6117300, 639.7207200, 3885.5196000", \
"11.6687080, 12.0495870, 14.2929240, 28.0738860, 113.6445700, 640.3632300, 3885.8839000", \
"11.6788220, 12.0551350, 14.2762590, 28.0257380, 113.2073000, 639.1790600, 3884.1686000", \
"11.6822510, 12.0374120, 14.2759350, 28.0364160, 113.2033600, 639.8743700, 3886.0794000", \
"11.6670640, 12.0567090, 14.2701600, 28.0276100, 113.2879100, 640.1495500, 3886.0171000");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_enable";
timing_sense : "negative_unate";
when : "( !DM[2] & DM[1] & DM[0] & SLOW )";
sdf_cond : " DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("1.8895172, 1.9137998, 2.0618312, 2.9529255, 8.0761406, 16.3188840, 35.7744840", \
"2.0210653, 2.0453002, 2.1939561, 3.0850669, 8.2059879, 16.4453230, 35.9004030", \
"2.1151594, 2.1434141, 2.2907012, 3.1825493, 8.3054932, 16.5402360, 36.0027530", \
"2.1994389, 2.2246391, 2.3727271, 3.2607683, 8.3862544, 16.6229950, 36.0817080", \
"2.2712812, 2.2952815, 2.4419597, 3.3316725, 8.4538412, 16.6932100, 36.1608700", \
"2.3391551, 2.3578541, 2.5117108, 3.4029661, 8.5197982, 16.7580950, 36.2322120", \
"2.3998386, 2.4286626, 2.5749967, 3.4668100, 8.5896881, 16.8188060, 36.2789120");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("1.5043041, 1.5485302, 1.8290242, 3.5742136, 7.9733908, 10.2898040, 28.2051290", \
"1.5038658, 1.5460219, 1.8267514, 3.5684344, 7.9632860, 10.2856420, 28.1761870", \
"1.5042350, 1.5484789, 1.8290566, 3.5738366, 7.9643510, 10.3117890, 28.2076580", \
"1.5035703, 1.5462024, 1.8296108, 3.5736374, 7.9611162, 10.3148960, 28.2215210", \
"1.5037254, 1.5485381, 1.8291703, 3.5701770, 7.9674027, 10.3125760, 28.2183720", \
"1.5039793, 1.5483165, 1.8285912, 3.5726075, 7.9773592, 10.3159670, 28.2135100", \
"1.5015786, 1.5483839, 1.8293878, 3.5711540, 7.9665252, 10.3103410, 28.2105630");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("7.3760735, 7.5576789, 8.6810710, 15.6073790, 58.2963080, 322.1704800, 1944.9644000", \
"7.5359239, 7.6884179, 8.8130729, 15.7480590, 58.5565130, 322.3065100, 1944.9508000", \
"7.6308266, 7.7867766, 8.9072438, 15.8310020, 58.6626780, 321.9651600, 1944.7850000", \
"7.6863165, 7.8694455, 8.9938812, 15.9162050, 58.7254940, 322.4708400, 1945.0854000", \
"7.7795725, 7.9396498, 9.0640340, 15.9751500, 58.8001930, 322.5573300, 1946.8750000", \
"7.8234565, 8.0087439, 9.1290389, 16.0894210, 58.8205110, 322.6388400, 1944.3410000", \
"7.8896187, 8.0677980, 9.1985823, 16.1138320, 58.8249240, 322.6863600, 1945.4792000");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("11.7030510, 12.0636870, 14.2954260, 28.0725330, 113.5303600, 640.0385900, 3885.3764000", \
"11.6968660, 12.0636960, 14.2952750, 28.0801680, 113.6082400, 640.0094900, 3886.6729000", \
"11.6941030, 12.0627780, 14.2942510, 28.0625640, 113.6102900, 640.4520100, 3885.7165000", \
"11.7021140, 12.0642590, 14.2972510, 28.0725510, 113.6302400, 639.8776100, 3886.6378000", \
"11.6980190, 12.0629220, 14.2847240, 28.0183930, 113.6099900, 640.0365500, 3886.9975000", \
"11.7013930, 12.0640410, 14.2970650, 28.0838520, 113.6984800, 639.9868700, 3885.8725000", \
"11.7017010, 12.0464310, 14.2949300, 28.0213220, 113.4368400, 640.0313200, 3885.9575000");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_enable";
timing_sense : "negative_unate";
when : "( !DM[2] & DM[1] & !DM[0] & SLOW )";
sdf_cond : " DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("7.1202271, 7.3065074, 8.4276390, 15.3001680, 57.8414120, 320.4124500, 1938.1050000", \
"7.2526611, 7.4381217, 8.5674561, 15.4442240, 57.9786740, 320.4979500, 1938.1712000", \
"7.3578552, 7.5361450, 8.6644727, 15.5396440, 58.0935050, 320.5369400, 1939.4886000", \
"7.4320758, 7.6127380, 8.7461549, 15.6556260, 58.1620510, 320.3736300, 1938.4986000", \
"7.5106774, 7.6929751, 8.7920986, 15.7038220, 58.2173490, 321.1050300, 1938.4058000", \
"7.5779365, 7.7392626, 8.8682031, 15.7874090, 58.3021990, 320.6369600, 1941.9294000", \
"7.6311562, 7.8261309, 8.9291081, 15.8245350, 58.3742050, 320.7124200, 1939.2676000");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("11.8739760, 12.2277020, 14.4661080, 28.1905950, 113.3720000, 638.0864800, 3875.4124000", \
"11.8757940, 12.2275820, 14.4564630, 28.1869990, 112.9953700, 638.0376500, 3873.3101000", \
"11.8715390, 12.2275430, 14.4558120, 28.1829370, 113.4567500, 637.4871800, 3873.1805000", \
"11.8768130, 12.2418940, 14.4559020, 28.1771920, 113.5061000, 637.7184100, 3874.8426000", \
"11.8721020, 12.2330460, 14.4604420, 28.1836620, 113.3739900, 638.4012200, 3872.9536000", \
"11.8712420, 12.2322220, 14.4608540, 28.2015580, 113.4426200, 637.7815100, 3872.7353000", \
"11.8516880, 12.2330700, 14.4467190, 28.1638690, 113.5169100, 637.4205000, 3875.0195000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("2.1600239, 2.1837678, 2.3348645, 3.2554099, 8.4149256, 16.3868460, 31.8840670", \
"2.2924871, 2.3157896, 2.4671165, 3.3898813, 8.5472305, 16.5139260, 32.0160860", \
"2.3898590, 2.4133897, 2.5649596, 3.4840704, 8.6425933, 16.6121460, 32.1134270", \
"2.4669680, 2.4952973, 2.6452915, 3.5662091, 8.7252712, 16.6932330, 32.1918460", \
"2.5398204, 2.5645310, 2.7179270, 3.6379983, 8.7891898, 16.7654620, 32.2660190", \
"2.6098430, 2.6345164, 2.7784874, 3.7121923, 8.8640828, 16.8384650, 32.3315490", \
"2.6697315, 2.6960714, 2.8509983, 3.7721968, 8.9273264, 16.9028120, 32.3972880");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("1.5189895, 1.5632993, 1.8530077, 3.6434802, 8.7148049, 9.4531174, 19.4279280", \
"1.5179531, 1.5622467, 1.8533181, 3.6396521, 8.7156904, 9.4376587, 19.4530810", \
"1.5169377, 1.5626307, 1.8531230, 3.6427581, 8.7148848, 9.4374073, 19.4792860", \
"1.5167647, 1.5601258, 1.8533750, 3.6452066, 8.7150429, 9.4396739, 19.3914080", \
"1.5146989, 1.5600322, 1.8504697, 3.6432910, 8.7149905, 9.4384704, 19.4030460", \
"1.5141580, 1.5626830, 1.8529638, 3.6455730, 8.7162517, 9.4376231, 19.4690380", \
"1.5165026, 1.5648164, 1.8516984, 3.6453598, 8.7151051, 9.4376169, 19.4193910");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_enable_rise";
timing_sense : "negative_unate";
when : "( DM[2] & !DM[1] & DM[0] & !SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("1.8624546, 1.8762532, 1.9497177, 2.2178310, 3.1359080, 7.7105682, 25.6710970", \
"1.9938535, 2.0085933, 2.0817523, 2.3499520, 3.2683107, 7.8430755, 25.8051130", \
"2.0887279, 2.1058593, 2.1793376, 2.4461939, 3.3656553, 7.9402076, 25.8987040", \
"2.1730213, 2.1839779, 2.2601407, 2.5283449, 3.4451453, 8.0185405, 25.9814930", \
"2.2440816, 2.2565325, 2.3281508, 2.5953191, 3.5177838, 8.0887697, 26.0490030", \
"2.3124065, 2.3259006, 2.3995836, 2.6674036, 3.5829846, 8.1606464, 26.1205970", \
"2.3759281, 2.3799891, 2.4630085, 2.7325171, 3.6507413, 8.2253964, 26.2002190");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("0.7782653, 0.7811575, 0.7932751, 0.7853883, 1.7353367, 7.0883455, 27.3425350", \
"0.7784411, 0.7812095, 0.7931006, 0.7855417, 1.7381035, 7.0867179, 27.3374510", \
"0.7784209, 0.7810901, 0.7931112, 0.7854187, 1.7374918, 7.0883730, 27.3537830", \
"0.7784700, 0.7810813, 0.7932788, 0.7855413, 1.7375749, 7.0872099, 27.3423240", \
"0.7782136, 0.7810527, 0.7931385, 0.7851680, 1.7372842, 7.0852506, 27.3349720", \
"0.7784106, 0.7811075, 0.7939320, 0.7856111, 1.7374938, 7.0866606, 27.3457220", \
"0.7784833, 0.7810820, 0.7931286, 0.7836010, 1.7378913, 7.0855806, 27.3395510");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_enable";
timing_sense : "negative_unate";
when : "( DM[2] & DM[1] & DM[0] & !SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("7.1297883, 7.3000139, 8.4142036, 15.3249310, 57.8702920, 320.3730000, 1939.2739000", \
"7.2618351, 7.4246493, 8.5491312, 15.4407580, 57.9914180, 320.7371700, 1939.1205000", \
"7.3568120, 7.5287518, 8.6569480, 15.5717220, 58.0773190, 320.8495200, 1938.6066000", \
"7.4372033, 7.6122362, 8.7356122, 15.6623760, 58.1722400, 320.6941400, 1938.2321000", \
"7.5001960, 7.6937354, 8.8122878, 15.6918320, 58.2438670, 320.7090100, 1939.2178000", \
"7.5752382, 7.7492555, 8.8749363, 15.7590150, 58.3426110, 320.6410500, 1938.1159000", \
"7.6332460, 7.8267224, 8.9340917, 15.8166550, 58.3788520, 320.7316300, 1938.5795000");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("11.8759970, 12.2293950, 14.4568880, 28.1946590, 113.0286500, 638.1288800, 3872.6071000", \
"11.8754660, 12.2416440, 14.4532290, 28.1702570, 113.5073900, 637.7166000, 3874.6393000", \
"11.8631200, 12.2361930, 14.4536840, 28.1772540, 113.4371400, 637.4313000, 3874.6821000", \
"11.8576350, 12.2231700, 14.4510110, 28.1617750, 113.4351100, 638.0471400, 3873.2192000", \
"11.8507070, 12.2330140, 14.4416090, 28.1872520, 113.4540100, 638.0273600, 3873.3751000", \
"11.8606260, 12.2326310, 14.4603470, 28.1726070, 113.4751900, 637.3607600, 3874.1032000", \
"11.8563860, 12.2331640, 14.4587690, 28.1632570, 113.5176300, 637.4342000, 3874.1546000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("7.3772242, 7.5563378, 8.6727274, 15.6001820, 58.3002910, 322.1943500, 1944.7318000", \
"7.5068920, 7.6906224, 8.8153245, 15.7465100, 58.5305920, 322.0472700, 1945.0436000", \
"7.6072304, 7.7857605, 8.9045198, 15.8370760, 58.6311480, 322.0236800, 1945.1170000", \
"7.6855970, 7.8679854, 9.0077483, 15.9068600, 58.7338140, 321.7780000, 1945.1163000", \
"7.7569924, 7.9377767, 9.0627372, 15.9842890, 58.6688460, 322.5273400, 1945.5053000", \
"7.8530862, 8.0049611, 9.1247365, 16.0522420, 58.8322600, 322.5214000, 1945.2466000", \
"7.8867277, 8.0729485, 9.2036974, 16.1279810, 58.8895120, 322.4769800, 1944.7070000");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("11.7033050, 12.0611720, 14.2583280, 28.0694440, 113.5410100, 639.8539700, 3886.6347000", \
"11.7012130, 12.0650380, 14.2738480, 28.0846530, 113.6601900, 639.9164400, 3884.7370000", \
"11.7008860, 12.0629290, 14.2654870, 28.0681490, 113.6660800, 640.4076200, 3889.0917000", \
"11.7020040, 12.0631110, 14.2932680, 28.0412760, 113.6166100, 639.7471600, 3886.1917000", \
"11.6933550, 12.0603880, 14.2963770, 28.0739770, 113.4293400, 639.5499100, 3886.4964000", \
"11.6958020, 12.0604950, 14.2587060, 28.0095080, 113.6809700, 638.9955200, 3890.8374000", \
"11.6984010, 12.0588320, 14.2946620, 28.0816100, 113.7044500, 640.1641000, 3887.2868000");
}
}
timing () {
related_pin : "OUT";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("1.8652233, 1.8897823, 2.0396917, 2.9395950, 8.0827256, 16.2959370, 35.7364980", \
"1.9343067, 1.9589015, 2.1086430, 3.0087541, 8.1521039, 16.3642880, 35.7878630", \
"1.9605435, 1.9852970, 2.1349946, 3.0352394, 8.1755260, 16.3912470, 35.8145870", \
"1.9724928, 1.9971911, 2.1469788, 3.0476310, 8.1880245, 16.3925620, 35.8601860", \
"1.9771642, 2.0017463, 2.1514959, 3.0509899, 8.1944398, 16.4006640, 35.8598060", \
"1.9770408, 2.0016000, 2.1513842, 3.0512979, 8.1920515, 16.4054320, 35.8581250", \
"1.9734838, 1.9978945, 2.1479611, 3.0476091, 8.1890494, 16.4009360, 35.8516270");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("1.5032332, 1.5485965, 1.8288745, 3.5870515, 7.9143092, 10.2133590, 28.1952580", \
"1.5058939, 1.5488513, 1.8296918, 3.5806813, 7.9157747, 10.2321280, 28.1496360", \
"1.5061457, 1.5483022, 1.8305215, 3.5815135, 7.9213907, 10.2747840, 28.1501520", \
"1.5050979, 1.5493679, 1.8292464, 3.5887060, 7.9312965, 10.2941040, 28.1848380", \
"1.5038558, 1.5486179, 1.8287124, 3.5821621, 7.9174267, 10.2780400, 28.1816400", \
"1.5049462, 1.5504201, 1.8289673, 3.5875454, 7.9181133, 10.2556430, 28.2226300", \
"1.5041758, 1.5511223, 1.8292436, 3.5852807, 7.9309670, 10.2567080, 28.2028780");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("2.0347981, 2.0605990, 2.2163202, 3.1553147, 8.3358920, 16.2388560, 31.7245470", \
"2.1673270, 2.1925759, 2.3478897, 3.2866154, 8.4690823, 16.3716720, 31.8559860", \
"2.2647548, 2.2900797, 2.4455145, 3.3880563, 8.5665377, 16.4686080, 31.9523860", \
"2.3460756, 2.3718150, 2.5274254, 3.4688576, 8.6470413, 16.5499760, 32.0357360", \
"2.4167710, 2.4419871, 2.5976516, 3.5393841, 8.7177288, 16.6192230, 32.1047720", \
"2.4844469, 2.5089641, 2.6644436, 3.6098995, 8.7850367, 16.6903650, 32.1704330", \
"2.5488287, 2.5753113, 2.7305245, 3.6746550, 8.8496108, 16.7509380, 32.2411220");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("1.5132391, 1.5629588, 1.8476195, 3.6439787, 8.6605292, 9.4107016, 19.3909810", \
"1.5164604, 1.5653736, 1.8531455, 3.6447989, 8.6607823, 9.4117105, 19.3787080", \
"1.5151882, 1.5646358, 1.8529410, 3.6466752, 8.6618126, 9.4241788, 19.3720670", \
"1.5125857, 1.5643692, 1.8484575, 3.6424499, 8.6609635, 9.4103534, 19.4012180", \
"1.5178568, 1.5617568, 1.8486062, 3.6399179, 8.6601197, 9.4128501, 19.4106300", \
"1.5176322, 1.5646331, 1.8495249, 3.6444912, 8.6649390, 9.4275938, 19.3878900", \
"1.5178998, 1.5622392, 1.8521683, 3.6439989, 8.6642444, 9.4105053, 19.3950740");
}
}
timing () {
related_pin : "OUT";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("7.2596658, 7.4388824, 8.5736227, 15.5810390, 58.4414970, 321.1420700, 1941.2651000", \
"7.3283681, 7.5159345, 8.6492919, 15.6189510, 58.4471810, 321.2165000, 1938.9927000", \
"7.3676110, 7.5369009, 8.6691667, 15.6487530, 58.5125330, 321.5401700, 1939.0063000", \
"7.3700535, 7.5585840, 8.6799679, 15.6997770, 58.4965600, 321.9464900, 1939.3199000", \
"7.3693416, 7.5697413, 8.6877572, 15.6497110, 58.5108710, 321.5637900, 1938.5332000", \
"7.3785404, 7.5616295, 8.6966239, 15.6685010, 58.4922400, 321.3755500, 1938.7896000", \
"7.3789246, 7.5633846, 8.6848138, 15.6688300, 58.5111690, 321.2467200, 1943.1388000");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("11.8622920, 12.2126660, 14.5381070, 28.1292620, 113.4570000, 637.7951500, 3872.4647000", \
"11.8849130, 12.2432660, 14.4606530, 28.1810560, 113.3038600, 637.7576900, 3873.0063000", \
"11.8742070, 12.2298630, 14.4859980, 28.1963080, 113.4458500, 637.4555100, 3873.0032000", \
"11.8539340, 12.2349300, 14.4543990, 28.1575190, 113.4389000, 637.5859700, 3873.1291000", \
"11.8717520, 12.2306210, 14.4428860, 28.1897190, 113.4976500, 638.1465600, 3872.0177000", \
"11.8690810, 12.2000850, 14.4497380, 28.1689920, 113.4752500, 637.5233900, 3874.1782000", \
"11.8725840, 12.2229790, 14.4519310, 28.1824240, 113.4290000, 637.4448800, 3872.8444000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("1.9951640, 2.0203774, 2.1720348, 3.0904552, 8.2490459, 16.2187650, 31.7153770", \
"2.1277666, 2.1529565, 2.3023587, 3.2231213, 8.3816305, 16.3474430, 31.8479290", \
"2.2250535, 2.2503071, 2.4017290, 3.3203362, 8.4788390, 16.4455240, 31.9451240", \
"2.3063009, 2.3316298, 2.4832241, 3.4011489, 8.5601881, 16.5311910, 32.0252020", \
"2.3769990, 2.4009925, 2.5523268, 3.4769234, 8.6293195, 16.5955520, 32.0961710", \
"2.4453315, 2.4696469, 2.6209765, 3.5462218, 8.6975804, 16.6679290, 32.1659320", \
"2.5082776, 2.5336684, 2.6862386, 3.6046142, 8.7623491, 16.7293270, 32.2259840");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
values("1.5167686, 1.5652896, 1.8493629, 3.6440817, 8.7127328, 9.4386428, 19.3999610", \
"1.5170086, 1.5653493, 1.8534861, 3.6437418, 8.7123428, 9.4357011, 19.4023110", \
"1.5171393, 1.5656108, 1.8498020, 3.6437440, 8.7123420, 9.4515924, 19.4039260", \
"1.5157781, 1.5650060, 1.8511177, 3.6452261, 8.7123126, 9.4430872, 19.4175730", \
"1.5189611, 1.5660307, 1.8503145, 3.6411694, 8.7133190, 9.4361541, 19.4256630", \
"1.5174976, 1.5650847, 1.8524501, 3.6457803, 8.7146370, 9.4359480, 19.3976530", \
"1.5153659, 1.5646449, 1.8503439, 3.6441563, 8.7172651, 9.4362571, 19.4153050");
}
}
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("9.3513895, 9.4226411, 9.4938927, 9.4832083, 9.4729514, 9.4622671, 9.4515827");
}
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-9.0664759, -9.1418917, -9.2173075, -9.2123390, -9.2075692, -9.2026007, -9.1976322");
}
}
ccsn_first_stage () {
is_needed : "true";
stage_type : "both";
is_inverting : "true";
/* when : "( OE_N & !IB_MODE_SEL & !VTRIP_SEL )"; */
miller_cap_fall : "0.483766";
miller_cap_rise : "0.0244361";
dc_current ("ccsn_dc") {
index_1("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
index_2("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
values("117.724, 47.6637, 6.77422, 3.48214, 3.44385, 3.42195, 3.39757, 3.36998, 3.33809, 3.30015, 3.25314, 3.19137, 3.1034, 2.97396, 2.80802, 2.61844, 2.40833, 2.17802, 1.92751, 1.65673, 1.36567, 1.05436, 0.722885, 0.371366, -2.66242e-06, -0.778457, -1.60229, -17.103, -47.5312", \
"117.697, 47.6149, 6.71564, 3.36119, 3.32488, 3.30423, 3.28136, 3.25564, 3.22613, 3.19139, 3.14896, 3.09435, 3.01849, 2.9055, 2.75124, 2.57005, 2.36742, 2.14404, 1.89994, 1.63507, 1.3494, 1.04295, 0.715798, 0.368084, -2.64665e-06, -0.77295, -1.59367, -17.1004, -47.5282", \
"117.684, 47.5758, 6.66749, 3.2614, 3.22662, 3.20695, 3.18524, 3.16093, 3.13321, 3.10083, 3.06172, 3.01216, 2.94473, 2.84495, 2.70196, 2.52827, 2.33211, 2.11471, 1.87614, 1.61637, 1.33534, 1.03309, 0.709679, 0.365252, -2.44202e-07, -0.768203, -1.58625, -17.0983, -47.5256", \
"117.68, 47.5126, 6.56861, 3.05275, 3.02092, 3.00312, 2.98361, 2.96197, 2.93757, 2.90948, 2.87622, 2.83525, 2.78174, 2.70563, 2.59017, 2.43486, 2.25332, 2.04925, 1.82303, 1.57462, 1.30396, 1.01107, 0.696013, 0.358921, -2.65846e-06, -0.757632, -1.56983, -17.0935, -47.5199", \
"117.727, 47.48, 6.463, 2.66608, 2.63878, 2.62394, 2.6079, 2.59036, 2.57093, 2.54906, 2.52392, 2.49419, 2.45757, 2.40968, 2.34102, 2.23424, 2.08648, 1.9108, 1.71062, 1.48621, 1.23749, 0.964428, 0.667059, 0.345512, -2.65494e-06, -0.735373, -1.53558, -17.0836, -47.5083", \
"117.768, 47.4842, 6.45786, 2.49507, 2.44698, 2.43343, 2.41888, 2.40308, 2.38572, 2.36635, 2.34436, 2.31878, 2.28797, 2.24901, 2.19578, 2.11475, 1.99034, 1.83146, 1.6462, 1.43551, 1.19936, 0.937659, 0.650441, 0.337819, -1.01391e-07, -0.722688, -1.51626, -17.078, -47.5018", \
"117.816, 47.4929, 6.48285, 2.47119, 2.25519, 2.24281, 2.22963, 2.2154, 2.19988, 2.18272, 2.16345, 2.14133, 2.11522, 2.0831, 2.04103, 1.98026, 1.88313, 1.74418, 1.57539, 1.37976, 1.15739, 0.908198, 0.632151, 0.329349, -1.73013e-08, -0.708792, -1.49529, -17.072, -47.4949", \
"117.866, 47.5059, 6.53297, 2.57756, 2.06282, 2.05116, 2.03916, 2.0272, 2.01383, 1.99863, 1.98172, 1.96256, 1.94031, 1.91358, 1.87977, 1.83346, 1.76183, 1.64759, 1.49731, 1.31826, 1.11108, 0.875665, 0.61195, 0.319995, -3.19419e-08, -0.693536, -1.47247, -17.0656, -47.4875", \
"117.915, 47.5362, 6.59992, 2.73072, 1.84238, 1.77632, 1.76157, 1.74888, 1.74888, 1.74888, 1.74888, 1.74888, 1.74888, 1.74171, 1.71421, 1.67819, 1.6258, 1.53951, 1.4109, 1.2502, 1.05979, 0.839617, 0.589559, 0.309627, -3.18892e-08, -0.67673, -1.44759, -17.0586, -47.4794", \
"117.961, 47.5725, 6.67129, 2.86139, 1.62172, 1.35795, 1.30163, 1.28114, 1.28114, 1.28114, 1.28114, 1.28114, 1.28114, 1.28114, 1.28114, 1.28114, 1.28114, 1.28114, 1.28114, 1.17462, 1.00278, 0.799523, 0.564643, 0.298089, -2.61518e-08, -0.658156, -1.42039, -17.0511, -47.4708", \
"118, 47.6049, 6.73593, 2.95719, 1.41545, 0.940422, 0.736981, 0.685053, 0.666287, 0.666287, 0.666287, 0.666287, 0.666287, 0.666287, 0.666287, 0.666287, 0.666287, 0.666287, 0.666287, 0.666287, 0.666287, 0.666287, 0.536798, 0.285189, -3.17744e-08, -0.637548, -1.39057, -17.0429, -47.4615", \
"118.033, 47.6293, 6.79152, 3.02248, 1.22136, 0.565701, 0.167354, 0.0141882, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.61459, -1.3577, -17.0339, -47.4514", \
"118.062, 47.6456, 6.83749, 3.06279, 1.03782, 0.229606, -0.346727, -0.669187, -0.782507, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -1.32088, -17.0242, -47.4405", \
"118.084, 47.655, 6.8744, 3.08312, 0.863965, -0.0738448, -0.802852, -1.30125, -1.54567, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -17.0135, -47.4287", \
"118.1, 47.6577, 6.9035, 3.08796, 0.699596, -0.349102, -1.20879, -1.86153, -2.2744, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -17.0014, -47.4157", \
"118.109, 47.6551, 6.9277, 3.08148, 0.545148, -0.599032, -1.57112, -2.35633, -2.92922, -3.24435, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -17.0058, -47.4015", \
"118.11, 47.649, 6.9435, 3.06786, 0.401795, -0.825021, -1.89427, -2.79361, -3.50403, -3.98301, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -17.9768, -49.019", \
"118.105, 47.641, 6.95794, 3.05166, 0.271665, -1.02693, -2.18053, -3.17872, -4.00667, -4.63431, -4.98382, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -19.0116, -51.5491", \
"118.098, 47.6333, 6.97272, 3.03839, 0.158257, -1.20283, -2.4299, -3.51407, -4.44332, -5.19653, -5.71179, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -19.9748, -53.7508", \
"118.092, 47.6294, 6.99242, 3.03509, 0.066873, -1.34867, -2.63985, -3.79902, -4.81619, -5.67567, -6.33521, -6.67773, -6.73191, -6.73191, -6.73191, -6.73191, -6.73191, -6.73191, -6.73191, -6.73191, -6.73191, -6.73191, -6.73191, -6.73191, -6.73191, -6.73191, -6.73191, -20.8502, -55.6158", \
"118.096, 47.6359, 7.02596, 3.05436, 0.00831328, -1.45472, -2.80189, -4.02658, -5.12035, -6.0709, -6.84921, -7.35609, -7.53584, -7.53584, -7.53584, -7.53584, -7.53584, -7.53584, -7.53584, -7.53584, -7.53584, -7.53584, -7.53584, -7.53584, -7.53584, -7.53584, -7.53584, -21.6371, -57.168", \
"118.135, 47.6671, 7.09016, 3.11723, 2.25763e-05, -1.50521, -2.90202, -4.18469, -5.34598, -6.37594, -7.25345, -7.90314, -8.23778, -8.29965, -8.29965, -8.29965, -8.29965, -8.29965, -8.29965, -8.29965, -8.29965, -8.29965, -8.29965, -8.29965, -8.29965, -8.29965, -8.29965, -22.3497, -58.447", \
"118.178, 47.7003, 7.15575, 3.18133, 2.51383e-08, -1.54283, -2.98387, -4.31814, -5.53941, -6.63942, -7.60195, -8.36955, -8.86123, -9.04797, -9.04797, -9.04797, -9.04797, -9.04797, -9.04797, -9.04797, -9.04797, -9.04797, -9.04797, -9.04797, -9.04797, -9.04797, -9.04797, -23.0067, -59.4994", \
"118.216, 47.7304, 7.21594, 3.23854, 2.22415e-08, -1.5762, -3.05657, -4.43675, -5.71135, -6.87338, -7.90984, -8.7752, -9.40434, -9.74193, -9.81566, -9.81566, -9.81566, -9.81566, -9.81566, -9.81566, -9.81566, -9.81566, -9.81566, -9.81566, -9.81566, -9.81566, -9.81566, -23.6234, -60.3673", \
"118.252, 47.7572, 7.2712, 3.28958, 3.67056e-08, -1.60584, -3.12122, -4.54233, -5.86447, -7.08165, -8.18307, -9.13118, -9.87379, -10.3628, -10.5612, -10.5612, -10.5612, -10.5612, -10.5612, -10.5612, -10.5612, -10.5612, -10.5612, -10.5612, -10.5612, -10.5612, -10.5612, -24.2114, -61.0866", \
"118.312, 47.8039, 7.36897, 3.37558, 1.09459e-07, -1.65556, -3.22997, -4.72027, -6.12286, -7.43322, -8.64337, -9.72517, -10.6406, -11.3704, -11.8629, -12.076, -12.0858, -12.0858, -12.0858, -12.0858, -12.0858, -12.0858, -12.0858, -12.0858, -12.0858, -12.0858, -12.0858, -25.3496, -62.1873", \
"118.361, 47.8416, 7.44992, 3.44353, 3.02705e-07, -1.69471, -3.31602, -4.86166, -6.3288, -7.71395, -9.01083, -10.1965, -11.2393, -12.1332, -12.8574, -13.3573, -13.5876, -13.6134, -13.6134, -13.6134, -13.6134, -13.6134, -13.6134, -13.6134, -13.6134, -13.6134, -13.6134, -26.4801, -62.9818", \
"118.457, 47.9137, 7.60826, 3.56803, 3.08208e-06, -1.76681, -3.47728, -5.13034, -6.72462, -8.25832, -9.7277, -11.1167, -12.4013, -13.582, -14.6632, -15.6421, -16.5082, -17.2354, -17.7715, -18.0657, -18.1511, -18.1511, -18.1511, -18.1511, -18.1511, -18.1511, -18.1511, -29.9055, -64.4252", \
"118.505, 47.9467, 7.67695, 3.61559, 3.23425e-05, -1.79798, -3.55663, -5.27571, -6.95481, -8.59329, -10.1889, -11.7294, -13.1948, -14.5854, -15.9094, -17.1705, -18.3703, -19.509, -20.5857, -21.5975, -22.5394, -23.4016, -24.165, -24.7939, -25.2501, -25.7559, -25.924, -35.88, -66.9989");
}
output_voltage_rise () {
vector ("ccsn_ovrf") {
index_1("0.01");
index_2("0.001");
index_3("0.1782298, 0.2302742, 0.2670847, 0.3077915, 0.3768907");
values("0.55, 1.65, 2.75, 3.85, 4.95");
}
vector ("ccsn_ovrf") {
index_1("0.1");
index_2("0.001");
index_3("0.2272209, 0.2793827, 0.3161413, 0.3568387, 0.4258885");
values("0.55, 1.65, 2.75, 3.85, 4.95");
}
}
output_voltage_fall () {
vector ("ccsn_ovrf") {
index_1("0.01");
index_2("0.001");
index_3("0.1010664, 0.1299910, 0.1499011, 0.1691111, 0.2002647");
values("4.95, 3.85, 2.75, 1.65, 0.55");
}
vector ("ccsn_ovrf") {
index_1("0.1");
index_2("0.001");
index_3("0.1503854, 0.1801974, 0.2001564, 0.2192818, 0.2506266");
values("4.95, 3.85, 2.75, 1.65, 0.55");
}
}
propagated_noise_low () {
vector ("ccsn_pnlh") {
index_1("2.475");
index_2("5.23633");
index_3("0.001");
index_4("2.3104377, 2.6125523, 2.7759810, 3.0538438, 3.3964088");
values("0.0593577, 0.0949723, 0.118715, 0.0949723, 0.0593577");
}
vector ("ccsn_pnlh") {
index_1("2.90399");
index_2("3.26439");
index_3("0.001");
index_4("1.4840027, 1.6608319, 1.7772298, 1.9853343, 2.2145282");
values("0.0943812, 0.15101, 0.188762, 0.15101, 0.0943812");
}
vector ("ccsn_pnlh") {
index_1("3.32651");
index_2("2.28378");
index_3("0.001");
index_4("1.0817071, 1.1889228, 1.3167936, 1.4430735, 1.6066684");
values("0.14228, 0.227648, 0.28456, 0.227648, 0.14228");
}
vector ("ccsn_pnlh") {
index_1("2.475");
index_2("2.61817");
index_3("0.001");
index_4("1.2523955, 1.3577087, 1.4558798, 1.6473129, 1.8373248");
values("0.0558977, 0.0894364, 0.111795, 0.0894364, 0.0558977");
}
vector ("ccsn_pnlh") {
index_1("2.90399");
index_2("1.63219");
index_3("0.001");
index_4("0.8260413, 0.8811486, 0.9620001, 1.1039569, 1.2412737");
values("0.0842002, 0.13472, 0.1684, 0.13472, 0.0842002");
}
vector ("ccsn_pnlh") {
index_1("3.32651");
index_2("1.14189");
index_3("0.001");
index_4("0.6024743, 0.6465852, 0.7100643, 0.8273783, 0.9351961");
values("0.115959, 0.185534, 0.231918, 0.185534, 0.115959");
}
vector ("ccsn_pnlh") {
index_1("2.90399");
index_2("4.89658");
index_3("0.001");
index_4("2.1457202, 2.4371209, 2.6044849, 2.8585164, 3.1867944");
values("0.0984756, 0.157561, 0.196951, 0.157561, 0.0984756");
}
}
propagated_noise_high () {
vector ("ccsn_pnlh") {
index_1("2.63966");
index_2("5.23289");
index_3("0.001");
index_4("1.1945246, 1.2415892, 2.6846067, 2.7535509, 2.8001545");
values("5.44816, 5.41706, 5.39632, 5.41706, 5.44816");
}
vector ("ccsn_pnlh") {
index_1("2.97989");
index_2("2.7213");
index_3("0.001");
index_4("1.2954733, 1.4403579, 1.5367570, 1.5903295, 1.6436038");
values("5.35564, 5.26903, 5.21129, 5.26903, 5.35564");
}
vector ("ccsn_pnlh") {
index_1("3.31665");
index_2("1.72517");
index_3("0.001");
index_4("0.9662569, 1.0404303, 1.1429117, 1.2288767, 1.2850171");
values("4.53883, 3.96212, 3.57765, 3.96212, 4.53883");
}
vector ("ccsn_pnlh") {
index_1("2.63966");
index_2("2.61645");
index_3("0.001");
index_4("0.6861452, 0.7151892, 0.7793619, 1.4382396, 1.4769769");
values("5.43868, 5.40188, 5.37736, 5.40188, 5.43868");
}
vector ("ccsn_pnlh") {
index_1("2.97989");
index_2("1.36065");
index_3("0.001");
index_4("0.4128545, 0.7056708, 0.7757787, 0.8326601, 0.8681525");
values("5.37275, 5.2964, 5.2455, 5.2964, 5.37275");
}
vector ("ccsn_pnlh") {
index_1("3.31665");
index_2("0.862584");
index_3("0.001");
index_4("0.3072294, 0.4517359, 0.5326506, 0.5938659, 0.6271710");
values("5.31636, 5.20618, 5.13272, 5.20618, 5.31636");
}
vector ("ccsn_pnlh") {
index_1("2.97989");
index_2("4.08195");
index_3("0.001");
index_4("2.1040272, 2.1682337, 2.2741018, 2.3503233, 2.4172684");
values("5.21477, 5.04363, 4.92954, 5.04363, 5.21477");
}
}
}
}
pin ("TIE_LO_ESD") {
function : "0";
output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "output";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
always_on : false;
signal_voltage_type : "analog";
}
pin ("AMUXBUS_A") {
output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "inout";
related_power_pin : "VDDA";
related_ground_pin : "VSSA";
always_on : false;
signal_voltage_type : "analog";
rise_capacitance : 0.140543;
capacitance : 0.140392;
fall_capacitance : 0.140242;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.3606864, -0.3562182, -0.3517500, -0.3521326, -0.3525000, -0.3528826, -0.3532653");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.3602284, 0.3555600, 0.3508916, 0.3520574, 0.3531766, 0.3543424, 0.3555082");
}
}
}
pin ("TIE_HI_ESD") {
function : "1";
output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "output";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
always_on : false;
signal_voltage_type : "analog";
}
pin ("AMUXBUS_B") {
output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "inout";
related_power_pin : "VDDA";
related_ground_pin : "VSSA";
always_on : false;
signal_voltage_type : "analog";
rise_capacitance : 0.133581;
capacitance : 0.133411;
fall_capacitance : 0.133241;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.3609688, -0.3561999, -0.3514311, -0.3520184, -0.3525822, -0.3531695, -0.3537569");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.3602862, 0.3546780, 0.3490698, 0.3496305, 0.3501687, 0.3507294, 0.3512901");
}
}
}
}
default_operating_conditions : "ff_ff_1p95v_x_5p50v_n40C";
}