blob: 78191a7725d8fb0808200103d91239ddfc64aaf2 [file] [log] [blame]
v {xschem version=3.1.0 file_version=1.2
* Copyright 2021 Stefan Frederik Schippers
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
}
G {}
K {}
V {}
S {}
E {}
L 2 3525 -252.5 3525 -247.5 {}
L 2 3520 -260 3570 -260 {}
L 2 3685 -212.5 3685 -187.5 {}
L 2 3660 -180 3710 -180 {}
L 2 3120 -1260 3120 -1000 {}
L 2 3160 -1260 3160 -1000 {dash=2}
L 2 3200 -1260 3200 -1000 {dash=2}
L 2 3240 -1260 3240 -1000 {dash=2}
L 2 3280 -1260 3280 -1000 {dash=2}
L 2 3320 -1260 3320 -1000 {}
L 2 3360 -1260 3360 -1000 {dash=2}
L 2 3400 -1260 3400 -1000 {dash=2}
L 2 3440 -1260 3440 -1000 {dash=2}
L 2 3480 -1260 3480 -1000 {dash=2}
L 2 3520 -1260 3520 -1000 {}
L 2 3560 -1260 3560 -1000 {dash=2}
L 2 3600 -1260 3600 -1000 {dash=2}
L 2 3640 -1260 3640 -1000 {dash=2}
L 2 3680 -1260 3680 -1000 {dash=2}
L 2 3720 -1260 3720 -1000 {}
L 2 3760 -1260 3760 -1000 {dash=2}
L 2 3800 -1260 3800 -1000 {dash=2}
L 2 3840 -1260 3840 -1000 {dash=2}
L 2 3880 -1260 3880 -1000 {dash=2}
L 2 3920 -1260 3920 -1000 {}
L 2 3100 -1010 4010 -1010 {dash=2}
L 2 3960 -1260 3960 -1000 {dash=2}
L 2 4000 -1260 4000 -1000 {dash=2}
L 3 3390 -430 3390 -310 {}
L 3 3550 -430 3550 -360 {}
L 3 3710 -430 3710 -310 {}
L 4 3290 -290 3390 -290 {}
L 4 3390 -310 3390 -290 {}
L 4 3390 -310 3710 -310 {}
L 4 3710 -310 3710 -290 {}
L 4 3710 -290 3830 -290 {}
L 4 3290 -360 3550 -360 {}
L 4 3550 -360 3550 -340 {}
L 4 3550 -340 3710 -340 {}
L 4 3710 -360 3710 -340 {}
L 4 3710 -360 3830 -360 {}
L 4 3290 -240 3550 -240 {}
L 4 3550 -240 3570 -260 {}
L 4 3570 -260 3710 -260 {}
L 4 3710 -260 3710 -240 {}
L 4 3710 -240 3830 -240 {}
L 4 3290 -180 3550 -180 {}
L 4 3630 -220 3710 -220 {}
L 4 3710 -220 3710 -180 {}
L 4 3710 -180 3830 -180 {}
L 4 3550 -180 3630 -220 {}
L 4 3120 -1200 3240 -1200 {}
L 4 3240 -1230 3240 -1200 {}
L 4 3240 -1230 3560 -1230 {}
L 4 3560 -1230 3560 -1200 {}
L 4 3560 -1200 3640 -1200 {}
L 4 3640 -1230 3640 -1200 {}
L 4 3640 -1230 3960 -1230 {}
L 4 3960 -1230 3960 -1200 {}
L 4 3960 -1200 4000 -1200 {}
L 4 3120 -1120 3240 -1120 {}
L 4 3240 -1150 3240 -1120 {}
L 4 3240 -1150 3400 -1150 {}
L 4 3400 -1150 3400 -1120 {}
L 4 3400 -1120 3640 -1120 {}
L 4 3640 -1150 3640 -1120 {}
L 4 3640 -1150 3800 -1150 {}
L 4 3800 -1150 3800 -1120 {}
L 4 3800 -1120 4000 -1120 {}
L 4 3120 -1040 3410 -1040 {}
L 4 3410 -1050 3410 -1040 {}
L 4 3410 -1050 3560 -1050 {}
L 4 3560 -1050 3560 -1040 {}
L 4 3560 -1040 3810 -1040 {}
L 4 3810 -1050 3810 -1040 {}
L 4 3810 -1050 3960 -1050 {}
L 4 3960 -1050 3960 -1040 {}
L 4 3960 -1040 4000 -1040 {}
B 2 30 -2420 1010 -2230 {flags=graph
y1=-0.0021
y2=0.0021
subdivy=1
x1=1.25834e-08
x2=2.6258e-07
divx=8
node="\\"VDIFF;plus minus -\\""
color=8
dataset=67
unitx=n
subdivx=4
divy=2
unity=m}
B 2 30 -1910 1010 -1480 {flags=graph
y1=-0.00023
y2=2
divy=4
subdivy=1
x1=1.25834e-08
x2=2.6258e-07
divx=8
subdivx=4
node="outdiff
saoutf
saout"
color="4 8 7"
unitx=n
dataset=67}
B 2 2030 -1820 3010 -1480 {flags=graph
y1=0.147272
y2=1.65928
divy=4
subdivy=1
x1=1.25834e-08
x2=2.6258e-07
divx=8
subdivx=4
node="plus minus"
color="4 8"
unitx=n
dataset=67}
B 2 1030 -2380 2010 -2080 {flags=graph
y1=-0.000106156
y2=1.08285e-05
divy=4
subdivy=1
x1=1.25834e-08
x2=2.6258e-07
divx=8
subdivx=4
unitx=n
color=8
node=i(vvcc)
unity=u
dataset=67}
B 2 2030 -2180 3010 -1840 {flags=graph
y1=-51.3036
y2=110.437
divy=4
subdivy=1
x1=1.25834e-08
x2=2.6258e-07
divx=8
subdivx=4
node=temperat
color=4
unitx=n
dataset=67}
B 2 2030 -2530 3010 -2200 {flags=graph
y1=1.60124
y2=2.03158
divy=4
subdivy=1
x1=1.25834e-08
x2=2.6258e-07
divx=8
subdivx=4
node=vcc
color=4
unitx=n
dataset=67}
B 2 30 -2230 1010 -1910 {flags=graph
y1=0.00021
y2=1.2
divy=4
subdivy=1
x1=1.25834e-08
x2=2.6258e-07
divx=8
subdivx=4
node="zero0 zero1 zero2"
color="4 8 7"
unitx=n
dataset=67}
B 2 30 -2580 1010 -2420 {flags=graph
y1=0
y2=2
divy=5
subdivy=4
x1=1.25834e-08
x2=2.6258e-07
divx=8
subdivx=4
dataset=67
unitx=n
color="4 7"
node="en cal"
digital=1
ypos1=0.197345
ypos2=0.605159}
B 2 1030 -2540 2010 -2380 {flags=graph
y1=0
y2=2
divy=5
subdivy=4
x1=1.25834e-08
x2=2.6258e-07
divx=8
subdivx=4
dataset=67
unitx=n
color="4 7"
node="en cal"
digital=1
ypos1=0.0315737
ypos2=0.586357}
B 2 1030 -2080 2010 -1780 {flags=graph
y1=0.0046
y2=1.9
divy=4
subdivy=1
x1=1.25834e-08
x2=2.6258e-07
divx=8
subdivx=4
unitx=n
unity=1
color="4 7"
node="GN1 GP1"
dataset=67}
B 2 1030 -1780 2010 -1480 {flags=graph
y1=0.0063
y2=1.9
divy=4
subdivy=1
x1=1.25834e-08
x2=2.6258e-07
divx=8
subdivx=4
unitx=n
unity=1
color="4 7"
node="GN2 GP2"
dataset=67}
A 4 3035 -715 5 0 360 {fill=true}
A 4 3035 -665 5 0 360 {fill=true}
A 4 3035 -615 5 0 360 {fill=true}
A 4 3035 -515 5 0 360 {fill=true}
A 4 3035 -465 5 0 360 {fill=true}
A 4 3035 -565 5 0 360 {fill=true}
A 4 3035 -815 5 0 360 {fill=true}
A 4 3035 -765 5 0 360 {fill=true}
P 2 5 3010 -930 4240 -930 4240 -80 3010 -80 3010 -930 {}
P 2 4 3525 -260 3522.5 -252.5 3527.5 -252.5 3525 -260 {fill=true}
P 2 4 3525 -240 3527.5 -247.5 3522.5 -247.5 3525 -240 {fill=true}
P 2 4 3685 -220 3682.5 -212.5 3687.5 -212.5 3685 -220 {fill=true}
P 2 4 3685 -180 3687.5 -187.5 3682.5 -187.5 3685 -180 {fill=true}
T {Comparator - design goals} 3260 -920 0 0 1 1 {}
T {Comparator must detect a differential signal as low as +/-2mV} 3055 -830 0 0 0.6 0.6 {}
T {Full rail (0 - VCC) input common mode allowed} 3055 -780 0 0 0.6 0.6 {}
T {1.8V VCC +/- 10%} 3055 -730 0 0 0.6 0.6 {}
T {-40C to 125C temperature} 3055 -680 0 0 0.6 0.6 {}
T {Simulate with device mismatch parameters} 3055 -630 0 0 0.6 0.6 {}
T {Sensing time: 40ns calibration and 40ns sensing.} 3055 -530 0 0 0.6 0.6 {}
T {CAL} 3280 -370 0 1 0.4 0.4 {}
T {EN} 3280 -320 0 1 0.4 0.4 {}
T {CALIBRATION
40ns} 3540 -420 0 1 0.4 0.4 {}
T {SENSING
40ns} 3670 -420 0 1 0.4 0.4 {}
T {OFF} 3800 -420 0 1 0.4 0.4 {}
T {OFF} 3350 -420 0 1 0.4 0.4 {}
T {V+ - V-} 3280 -250 0 1 0.4 0.4 {}
T {SAOUT} 3280 -190 0 1 0.4 0.4 {}
T {2mV} 3470 -265 0 0 0.4 0.4 {}
T {VCC} 3630 -215 0 0 0.4 0.4 {}
T {Icc < 100uA} 3055 -480 0 0 0.6 0.6 {}
T {Self calibration: no circuit trimming} 3055 -580 0 0 0.6 0.6 {}
T {EN} 3110 -1230 0 1 0.4 0.4 {}
T {0} 3120 -990 0 0 0.4 0.4 {hcenter=true}
T {50} 3320 -990 0 0 0.4 0.4 {hcenter=true}
T {100} 3520 -990 0 0 0.4 0.4 {hcenter=true}
T {150} 3720 -990 0 0 0.4 0.4 {hcenter=true}
T {200} 3920 -990 0 0 0.4 0.4 {hcenter=true}
T {CAL} 3110 -1150 0 1 0.4 0.4 {}
T {VDIFF} 3110 -1070 0 1 0.4 0.4 {}
T {CALIBRATION
40ns} 3400 -1330 0 1 0.4 0.4 {}
T {SENSING
40ns} 3530 -1330 0 1 0.4 0.4 {}
T {CALIBRATION
40ns} 3800 -1330 0 1 0.4 0.4 {}
T {SENSING
40ns} 3930 -1330 0 1 0.4 0.4 {}
N 430 -200 530 -200 {lab=VSS}
N 430 -260 430 -230 {lab=VSSI}
N 1720 -870 1750 -870 {lab=VSS}
N 1720 -1120 1750 -1120 {lab=VCC}
N 1720 -1200 1720 -1150 {lab=VCC}
N 1690 -730 1720 -730 {lab=VSSI}
N 1720 -770 1720 -730 {lab=VSSI}
N 1580 -870 1680 -870 {lab=ZERO0}
N 1580 -1040 1580 -870 {lab=ZERO0}
N 1500 -1040 1580 -1040 {lab=ZERO0}
N 1380 -1040 1420 -1040 {lab=OUTDIFF}
N 1380 -1240 1380 -1040 {lab=OUTDIFF}
N 1380 -1240 1820 -1240 {lab=OUTDIFF}
N 1720 -1040 1820 -1040 {lab=OUTDIFF}
N 2210 -870 2240 -870 {lab=VSS}
N 2210 -1120 2240 -1120 {lab=VCC}
N 2210 -1200 2210 -1150 {lab=VCC}
N 2180 -730 2210 -730 {lab=VSSI}
N 2210 -770 2210 -730 {lab=VSSI}
N 2070 -870 2170 -870 {lab=ZERO1}
N 2070 -1040 2070 -870 {lab=ZERO1}
N 1990 -1040 2070 -1040 {lab=ZERO1}
N 1870 -1040 1910 -1040 {lab=SAOUTF}
N 1870 -1240 1870 -1040 {lab=SAOUTF}
N 1870 -1240 2310 -1240 {lab=SAOUTF}
N 2210 -1040 2310 -1040 {lab=SAOUTF}
N 1820 -1240 1820 -1040 {lab=OUTDIFF}
N 1720 -1090 1720 -1040 {lab=OUTDIFF}
N 1580 -1120 1580 -1040 {lab=ZERO0}
N 2210 -1090 2210 -1040 {lab=SAOUTF}
N 2070 -1120 2070 -1040 {lab=ZERO1}
N 2310 -1240 2310 -1040 {lab=SAOUTF}
N 1120 -690 1230 -690 {lab=VCC}
N 820 -690 920 -690 {lab=VCC}
N 960 -690 960 -650 {lab=GP1}
N 920 -650 960 -650 {lab=GP1}
N 920 -640 920 -560 {lab=GP1}
N 920 -760 920 -720 {lab=VCC}
N 1020 -760 1120 -760 {lab=VCC}
N 1120 -760 1120 -720 {lab=VCC}
N 1120 -500 1120 -480 {lab=SN}
N 1020 -480 1120 -480 {lab=SN}
N 920 -500 920 -480 {lab=SN}
N 1020 -400 1130 -400 {lab=VSS}
N 1020 -480 1020 -430 {lab=SN}
N 1020 -780 1020 -760 {lab=VCC}
N 990 -260 1020 -260 {lab=VSSI}
N 1020 -300 1020 -260 {lab=VSSI}
N 2070 -290 2100 -290 {lab=VSS}
N 2070 -580 2100 -580 {lab=VCC}
N 2040 -660 2070 -660 {lab=VCC}
N 2070 -660 2070 -610 {lab=VCC}
N 2040 -150 2070 -150 {lab=VSSI}
N 2070 -190 2070 -150 {lab=VSSI}
N 2070 -490 2070 -320 {lab=SAOUTF}
N 1820 -490 1960 -490 {lab=OUTDIFF}
N 920 -760 1020 -760 {lab=VCC}
N 920 -480 1020 -480 {lab=SN}
N 1720 -1040 1720 -900 {lab=OUTDIFF}
N 2210 -1040 2210 -900 {lab=SAOUTF}
N 1960 -490 1960 -290 {lab=OUTDIFF}
N 1820 -1040 1820 -490 {lab=OUTDIFF}
N 2310 -1040 2310 -490 {lab=SAOUTF}
N 2310 -490 2450 -490 {lab=SAOUTF}
N 1120 -650 1120 -560 {lab=GP2}
N 1960 -580 1960 -490 {lab=OUTDIFF}
N 2070 -1120 2170 -1120 {lab=ZERO1}
N 1580 -1120 1680 -1120 {lab=ZERO0}
N 1960 -580 2030 -580 {lab=OUTDIFF}
N 1960 -290 2030 -290 {lab=OUTDIFF}
N 2560 -290 2590 -290 {lab=VSS}
N 2560 -580 2590 -580 {lab=VCC}
N 2530 -660 2560 -660 {lab=VCC}
N 2560 -660 2560 -610 {lab=VCC}
N 2530 -150 2560 -150 {lab=VSSI}
N 2560 -190 2560 -150 {lab=VSSI}
N 2560 -490 2560 -320 {lab=SAOUT}
N 2450 -490 2450 -290 {lab=SAOUTF}
N 2700 -870 2730 -870 {lab=VSS}
N 2700 -1120 2730 -1120 {lab=VCC}
N 2700 -1200 2700 -1150 {lab=VCC}
N 2670 -730 2700 -730 {lab=VSSI}
N 2700 -770 2700 -730 {lab=VSSI}
N 2560 -870 2660 -870 {lab=ZERO2}
N 2560 -1040 2560 -870 {lab=ZERO2}
N 2480 -1040 2560 -1040 {lab=ZERO2}
N 2360 -1040 2400 -1040 {lab=SAOUT}
N 2360 -1240 2360 -1040 {lab=SAOUT}
N 2360 -1240 2890 -1240 {lab=SAOUT}
N 2700 -1040 2890 -1040 {lab=SAOUT}
N 2700 -1090 2700 -1040 {lab=SAOUT}
N 2560 -1120 2560 -1040 {lab=ZERO2}
N 2890 -1240 2890 -1040 {lab=SAOUT}
N 2700 -1040 2700 -900 {lab=SAOUT}
N 2890 -1040 2890 -490 {lab=SAOUT}
N 2560 -1120 2660 -1120 {lab=ZERO2}
N 2450 -580 2520 -580 {lab=SAOUTF}
N 2450 -290 2520 -290 {lab=SAOUTF}
N 2070 -490 2310 -490 {lab=SAOUTF}
N 2780 -490 2890 -490 {lab=SAOUT}
N 2450 -580 2450 -490 {lab=SAOUTF}
N 2560 -550 2560 -490 {lab=SAOUT}
N 2070 -550 2070 -490 {lab=SAOUTF}
N 2780 -590 2810 -590 {lab=VCC}
N 2750 -670 2780 -670 {lab=VCC}
N 2780 -670 2780 -620 {lab=VCC}
N 2780 -560 2780 -490 {lab=SAOUT}
N 1030 -1120 1120 -1120 {lab=SP}
N 1120 -1120 1120 -1080 {lab=SP}
N 920 -1120 1030 -1120 {lab=SP}
N 920 -1050 1120 -1050 {lab=VCC}
N 820 -920 920 -920 {lab=VSS}
N 1120 -920 1230 -920 {lab=VSS}
N 960 -960 960 -920 {lab=GN1}
N 920 -960 960 -960 {lab=GN1}
N 920 -970 920 -960 {lab=GN1}
N 1120 -960 1120 -950 {lab=GN2}
N 1120 -890 1120 -870 {lab=VSSI}
N 1020 -870 1120 -870 {lab=VSSI}
N 920 -890 920 -870 {lab=VSSI}
N 990 -830 1020 -830 {lab=VSSI}
N 1020 -870 1020 -830 {lab=VSSI}
N 1030 -1190 1120 -1190 {lab=VCC}
N 1030 -1160 1030 -1120 {lab=SP}
N 1030 -1310 1030 -1280 {lab=VCC}
N 1550 -790 1580 -790 {lab=VSS}
N 1580 -810 1580 -790 { lab=VSS}
N 2040 -790 2070 -790 {lab=VSS}
N 2070 -810 2070 -790 { lab=VSS}
N 2530 -790 2560 -790 {lab=VSS}
N 2560 -810 2560 -790 { lab=VSS}
N 920 -1120 920 -1080 { lab=SP}
N 1020 -370 1020 -360 { lab=#net1}
N 920 -660 920 -650 { lab=GP1}
N 1720 -840 1720 -830 { lab=#net2}
N 2210 -840 2210 -830 { lab=#net3}
N 2070 -260 2070 -250 { lab=#net4}
N 2560 -260 2560 -250 { lab=#net5}
N 2560 -490 2780 -490 {lab=SAOUT}
N 1120 -1020 1120 -960 {lab=GN2}
N 920 -870 1020 -870 {lab=VSSI}
N 920 -960 920 -950 { lab=GN1}
N 2700 -840 2700 -830 { lab=#net6}
N 1080 -960 1080 -920 {
lab=GN2}
N 1080 -960 1120 -960 {
lab=GN2}
N 1080 -690 1080 -650 {
lab=GP2}
N 1080 -650 1120 -650 {
lab=GP2}
N 630 -570 740 -570 {lab=VSS}
N 630 -540 630 -520 {lab=VSSI}
N 600 -520 630 -520 {lab=VSSI}
N 630 -640 630 -600 {
lab=GP1}
N 1120 -660 1120 -650 {
lab=GP2}
N 1560 -290 1590 -290 {lab=VSS}
N 1560 -200 1560 -180 {lab=VSSI}
N 1530 -180 1560 -180 {lab=VSSI}
N 1560 -580 1590 -580 {lab=VCC}
N 1560 -630 1560 -610 {lab=VCC}
N 1530 -630 1560 -630 {
lab=VCC}
N 1560 -490 1560 -320 {
lab=OUTDIFF}
N 1560 -490 1820 -490 {
lab=OUTDIFF}
N 1560 -550 1560 -490 {
lab=OUTDIFF}
N 1410 -580 1520 -580 {
lab=GP1}
N 1410 -290 1520 -290 {
lab=GN1}
N 630 -640 920 -640 {
lab=GP1}
N 630 -1040 700 -1040 {lab=VCC}
N 630 -1090 630 -1070 {lab=VCC}
N 600 -1090 630 -1090 {
lab=VCC}
N 630 -1010 630 -970 {
lab=GN1}
N 630 -970 920 -970 {
lab=GN1}
N 120 -1200 150 -1200 {
lab=VSS}
N 300 -1200 330 -1200 {
lab=VCC}
N 120 -1020 120 -990 {
lab=PLUS}
N 300 -1020 300 -990 {
lab=MINUS}
N 120 -810 120 -780 {
lab=CAL}
N 300 -810 300 -780 {
lab=EN}
N 640 -240 640 -220 {lab=TEMPERAT}
N 820 -530 880 -530 {
lab=PLUS}
N 820 -1050 880 -1050 {
lab=PLUS}
N 1160 -530 1220 -530 {
lab=MINUS}
N 1160 -1050 1220 -1050 {
lab=MINUS}
N 920 -650 920 -640 {lab=GP1}
N 920 -1020 920 -970 {lab=GN1}
N 920 -530 1120 -530 {lab=VSS}
N 540 -570 590 -570 {
lab=GN2}
N 540 -1040 590 -1040 {
lab=GP2}
N 900 -400 980 -400 {
lab=VCC}
N 430 -170 430 -140 {lab=VSS}
N 920 -1190 990 -1190 {
lab=VSS}
C {devices/launcher.sym} 1345 -1435 0 0 {name=h1
descr="Select arrow and
Ctrl-Left-Click to load/unload waveforms
after running simulation"
tclcommand="
xschem raw_read $netlist_dir/test_comparator.raw tran
"
}
C {devices/launcher.sym} 1980 -1440 0 0 {name=h4
descr="View Raw file"
tclcommand="textwindow $netlist_dir/[file tail [file rootname [ xschem get schname 0 ] ] ].raw"
}
C {devices/title.sym} 160 -30 0 0 {name=l1 author="Stefan Schippers"}
C {devices/ipin.sym} 110 -490 0 0 { name=p92 lab=CAL }
C {devices/ipin.sym} 110 -520 0 0 { name=p93 lab=PLUS }
C {devices/ipin.sym} 110 -550 0 0 { name=p94 lab=MINUS }
C {devices/ipin.sym} 110 -590 0 0 { name=p95 lab=EN }
C {devices/ipin.sym} 110 -420 0 0 { name=p96 lab=VSS }
C {devices/ipin.sym} 110 -450 0 0 { name=p97 lab=VCC }
C {devices/opin.sym} 150 -540 0 0 { name=p116 lab=SAOUT }
C {devices/lab_pin.sym} 190 -330 0 1 {name=p126 lab=CALB}
C {devices/lab_pin.sym} 110 -330 0 0 {name=l50 lab=CAL}
C {devices/lab_pin.sym} 370 -330 0 0 {name=p15 lab=CALB}
C {devices/lab_pin.sym} 450 -330 0 1 {name=l4 lab=CALBB}
C {devices/lab_pin.sym} 530 -200 0 1 {name=p283 lab=VSS}
C {devices/lab_pin.sym} 390 -200 0 0 {name=l56 lab=EN}
C {devices/lab_pin.sym} 430 -160 0 0 {name=p284 lab=VSS}
C {devices/lab_pin.sym} 430 -260 0 0 {name=p199 lab=VSSI}
C {devices/parax_cap.sym} 430 -130 0 0 {name=C38 value=2p}
C {devices/lab_pin.sym} 1460 -1070 0 1 {name=l19 sig_type=std_logic lab=CALB}
C {devices/lab_pin.sym} 1460 -1010 0 1 {name=l44 sig_type=std_logic lab=CALBB}
C {devices/lab_pin.sym} 1750 -870 0 1 {name=p179 lab=VSS}
C {devices/lab_pin.sym} 1750 -1120 0 1 {name=p180 lab=VCC}
C {devices/lab_pin.sym} 1720 -1200 0 0 {name=p181 lab=VCC}
C {devices/lab_pin.sym} 1690 -730 0 0 {name=p182 lab=VSSI}
C {devices/lab_pin.sym} 1950 -1070 0 1 {name=l45 sig_type=std_logic lab=CALB}
C {devices/lab_pin.sym} 1950 -1010 0 1 {name=l46 sig_type=std_logic lab=CALBB}
C {devices/lab_pin.sym} 2240 -870 0 1 {name=p183 lab=VSS}
C {devices/lab_pin.sym} 2240 -1120 0 1 {name=p184 lab=VCC}
C {devices/lab_pin.sym} 2210 -1200 0 0 {name=p185 lab=VCC}
C {devices/lab_pin.sym} 2180 -730 0 0 {name=p186 lab=VSSI}
C {devices/lab_pin.sym} 2070 -1100 0 0 {name=l47 lab=ZERO1}
C {devices/lab_pin.sym} 1580 -1100 0 0 {name=l48 lab=ZERO0}
C {devices/lab_pin.sym} 1230 -690 0 1 {name=p189 lab=VCC}
C {devices/lab_pin.sym} 820 -690 0 0 {name=p190 lab=VCC}
C {devices/lab_pin.sym} 1130 -400 0 1 {name=p191 lab=VSS}
C {devices/lab_pin.sym} 1020 -780 0 0 {name=p192 lab=VCC}
C {devices/lab_pin.sym} 990 -260 0 0 {name=p193 lab=VSSI}
C {devices/lab_pin.sym} 2100 -290 0 1 {name=p194 lab=VSS}
C {devices/lab_pin.sym} 2100 -580 0 1 {name=p195 lab=VCC}
C {devices/lab_pin.sym} 2040 -660 0 0 {name=p196 lab=VCC}
C {devices/lab_pin.sym} 2040 -150 0 0 {name=p197 lab=VSSI}
C {devices/lab_pin.sym} 2310 -530 0 0 {name=l49 lab=SAOUTF}
C {devices/parax_cap.sym} 2140 -480 0 0 {name=C3 value=15f}
C {devices/lab_pin.sym} 1820 -530 0 0 {name=l51 lab=OUTDIFF}
C {devices/lab_pin.sym} 1020 -460 0 0 {name=l52 lab=SN}
C {devices/lab_pin.sym} 960 -650 0 1 {name=l53 lab=GP1}
C {devices/parax_cap.sym} 940 -470 0 0 {name=C30 value=2f}
C {devices/parax_cap.sym} 1780 -480 0 0 {name=C1 value=15f}
C {devices/lab_pin.sym} 900 -400 0 0 {name=p198 lab=VCC}
C {devices/lab_pin.sym} 820 -530 0 0 {name=l54 lab=PLUS}
C {devices/lab_pin.sym} 1220 -530 0 1 {name=l55 lab=MINUS}
C {devices/ammeter.sym} 1020 -330 0 0 {name=vndiff
current=5.5476e-07}
C {devices/ammeter.sym} 2070 -220 0 0 {name=v3
current=6.1104e-06}
C {devices/ammeter.sym} 2210 -800 0 0 {name=v4
current=2.4124e-06}
C {devices/ammeter.sym} 1720 -800 0 0 {name=v6
current=4.2035e-06}
C {devices/lab_pin.sym} 2590 -290 0 1 {name=p9 lab=VSS}
C {devices/lab_pin.sym} 2590 -580 0 1 {name=p10 lab=VCC}
C {devices/lab_pin.sym} 2530 -660 0 0 {name=p11 lab=VCC}
C {devices/lab_pin.sym} 2530 -150 0 0 {name=p12 lab=VSSI}
C {devices/parax_cap.sym} 2630 -480 0 0 {name=C13 value=15f}
C {devices/ammeter.sym} 2560 -220 0 0 {name=v1
current=3.7104e-06}
C {devices/lab_pin.sym} 2890 -490 0 1 {name=l3 lab=SAOUT}
C {devices/lab_pin.sym} 2440 -1070 0 1 {name=l5 sig_type=std_logic lab=CALB}
C {devices/lab_pin.sym} 2440 -1010 0 1 {name=l6 sig_type=std_logic lab=CALBB}
C {devices/lab_pin.sym} 2730 -870 0 1 {name=p13 lab=VSS}
C {devices/lab_pin.sym} 2730 -1120 0 1 {name=p14 lab=VCC}
C {devices/lab_pin.sym} 2700 -1200 0 0 {name=p16 lab=VCC}
C {devices/lab_pin.sym} 2670 -730 0 0 {name=p17 lab=VSSI}
C {devices/lab_pin.sym} 2560 -1100 0 0 {name=l8 lab=ZERO2}
C {devices/ammeter.sym} 2700 -800 0 0 {name=v5
current=4.6610e-06}
C {devices/lab_pin.sym} 2810 -590 0 1 {name=p18 lab=VCC}
C {devices/lab_pin.sym} 2750 -670 0 0 {name=p19 lab=VCC}
C {devices/lab_pin.sym} 2740 -590 0 0 {name=l2 lab=EN}
C {devices/lab_wire.sym} 1000 -1050 0 1 {name=l21 lab=VCC}
C {devices/lab_pin.sym} 820 -920 0 0 {name=p22 lab=VSS}
C {devices/lab_pin.sym} 1230 -920 0 1 {name=p23 lab=VSS}
C {devices/lab_pin.sym} 990 -830 0 0 {name=p24 lab=VSSI}
C {devices/lab_pin.sym} 1120 -1190 0 1 {name=p25 lab=VCC}
C {devices/lab_pin.sym} 1030 -1310 0 0 {name=p26 lab=VCC}
C {devices/lab_pin.sym} 920 -1190 0 0 {name=l7 lab=VSS}
C {devices/lab_pin.sym} 960 -960 0 1 {name=l9 lab=GN1}
C {devices/lab_pin.sym} 1220 -1050 0 1 {name=l10 lab=MINUS}
C {devices/lab_pin.sym} 820 -1050 0 0 {name=l11 lab=PLUS}
C {devices/parax_cap.sym} 930 -980 3 0 {name=C7 value=4f}
C {devices/lab_pin.sym} 1030 -1140 0 0 {name=l13 lab=SP}
C {sky130_tests/not.sym} 410 -330 0 0 {name=x4 m=1
+ W_N=1 L_N=0.15 W_P=2 L_P=0.15
+ VCCPIN=VCC VSSPIN=VSS}
C {sky130_tests/not.sym} 150 -330 0 0 {name=x5 m=1
+ W_N=1 L_N=0.15 W_P=2 L_P=0.15
+ VCCPIN=VCC VSSPIN=VSS}
C {sky130_fd_pr/pfet_01v8.sym} 1010 -1190 0 0 {name=M4
L=2
W=1.2
ad="'W * 0.29'" pd="'W + 2 * 0.29'"
as="'W * 0.29'" ps="'W + 2 * 0.29'"
nrd=0 nrs=0
sa=0 sb=0 sd=0
nf=1 mult=1
model=pfet_01v8
spiceprefix=X
}
C {sky130_fd_pr/pfet_01v8_lvt.sym} 1100 -690 0 0 {name=M5
L=2
W=2
ad="'W * 0.29'" pd="'2*(W + 0.29)'"
as="'W * 0.29'" ps="'2*(W + 0.29)'"
nrd=0 nrs=0
sa=0 sb=0 sd=0
nf=1 mult=1
model=pfet_01v8_lvt
spiceprefix=X
}
C {sky130_fd_pr/pfet_01v8_lvt.sym} 940 -690 0 1 {name=M6
L=2
W=2
ad="'W * 0.29'" pd="'2*(W + 0.29)'"
as="'W * 0.29'" ps="'2*(W + 0.29)'"
nrd=0 nrs=0
sa=0 sb=0 sd=0
nf=1 mult=1
model=pfet_01v8_lvt
spiceprefix=X
}
C {sky130_fd_pr/pfet_01v8_lvt.sym} 2050 -580 0 0 {name=M8
L=2
W=2
ad="'W * 0.29'" pd="'2*(W + 0.29)'"
as="'W * 0.29'" ps="'2*(W + 0.29)'"
nrd=0 nrs=0
sa=0 sb=0 sd=0
nf=1 mult=1
model=pfet_01v8_lvt
spiceprefix=X
}
C {sky130_fd_pr/pfet_01v8_lvt.sym} 2540 -580 0 0 {name=M9
L=2
W=2
ad="'W * 0.29'" pd="'2*(W + 0.29)'"
as="'W * 0.29'" ps="'2*(W + 0.29)'"
nrd=0 nrs=0
sa=0 sb=0 sd=0
nf=1 mult=1
model=pfet_01v8_lvt
spiceprefix=X
}
C {sky130_fd_pr/pfet_01v8.sym} 2760 -590 0 0 {name=M11
L=0.15
W=1
ad="'W * 0.29'" pd="'W + 2 * 0.29'"
as="'W * 0.29'" ps="'W + 2 * 0.29'"
nrd=0 nrs=0
sa=0 sb=0 sd=0
nf=1 mult=1
model=pfet_01v8
spiceprefix=X
}
C {sky130_fd_pr/nfet_01v8.sym} 410 -200 0 0 {name=M1
L=0.15
W=8
ad="'W * 0.29'" pd="'W + 2 * 0.29'"
as="'W * 0.29'" ps="'W + 2 * 0.29'"
nrd=0 nrs=0
sa=0 sb=0 sd=0
nf=1 mult=2
model=nfet_01v8
spiceprefix=X
}
C {sky130_fd_pr/nfet_01v8_lvt.sym} 2540 -290 0 0 {name=M15
L=2
W=1
ad="'W * 0.29'" pd="'2*(W + 0.29)'"
as="'W * 0.29'" ps="'2*(W + 0.29)'"
nrd=0 nrs=0
sa=0 sb=0 sd=0
nf=1 mult=1
model=nfet_01v8_lvt
spiceprefix=X
}
C {sky130_fd_pr/nfet_01v8_lvt.sym} 2050 -290 0 0 {name=M10
L=2
W=1
ad="'W * 0.29'" pd="'2*(W + 0.29)'"
as="'W * 0.29'" ps="'2*(W + 0.29)'"
nrd=0 nrs=0
sa=0 sb=0 sd=0
nf=1 mult=1
model=nfet_01v8_lvt
spiceprefix=X
}
C {sky130_fd_pr/nfet_01v8_lvt.sym} 1000 -400 0 0 {name=M17
L=7
W=0.7
ad="'W * 0.29'" pd="'2*(W + 0.29)'"
as="'W * 0.29'" ps="'2*(W + 0.29)'"
nrd=0 nrs=0
sa=0 sb=0 sd=0
nf=1 mult=1
model=nfet_01v8_lvt
spiceprefix=X
}
C {sky130_fd_pr/nfet_01v8_lvt.sym} 1100 -920 0 0 {name=M18
L=2
W=1
ad="'W * 0.29'" pd="'2*(W + 0.29)'"
as="'W * 0.29'" ps="'2*(W + 0.29)'"
nrd=0 nrs=0
sa=0 sb=0 sd=0
nf=1 mult=1
model=nfet_01v8_lvt
spiceprefix=X
}
C {sky130_fd_pr/nfet_01v8_lvt.sym} 940 -920 0 1 {name=M19
L=2
W=1
ad="'W * 0.29'" pd="'2*(W + 0.29)'"
as="'W * 0.29'" ps="'2*(W + 0.29)'"
nrd=0 nrs=0
sa=0 sb=0 sd=0
nf=1 mult=1
model=nfet_01v8_lvt
spiceprefix=X
}
C {sky130_fd_pr/pfet_01v8_lvt.sym} 1140 -1050 0 1 {name=M20
L=2
W=6
ad="'W * 0.29'" pd="'2*(W + 0.29)'"
as="'W * 0.29'" ps="'2*(W + 0.29)'"
nrd=0 nrs=0
sa=0 sb=0 sd=0
nf=1 mult=1
model=pfet_01v8_lvt
spiceprefix=X
}
C {sky130_fd_pr/pfet_01v8_lvt.sym} 900 -1050 0 0 {name=M21
L=2
W=6
ad="'W * 0.29'" pd="'2*(W + 0.29)'"
as="'W * 0.29'" ps="'2*(W + 0.29)'"
nrd=0 nrs=0
sa=0 sb=0 sd=0
nf=1 mult=1
model=pfet_01v8_lvt
spiceprefix=X
}
C {sky130_fd_pr/nfet_01v8.sym} 1140 -530 0 1 {name=M23
L=2
W=2
ad="'W * 0.29'" pd="'2*(W + 0.29)'"
as="'W * 0.29'" ps="'2*(W + 0.29)'"
nrd=0 nrs=0
sa=0 sb=0 sd=0
nf=1 mult=1
model=nfet_01v8
spiceprefix=X
}
C {sky130_fd_pr/nfet_01v8.sym} 900 -530 0 0 {name=M16
L=2
W=2
ad="'W * 0.29'" pd="'2*(W + 0.29)'"
as="'W * 0.29'" ps="'2*(W + 0.29)'"
nrd=0 nrs=0
sa=0 sb=0 sd=0
nf=1 mult=1
model=nfet_01v8
spiceprefix=X
}
C {sky130_tests/passgate.sym} 1460 -1040 0 1 {name=x1 m=1
W_N=0.42 L_N=0.15
W_P=0.7 L_P=0.15
VCCBPIN=VCC VSSBPIN=VSS}
C {sky130_tests/passgate.sym} 1950 -1040 0 1 {name=x2 m=1
W_N=0.42 L_N=0.15
W_P=0.7 L_P=0.15
VCCBPIN=VCC VSSBPIN=VSS}
C {sky130_tests/passgate.sym} 2440 -1040 0 1 {name=x3 m=1
W_N=0.42 L_N=0.15
W_P=0.7 L_P=0.15
VCCBPIN=VCC VSSBPIN=VSS}
C {devices/lab_pin.sym} 1550 -790 0 0 {name=p5 lab=VSS}
C {devices/lab_pin.sym} 2040 -790 0 0 {name=p6 lab=VSS}
C {devices/lab_pin.sym} 2530 -790 0 0 {name=p7 lab=VSS}
C {devices/lab_pin.sym} 1080 -960 0 0 {name=l14 lab=GN2}
C {devices/parax_cap.sym} 1110 -980 1 1 {name=C8 value=4f}
C {devices/parax_cap.sym} 930 -610 3 0 {name=C9 value=4f}
C {devices/parax_cap.sym} 1110 -610 1 1 {name=C10 value=4f}
C {devices/lab_pin.sym} 1080 -650 0 0 {name=l15 lab=GP2}
C {devices/lab_pin.sym} 740 -570 0 1 {name=p1 lab=VSS}
C {sky130_fd_pr/nfet_01v8_lvt.sym} 610 -570 0 0 {name=M22
L=2
W=1
ad="'W * 0.29'" pd="'2*(W + 0.29)'"
as="'W * 0.29'" ps="'2*(W + 0.29)'"
nrd=0 nrs=0
sa=0 sb=0 sd=0
nf=1 mult=1
model=nfet_01v8_lvt
spiceprefix=X
}
C {devices/lab_pin.sym} 600 -520 0 0 {name=p2 lab=VSSI}
C {devices/lab_pin.sym} 540 -570 0 0 {name=l16 lab=GN2}
C {devices/lab_pin.sym} 1590 -290 0 1 {name=p8 lab=VSS}
C {sky130_fd_pr/nfet_01v8_lvt.sym} 1540 -290 0 0 {name=M25
L=2
W=1
ad="'W * 0.29'" pd="'2*(W + 0.29)'"
as="'W * 0.29'" ps="'2*(W + 0.29)'"
nrd=0 nrs=0
sa=0 sb=0 sd=0
nf=1 mult=1
model=nfet_01v8_lvt
spiceprefix=X
}
C {devices/lab_pin.sym} 1530 -180 0 0 {name=p27 lab=VSSI}
C {devices/lab_pin.sym} 1590 -580 0 1 {name=p28 lab=VCC}
C {sky130_fd_pr/pfet_01v8_lvt.sym} 1540 -580 0 0 {name=M26
L=2
W=2
ad="'W * 0.29'" pd="'2*(W + 0.29)'"
as="'W * 0.29'" ps="'2*(W + 0.29)'"
nrd=0 nrs=0
sa=0 sb=0 sd=0
nf=1 mult=1
model=pfet_01v8_lvt
spiceprefix=X
}
C {devices/lab_pin.sym} 1530 -630 0 0 {name=p29 lab=VCC}
C {devices/lab_pin.sym} 1410 -290 0 0 {name=l21 lab=GN1}
C {devices/lab_pin.sym} 1410 -580 0 0 {name=l22 lab=GP1}
C {sky130_fd_pr/cap_mim_m3_1.sym} 2560 -840 0 0 {name=C5 model=cap_mim_m3_1 W=4 L=5 MF=1 spiceprefix=X}
C {sky130_fd_pr/cap_mim_m3_1.sym} 2070 -840 0 0 {name=C2 model=cap_mim_m3_1 W=4 L=5 MF=1 spiceprefix=X}
C {sky130_fd_pr/cap_mim_m3_1.sym} 1580 -840 0 0 {name=C4 model=cap_mim_m3_1 W=4 L=5 MF=1 spiceprefix=X}
C {sky130_fd_pr/nfet_01v8_lvt.sym} 2190 -870 0 0 {name=M3
L=3
W=1
ad="'W * 0.29'" pd="'2*(W + 0.29)'"
as="'W * 0.29'" ps="'2*(W + 0.29)'"
nrd=0 nrs=0
sa=0 sb=0 sd=0
nf=1 mult=1
model=nfet_01v8_lvt
spiceprefix=X
}
C {sky130_fd_pr/pfet_01v8_lvt.sym} 2190 -1120 0 0 {name=M13
L=3
W=3
ad="'W * 0.29'" pd="'2*(W + 0.29)'"
as="'W * 0.29'" ps="'2*(W + 0.29)'"
nrd=0 nrs=0
sa=0 sb=0 sd=0
nf=1 mult=1
model=pfet_01v8_lvt
spiceprefix=X
}
C {sky130_fd_pr/nfet_01v8_lvt.sym} 2680 -870 0 0 {name=M7
L=3
W=1
ad="'W * 0.29'" pd="'2*(W + 0.29)'"
as="'W * 0.29'" ps="'2*(W + 0.29)'"
nrd=0 nrs=0
sa=0 sb=0 sd=0
nf=1 mult=1
model=nfet_01v8_lvt
spiceprefix=X
}
C {sky130_fd_pr/pfet_01v8_lvt.sym} 2680 -1120 0 0 {name=M12
L=3
W=3
ad="'W * 0.29'" pd="'2*(W + 0.29)'"
as="'W * 0.29'" ps="'2*(W + 0.29)'"
nrd=0 nrs=0
sa=0 sb=0 sd=0
nf=1 mult=1
model=pfet_01v8_lvt
spiceprefix=X
}
C {sky130_fd_pr/nfet_01v8_lvt.sym} 1700 -870 0 0 {name=M2
L=3
W=1
ad="'W * 0.29'" pd="'2*(W + 0.29)'"
as="'W * 0.29'" ps="'2*(W + 0.29)'"
nrd=0 nrs=0
sa=0 sb=0 sd=0
nf=1 mult=1
model=nfet_01v8_lvt
spiceprefix=X
}
C {sky130_fd_pr/pfet_01v8_lvt.sym} 1700 -1120 0 0 {name=M14
L=3
W=3
ad="'W * 0.29'" pd="'2*(W + 0.29)'"
as="'W * 0.29'" ps="'2*(W + 0.29)'"
nrd=0 nrs=0
sa=0 sb=0 sd=0
nf=1 mult=1
model=pfet_01v8_lvt
spiceprefix=X
}
C {devices/lab_pin.sym} 700 -1040 0 1 {name=p30 lab=VCC}
C {sky130_fd_pr/pfet_01v8_lvt.sym} 610 -1040 0 0 {name=M27
L=2
W=2
ad="'W * 0.29'" pd="'2*(W + 0.29)'"
as="'W * 0.29'" ps="'2*(W + 0.29)'"
nrd=0 nrs=0
sa=0 sb=0 sd=0
nf=1 mult=1
model=pfet_01v8_lvt
spiceprefix=X
}
C {devices/lab_pin.sym} 600 -1090 0 0 {name=p31 lab=VCC}
C {devices/lab_pin.sym} 540 -1040 0 0 {name=l17 lab=GP2}
C {devices/ammeter.sym} 1030 -1250 0 0 {name=vpdiff
current=6.0224e-06}
C {devices/vsource.sym} 120 -1110 0 0 {name=VVSS value=0}
C {devices/lab_pin.sym} 120 -1200 0 0 {name=p3 lab=VSS}
C {devices/lab_pin.sym} 120 -1080 0 0 {name=p4 lab=0}
C {devices/res.sym} 120 -1170 0 0 {name=R1
value=30
footprint=1206
device=resistor
m=1}
C {devices/parax_cap.sym} 160 -1200 3 0 {name=C6 gnd=0 value=100p m=1}
C {devices/vsource.sym} 300 -1110 0 0 {name=VVCC value=VCC}
C {devices/lab_pin.sym} 300 -1200 0 0 {name=p32 lab=VCC}
C {devices/lab_pin.sym} 300 -1080 0 0 {name=p33 lab=0}
C {devices/res.sym} 300 -1170 0 0 {name=R2
value=30
footprint=1206
device=resistor
m=1}
C {devices/parax_cap.sym} 340 -1200 3 0 {name=C11 gnd=0 value=100p m=1}
C {devices/vsource.sym} 120 -960 0 0 {name=VPLUS value=VDL
spice_ignore=false}
C {devices/lab_pin.sym} 120 -930 0 0 {name=p34 lab=0}
C {devices/lab_pin.sym} 120 -1020 0 0 {name=p35 lab=PLUS}
C {devices/vsource.sym} 300 -960 0 0 {name=VMINUS value="pwl
+0 VDL
+70.2n VDL
+70.5n 'VDL-DELTA'
+109.9n 'VDL-DELTA'
+110.1n VDL
+170.2n VDL
+170.5n 'VDL+DELTA'
+209.9n 'VDL+DELTA'
+210.1n VDL"
spice_ignore=false}
C {devices/lab_pin.sym} 300 -930 0 0 {name=p36 lab=0}
C {devices/lab_pin.sym} 300 -1020 0 0 {name=p37 lab=MINUS}
C {devices/vsource.sym} 120 -750 0 0 {name=VCAL value="dc VCC pwl
+0 0
+29.9n 0
+30.1n VCC
+69.9n VCC
+70.1n 0
+129.9n 0
+130.1n VCC
+169.9n VCC
+170.1n 0"
spice_ignore=false}
C {devices/lab_pin.sym} 120 -720 0 0 {name=p38 lab=0}
C {devices/lab_pin.sym} 120 -810 0 0 {name=p39 lab=CAL}
C {devices/vsource.sym} 300 -750 0 0 {name=VEN value="dc VCC pwl
+0 0
+29.9n 0
+30.1n VCC
+109.9n VCC
+110.1n 0
+129.9n 0
+130.1n VCC
+209.9n VCC
+210.1n 0"
spice_ignore=false}
C {devices/lab_pin.sym} 300 -720 0 0 {name=p40 lab=0}
C {devices/lab_pin.sym} 300 -810 0 0 {name=p41 lab=EN}
C {devices/code.sym} 190 -190 0 0 {name=STIMULI
only_toplevel=true
place=end
value="
** this experimental option enables mos model bin
** selection based on W/NF instead of W
.option chgtol=4e-16 method=gear
.param VCCGAUSS = agauss(1.8, 0.05, 1)
.param VCC = 'VCCGAUSS'
** use following line to remove VCC variations
* .param VCC = 1.8
.param VDLGAUSS = agauss(0.9, 0.23, 1)
.param VDL = VDLGAUSS
** use following line to remove input common mode variations
* .param VDL = 0.9
.param TEMPGAUSS = agauss(40, 30, 1)
.option temp = 'TEMPGAUSS'
** use following line to remove temperature variations
* .option temp = 25
.param DELTA = 0.002
.control
option seed = 8
let run = 1
save all
op
write test_comparator.raw
reset
set appendwrite
dowhile run < = 100
save saout cal i(vvcc) en plus minus temperat vcc vss gp1 gp2 gn1 gn2 vssi
+ outdiff saoutf zero0 zero1 zero2 sn sp i(vndiff) i(vpdiff)
+ i(v3) i(v2) i(v1) i(v4) i(v5) i(v6)
* save all
tran 0.1n 250n uic
write test_comparator.raw
let run = run + 1
reset
end
.endc
"}
C {sky130_fd_pr/corner.sym} 20 -190 0 0 {name=CORNER only_toplevel=true corner=tt_mm}
C {devices/vsource_arith.sym} 640 -190 0 0 {name=E5 VOL=temper MAX=200 MIN=-200}
C {devices/lab_pin.sym} 640 -240 0 1 {name=p113 lab=TEMPERAT}
C {devices/lab_pin.sym} 640 -160 0 0 {name=p114 lab=VSS}
C {devices/noconn.sym} 640 -240 0 0 {name=l12}
C {devices/title.sym} 3180 -120 0 0 {name=lx author="Stefan Schippers"}
C {devices/ammeter.sym} 1560 -230 0 0 {name=v2
current=2.9544e-06}
C {devices/lab_wire.sym} 1010 -530 0 1 {name=l21 lab=VSS}
C {devices/launcher.sym} 850 -150 0 0 {name=h2
descr="Annotate OP"
tclcommand="set show_hidden_texts 1; xschem annotate_op"
}