blob: 51f8a2ed7d73a76591010fe46cff221fa9f6f946 [file] [log] [blame]
===========================================================================
report_checks -path_delay min (Hold)
============================================================================
Startpoint: _7892_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _7840_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.30 0.20 0.20 ^ i_clk (in)
2 0.06 i_clk (net)
0.30 0.00 0.20 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.21 0.41 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.06 0.00 0.41 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.08 0.15 0.56 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_1_1_0_i_clk (net)
0.08 0.00 0.56 ^ clkbuf_2_2_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.07 0.15 0.71 ^ clkbuf_2_2_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_2_2_0_i_clk (net)
0.07 0.00 0.71 ^ clkbuf_3_4_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.25 0.27 0.98 ^ clkbuf_3_4_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
20 0.14 clknet_3_4_0_i_clk (net)
0.25 0.00 0.98 ^ clkbuf_leaf_50_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.18 1.16 ^ clkbuf_leaf_50_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
5 0.02 clknet_leaf_50_i_clk (net)
0.05 0.00 1.17 ^ _7892_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.17 0.37 1.54 ^ _7892_/Q (sky130_fd_sc_hd__dfxtp_1)
3 0.02 decode.input_valid (net)
0.17 0.00 1.54 ^ _4778_/A1 (sky130_fd_sc_hd__o211a_1)
0.04 0.17 1.71 ^ _4778_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _0084_ (net)
0.04 0.00 1.71 ^ _7840_/D (sky130_fd_sc_hd__dfxtp_2)
1.71 data arrival time
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.30 0.22 0.22 ^ i_clk (in)
2 0.06 i_clk (net)
0.30 0.00 0.22 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.23 0.45 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.06 0.00 0.45 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.08 0.17 0.62 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_1_1_0_i_clk (net)
0.08 0.00 0.62 ^ clkbuf_2_2_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.07 0.16 0.78 ^ clkbuf_2_2_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_2_2_0_i_clk (net)
0.07 0.00 0.79 ^ clkbuf_3_5_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.35 0.37 1.15 ^ clkbuf_3_5_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.20 clknet_3_5_0_i_clk (net)
0.35 0.01 1.16 ^ clkbuf_leaf_51_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.22 1.38 ^ clkbuf_leaf_51_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
5 0.02 clknet_leaf_51_i_clk (net)
0.04 0.00 1.38 ^ _7840_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.25 1.63 clock uncertainty
-0.07 1.56 clock reconvergence pessimism
-0.03 1.53 library hold time
1.53 data required time
-----------------------------------------------------------------------------
1.53 data required time
-1.71 data arrival time
-----------------------------------------------------------------------------
0.18 slack (MET)
Startpoint: _7797_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _7914_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.30 0.20 0.20 ^ i_clk (in)
2 0.06 i_clk (net)
0.30 0.00 0.20 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.21 0.41 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.06 0.00 0.41 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.08 0.15 0.56 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_1_1_0_i_clk (net)
0.08 0.00 0.56 ^ clkbuf_2_2_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.07 0.15 0.71 ^ clkbuf_2_2_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_2_2_0_i_clk (net)
0.07 0.00 0.71 ^ clkbuf_3_4_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.25 0.27 0.98 ^ clkbuf_3_4_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
20 0.14 clknet_3_4_0_i_clk (net)
0.25 0.01 0.98 ^ clkbuf_leaf_49_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.18 1.17 ^ clkbuf_leaf_49_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
5 0.02 clknet_leaf_49_i_clk (net)
0.05 0.00 1.17 ^ _7797_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.18 0.39 1.56 ^ _7797_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 decode.i_instr_l[8] (net)
0.18 0.00 1.56 ^ _5282_/B2 (sky130_fd_sc_hd__a22o_1)
0.04 0.14 1.70 ^ _5282_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 _0145_ (net)
0.04 0.00 1.70 ^ _7914_/D (sky130_fd_sc_hd__dfxtp_1)
1.70 data arrival time
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.30 0.22 0.22 ^ i_clk (in)
2 0.06 i_clk (net)
0.30 0.00 0.22 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.23 0.45 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.06 0.00 0.45 ^ clkbuf_1_0_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.09 0.17 0.62 ^ clkbuf_1_0_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_1_0_0_i_clk (net)
0.09 0.00 0.63 ^ clkbuf_2_0_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.07 0.17 0.80 ^ clkbuf_2_0_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_2_0_0_i_clk (net)
0.07 0.00 0.80 ^ clkbuf_3_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.28 0.32 1.11 ^ clkbuf_3_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
24 0.16 clknet_3_1_0_i_clk (net)
0.28 0.01 1.12 ^ clkbuf_leaf_76_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.21 1.34 ^ clkbuf_leaf_76_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
6 0.02 clknet_leaf_76_i_clk (net)
0.05 0.00 1.34 ^ _7914_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 1.59 clock uncertainty
-0.04 1.54 clock reconvergence pessimism
-0.03 1.51 library hold time
1.51 data required time
-----------------------------------------------------------------------------
1.51 data required time
-1.70 data arrival time
-----------------------------------------------------------------------------
0.19 slack (MET)
Startpoint: _7986_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _7983_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.30 0.20 0.20 ^ i_clk (in)
2 0.06 i_clk (net)
0.30 0.00 0.20 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.21 0.41 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.06 0.00 0.41 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.08 0.15 0.56 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_1_1_0_i_clk (net)
0.08 0.00 0.56 ^ clkbuf_2_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.08 0.16 0.72 ^ clkbuf_2_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_2_3_0_i_clk (net)
0.08 0.00 0.72 ^ clkbuf_3_7_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.41 0.38 1.10 ^ clkbuf_3_7_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
36 0.24 clknet_3_7_0_i_clk (net)
0.41 0.02 1.11 ^ clkbuf_leaf_25_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 1.33 ^ clkbuf_leaf_25_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
7 0.03 clknet_leaf_25_i_clk (net)
0.05 0.00 1.33 ^ _7986_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.04 0.29 1.63 v _7986_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 execute.sreg_jtr_buff.o_d[2] (net)
0.04 0.00 1.63 v _5491_/A (sky130_fd_sc_hd__nand2_1)
0.04 0.05 1.68 ^ _5491_/Y (sky130_fd_sc_hd__nand2_1)
1 0.00 _1852_ (net)
0.04 0.00 1.68 ^ _5492_/A2 (sky130_fd_sc_hd__a21oi_1)
0.03 0.05 1.73 v _5492_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.00 _0214_ (net)
0.03 0.00 1.73 v _7983_/D (sky130_fd_sc_hd__dfxtp_4)
1.73 data arrival time
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.30 0.22 0.22 ^ i_clk (in)
2 0.06 i_clk (net)
0.30 0.00 0.22 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.23 0.45 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.06 0.00 0.45 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.08 0.17 0.62 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_1_1_0_i_clk (net)
0.08 0.00 0.62 ^ clkbuf_2_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.08 0.17 0.79 ^ clkbuf_2_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_2_3_0_i_clk (net)
0.08 0.00 0.80 ^ clkbuf_3_7_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.41 0.42 1.21 ^ clkbuf_3_7_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
36 0.24 clknet_3_7_0_i_clk (net)
0.41 0.02 1.23 ^ clkbuf_leaf_25_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.24 1.47 ^ clkbuf_leaf_25_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
7 0.03 clknet_leaf_25_i_clk (net)
0.05 0.00 1.47 ^ _7983_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.25 1.72 clock uncertainty
-0.14 1.58 clock reconvergence pessimism
-0.04 1.54 library hold time
1.54 data required time
-----------------------------------------------------------------------------
1.54 data required time
-1.73 data arrival time
-----------------------------------------------------------------------------
0.19 slack (MET)
Startpoint: _7978_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _7978_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.30 0.20 0.20 ^ i_clk (in)
2 0.06 i_clk (net)
0.30 0.00 0.20 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.21 0.41 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.06 0.00 0.41 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.08 0.15 0.56 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_1_1_0_i_clk (net)
0.08 0.00 0.56 ^ clkbuf_2_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.08 0.16 0.72 ^ clkbuf_2_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_2_3_0_i_clk (net)
0.08 0.00 0.72 ^ clkbuf_3_7_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.41 0.38 1.10 ^ clkbuf_3_7_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
36 0.24 clknet_3_7_0_i_clk (net)
0.41 0.01 1.11 ^ clkbuf_leaf_35_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.21 1.32 ^ clkbuf_leaf_35_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
3 0.01 clknet_leaf_35_i_clk (net)
0.04 0.00 1.32 ^ _7978_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.07 0.30 1.62 ^ _7978_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 execute.sreg_scratch.o_d[13] (net)
0.07 0.00 1.62 ^ _5479_/A1 (sky130_fd_sc_hd__o21a_1)
0.04 0.11 1.73 ^ _5479_/X (sky130_fd_sc_hd__o21a_1)
1 0.00 _0209_ (net)
0.04 0.00 1.73 ^ _7978_/D (sky130_fd_sc_hd__dfxtp_1)
1.73 data arrival time
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.30 0.22 0.22 ^ i_clk (in)
2 0.06 i_clk (net)
0.30 0.00 0.22 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.23 0.45 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.06 0.00 0.45 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.08 0.17 0.62 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_1_1_0_i_clk (net)
0.08 0.00 0.62 ^ clkbuf_2_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.08 0.17 0.79 ^ clkbuf_2_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_2_3_0_i_clk (net)
0.08 0.00 0.80 ^ clkbuf_3_7_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.41 0.42 1.21 ^ clkbuf_3_7_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
36 0.24 clknet_3_7_0_i_clk (net)
0.41 0.01 1.23 ^ clkbuf_leaf_35_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.23 1.45 ^ clkbuf_leaf_35_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
3 0.01 clknet_leaf_35_i_clk (net)
0.04 0.00 1.46 ^ _7978_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 1.71 clock uncertainty
-0.14 1.57 clock reconvergence pessimism
-0.03 1.54 library hold time
1.54 data required time
-----------------------------------------------------------------------------
1.54 data required time
-1.73 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
Startpoint: _8244_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _7962_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.30 0.20 0.20 ^ i_clk (in)
2 0.06 i_clk (net)
0.30 0.00 0.20 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.21 0.41 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.06 0.00 0.41 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.08 0.15 0.56 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_1_1_0_i_clk (net)
0.08 0.00 0.56 ^ clkbuf_2_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.08 0.16 0.72 ^ clkbuf_2_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_2_3_0_i_clk (net)
0.08 0.00 0.72 ^ clkbuf_3_6_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.35 0.34 1.06 ^ clkbuf_3_6_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.21 clknet_3_6_0_i_clk (net)
0.35 0.01 1.07 ^ clkbuf_leaf_17_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.20 1.27 ^ clkbuf_leaf_17_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_leaf_17_i_clk (net)
0.04 0.00 1.27 ^ _8244_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.08 0.31 1.58 ^ _8244_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 execute.sreg_irq_flags.i_d[2] (net)
0.08 0.00 1.58 ^ _5439_/A1 (sky130_fd_sc_hd__a22o_1)
0.04 0.12 1.70 ^ _5439_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 _0193_ (net)
0.04 0.00 1.70 ^ _7962_/D (sky130_fd_sc_hd__dfxtp_1)
1.70 data arrival time
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.30 0.22 0.22 ^ i_clk (in)
2 0.06 i_clk (net)
0.30 0.00 0.22 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.23 0.45 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.06 0.00 0.45 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.08 0.17 0.62 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_1_1_0_i_clk (net)
0.08 0.00 0.62 ^ clkbuf_2_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.08 0.17 0.79 ^ clkbuf_2_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_2_3_0_i_clk (net)
0.08 0.00 0.80 ^ clkbuf_3_6_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.35 0.37 1.17 ^ clkbuf_3_6_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.21 clknet_3_6_0_i_clk (net)
0.35 0.01 1.18 ^ clkbuf_leaf_16_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.22 1.40 ^ clkbuf_leaf_16_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
5 0.02 clknet_leaf_16_i_clk (net)
0.04 0.00 1.40 ^ _7962_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 1.65 clock uncertainty
-0.11 1.54 clock reconvergence pessimism
-0.03 1.51 library hold time
1.51 data required time
-----------------------------------------------------------------------------
1.51 data required time
-1.70 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)