blob: bbdb5c6b14be0d1c30c9a4a1be5c8ee493b5de86 [file] [log] [blame]
OpenROAD 7f00621cb612fd94e15b35790afe744c89d433a7
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
min_report
===========================================================================
report_checks -path_delay min (Hold)
============================================================================
Startpoint: _7892_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _7840_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.30 0.20 0.20 ^ i_clk (in)
2 0.06 i_clk (net)
0.30 0.00 0.20 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.21 0.41 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.06 0.00 0.41 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.08 0.15 0.56 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_1_1_0_i_clk (net)
0.08 0.00 0.56 ^ clkbuf_2_2_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.07 0.15 0.71 ^ clkbuf_2_2_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_2_2_0_i_clk (net)
0.07 0.00 0.71 ^ clkbuf_3_4_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.25 0.27 0.98 ^ clkbuf_3_4_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
20 0.14 clknet_3_4_0_i_clk (net)
0.25 0.00 0.98 ^ clkbuf_leaf_50_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.18 1.16 ^ clkbuf_leaf_50_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
5 0.02 clknet_leaf_50_i_clk (net)
0.05 0.00 1.17 ^ _7892_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.17 0.37 1.54 ^ _7892_/Q (sky130_fd_sc_hd__dfxtp_1)
3 0.02 decode.input_valid (net)
0.17 0.00 1.54 ^ _4778_/A1 (sky130_fd_sc_hd__o211a_1)
0.04 0.17 1.71 ^ _4778_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _0084_ (net)
0.04 0.00 1.71 ^ _7840_/D (sky130_fd_sc_hd__dfxtp_2)
1.71 data arrival time
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.30 0.22 0.22 ^ i_clk (in)
2 0.06 i_clk (net)
0.30 0.00 0.22 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.23 0.45 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.06 0.00 0.45 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.08 0.17 0.62 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_1_1_0_i_clk (net)
0.08 0.00 0.62 ^ clkbuf_2_2_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.07 0.16 0.78 ^ clkbuf_2_2_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_2_2_0_i_clk (net)
0.07 0.00 0.79 ^ clkbuf_3_5_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.35 0.37 1.15 ^ clkbuf_3_5_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.20 clknet_3_5_0_i_clk (net)
0.35 0.01 1.16 ^ clkbuf_leaf_51_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.22 1.38 ^ clkbuf_leaf_51_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
5 0.02 clknet_leaf_51_i_clk (net)
0.04 0.00 1.38 ^ _7840_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.25 1.63 clock uncertainty
-0.07 1.56 clock reconvergence pessimism
-0.03 1.53 library hold time
1.53 data required time
-----------------------------------------------------------------------------
1.53 data required time
-1.71 data arrival time
-----------------------------------------------------------------------------
0.18 slack (MET)
Startpoint: _7797_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _7914_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.30 0.20 0.20 ^ i_clk (in)
2 0.06 i_clk (net)
0.30 0.00 0.20 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.21 0.41 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.06 0.00 0.41 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.08 0.15 0.56 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_1_1_0_i_clk (net)
0.08 0.00 0.56 ^ clkbuf_2_2_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.07 0.15 0.71 ^ clkbuf_2_2_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_2_2_0_i_clk (net)
0.07 0.00 0.71 ^ clkbuf_3_4_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.25 0.27 0.98 ^ clkbuf_3_4_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
20 0.14 clknet_3_4_0_i_clk (net)
0.25 0.01 0.98 ^ clkbuf_leaf_49_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.18 1.17 ^ clkbuf_leaf_49_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
5 0.02 clknet_leaf_49_i_clk (net)
0.05 0.00 1.17 ^ _7797_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.18 0.39 1.56 ^ _7797_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 decode.i_instr_l[8] (net)
0.18 0.00 1.56 ^ _5282_/B2 (sky130_fd_sc_hd__a22o_1)
0.04 0.14 1.70 ^ _5282_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 _0145_ (net)
0.04 0.00 1.70 ^ _7914_/D (sky130_fd_sc_hd__dfxtp_1)
1.70 data arrival time
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.30 0.22 0.22 ^ i_clk (in)
2 0.06 i_clk (net)
0.30 0.00 0.22 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.23 0.45 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.06 0.00 0.45 ^ clkbuf_1_0_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.09 0.17 0.62 ^ clkbuf_1_0_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_1_0_0_i_clk (net)
0.09 0.00 0.63 ^ clkbuf_2_0_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.07 0.17 0.80 ^ clkbuf_2_0_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_2_0_0_i_clk (net)
0.07 0.00 0.80 ^ clkbuf_3_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.28 0.32 1.11 ^ clkbuf_3_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
24 0.16 clknet_3_1_0_i_clk (net)
0.28 0.01 1.12 ^ clkbuf_leaf_76_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.21 1.34 ^ clkbuf_leaf_76_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
6 0.02 clknet_leaf_76_i_clk (net)
0.05 0.00 1.34 ^ _7914_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 1.59 clock uncertainty
-0.04 1.54 clock reconvergence pessimism
-0.03 1.51 library hold time
1.51 data required time
-----------------------------------------------------------------------------
1.51 data required time
-1.70 data arrival time
-----------------------------------------------------------------------------
0.19 slack (MET)
Startpoint: _7986_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _7983_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.30 0.20 0.20 ^ i_clk (in)
2 0.06 i_clk (net)
0.30 0.00 0.20 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.21 0.41 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.06 0.00 0.41 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.08 0.15 0.56 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_1_1_0_i_clk (net)
0.08 0.00 0.56 ^ clkbuf_2_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.08 0.16 0.72 ^ clkbuf_2_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_2_3_0_i_clk (net)
0.08 0.00 0.72 ^ clkbuf_3_7_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.41 0.38 1.10 ^ clkbuf_3_7_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
36 0.24 clknet_3_7_0_i_clk (net)
0.41 0.02 1.11 ^ clkbuf_leaf_25_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 1.33 ^ clkbuf_leaf_25_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
7 0.03 clknet_leaf_25_i_clk (net)
0.05 0.00 1.33 ^ _7986_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.04 0.29 1.63 v _7986_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 execute.sreg_jtr_buff.o_d[2] (net)
0.04 0.00 1.63 v _5491_/A (sky130_fd_sc_hd__nand2_1)
0.04 0.05 1.68 ^ _5491_/Y (sky130_fd_sc_hd__nand2_1)
1 0.00 _1852_ (net)
0.04 0.00 1.68 ^ _5492_/A2 (sky130_fd_sc_hd__a21oi_1)
0.03 0.05 1.73 v _5492_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.00 _0214_ (net)
0.03 0.00 1.73 v _7983_/D (sky130_fd_sc_hd__dfxtp_4)
1.73 data arrival time
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.30 0.22 0.22 ^ i_clk (in)
2 0.06 i_clk (net)
0.30 0.00 0.22 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.23 0.45 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.06 0.00 0.45 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.08 0.17 0.62 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_1_1_0_i_clk (net)
0.08 0.00 0.62 ^ clkbuf_2_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.08 0.17 0.79 ^ clkbuf_2_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_2_3_0_i_clk (net)
0.08 0.00 0.80 ^ clkbuf_3_7_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.41 0.42 1.21 ^ clkbuf_3_7_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
36 0.24 clknet_3_7_0_i_clk (net)
0.41 0.02 1.23 ^ clkbuf_leaf_25_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.24 1.47 ^ clkbuf_leaf_25_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
7 0.03 clknet_leaf_25_i_clk (net)
0.05 0.00 1.47 ^ _7983_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.25 1.72 clock uncertainty
-0.14 1.58 clock reconvergence pessimism
-0.04 1.54 library hold time
1.54 data required time
-----------------------------------------------------------------------------
1.54 data required time
-1.73 data arrival time
-----------------------------------------------------------------------------
0.19 slack (MET)
Startpoint: _7978_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _7978_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.30 0.20 0.20 ^ i_clk (in)
2 0.06 i_clk (net)
0.30 0.00 0.20 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.21 0.41 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.06 0.00 0.41 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.08 0.15 0.56 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_1_1_0_i_clk (net)
0.08 0.00 0.56 ^ clkbuf_2_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.08 0.16 0.72 ^ clkbuf_2_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_2_3_0_i_clk (net)
0.08 0.00 0.72 ^ clkbuf_3_7_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.41 0.38 1.10 ^ clkbuf_3_7_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
36 0.24 clknet_3_7_0_i_clk (net)
0.41 0.01 1.11 ^ clkbuf_leaf_35_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.21 1.32 ^ clkbuf_leaf_35_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
3 0.01 clknet_leaf_35_i_clk (net)
0.04 0.00 1.32 ^ _7978_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.07 0.30 1.62 ^ _7978_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 execute.sreg_scratch.o_d[13] (net)
0.07 0.00 1.62 ^ _5479_/A1 (sky130_fd_sc_hd__o21a_1)
0.04 0.11 1.73 ^ _5479_/X (sky130_fd_sc_hd__o21a_1)
1 0.00 _0209_ (net)
0.04 0.00 1.73 ^ _7978_/D (sky130_fd_sc_hd__dfxtp_1)
1.73 data arrival time
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.30 0.22 0.22 ^ i_clk (in)
2 0.06 i_clk (net)
0.30 0.00 0.22 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.23 0.45 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.06 0.00 0.45 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.08 0.17 0.62 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_1_1_0_i_clk (net)
0.08 0.00 0.62 ^ clkbuf_2_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.08 0.17 0.79 ^ clkbuf_2_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_2_3_0_i_clk (net)
0.08 0.00 0.80 ^ clkbuf_3_7_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.41 0.42 1.21 ^ clkbuf_3_7_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
36 0.24 clknet_3_7_0_i_clk (net)
0.41 0.01 1.23 ^ clkbuf_leaf_35_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.23 1.45 ^ clkbuf_leaf_35_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
3 0.01 clknet_leaf_35_i_clk (net)
0.04 0.00 1.46 ^ _7978_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 1.71 clock uncertainty
-0.14 1.57 clock reconvergence pessimism
-0.03 1.54 library hold time
1.54 data required time
-----------------------------------------------------------------------------
1.54 data required time
-1.73 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
Startpoint: _8244_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _7962_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.30 0.20 0.20 ^ i_clk (in)
2 0.06 i_clk (net)
0.30 0.00 0.20 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.21 0.41 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.06 0.00 0.41 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.08 0.15 0.56 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_1_1_0_i_clk (net)
0.08 0.00 0.56 ^ clkbuf_2_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.08 0.16 0.72 ^ clkbuf_2_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_2_3_0_i_clk (net)
0.08 0.00 0.72 ^ clkbuf_3_6_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.35 0.34 1.06 ^ clkbuf_3_6_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.21 clknet_3_6_0_i_clk (net)
0.35 0.01 1.07 ^ clkbuf_leaf_17_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.20 1.27 ^ clkbuf_leaf_17_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_leaf_17_i_clk (net)
0.04 0.00 1.27 ^ _8244_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.08 0.31 1.58 ^ _8244_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 execute.sreg_irq_flags.i_d[2] (net)
0.08 0.00 1.58 ^ _5439_/A1 (sky130_fd_sc_hd__a22o_1)
0.04 0.12 1.70 ^ _5439_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 _0193_ (net)
0.04 0.00 1.70 ^ _7962_/D (sky130_fd_sc_hd__dfxtp_1)
1.70 data arrival time
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.30 0.22 0.22 ^ i_clk (in)
2 0.06 i_clk (net)
0.30 0.00 0.22 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.23 0.45 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.06 0.00 0.45 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.08 0.17 0.62 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_1_1_0_i_clk (net)
0.08 0.00 0.62 ^ clkbuf_2_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.08 0.17 0.79 ^ clkbuf_2_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_2_3_0_i_clk (net)
0.08 0.00 0.80 ^ clkbuf_3_6_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.35 0.37 1.17 ^ clkbuf_3_6_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.21 clknet_3_6_0_i_clk (net)
0.35 0.01 1.18 ^ clkbuf_leaf_16_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.22 1.40 ^ clkbuf_leaf_16_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
5 0.02 clknet_leaf_16_i_clk (net)
0.04 0.00 1.40 ^ _7962_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 1.65 clock uncertainty
-0.11 1.54 clock reconvergence pessimism
-0.03 1.51 library hold time
1.51 data required time
-----------------------------------------------------------------------------
1.51 data required time
-1.70 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
min_report_end
max_report
===========================================================================
report_checks -path_delay max (Setup)
============================================================================
Startpoint: _7911_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _8240_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.30 0.22 0.22 ^ i_clk (in)
2 0.06 i_clk (net)
0.30 0.00 0.22 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.23 0.45 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.06 0.00 0.45 ^ clkbuf_1_0_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.09 0.17 0.62 ^ clkbuf_1_0_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_1_0_0_i_clk (net)
0.09 0.00 0.63 ^ clkbuf_2_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.17 0.79 ^ clkbuf_2_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_2_1_0_i_clk (net)
0.06 0.00 0.79 ^ clkbuf_3_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.22 0.27 1.07 ^ clkbuf_3_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.12 clknet_3_3_0_i_clk (net)
0.22 0.00 1.07 ^ _7911_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.10 0.42 1.49 ^ _7911_/Q (sky130_fd_sc_hd__dfxtp_1)
3 0.01 decode.oc_r_reg_sel[2] (net)
0.10 0.00 1.49 ^ _3854_/A (sky130_fd_sc_hd__buf_2)
0.30 0.32 1.81 ^ _3854_/X (sky130_fd_sc_hd__buf_2)
20 0.06 _0511_ (net)
0.30 0.00 1.82 ^ _3861_/A (sky130_fd_sc_hd__buf_2)
0.30 0.38 2.20 ^ _3861_/X (sky130_fd_sc_hd__buf_2)
20 0.06 _0518_ (net)
0.30 0.00 2.20 ^ _3862_/A (sky130_fd_sc_hd__clkbuf_4)
0.21 0.36 2.56 ^ _3862_/X (sky130_fd_sc_hd__clkbuf_4)
20 0.07 _0519_ (net)
0.21 0.00 2.56 ^ _3863_/A (sky130_fd_sc_hd__inv_2)
0.10 0.13 2.69 v _3863_/Y (sky130_fd_sc_hd__inv_2)
6 0.03 _0520_ (net)
0.10 0.00 2.69 v _3994_/A2 (sky130_fd_sc_hd__o21a_1)
0.03 0.19 2.87 v _3994_/X (sky130_fd_sc_hd__o21a_1)
1 0.00 _0639_ (net)
0.03 0.00 2.87 v _3996_/B (sky130_fd_sc_hd__or3_1)
0.10 0.40 3.27 v _3996_/X (sky130_fd_sc_hd__or3_1)
1 0.01 _0641_ (net)
0.10 0.00 3.27 v _3997_/B2 (sky130_fd_sc_hd__o22ai_4)
1.02 0.77 4.04 ^ _3997_/Y (sky130_fd_sc_hd__o22ai_4)
16 0.14 _0642_ (net)
1.02 0.05 4.10 ^ _3998_/A (sky130_fd_sc_hd__inv_4)
0.23 0.22 4.32 v _3998_/Y (sky130_fd_sc_hd__inv_4)
8 0.05 net243 (net)
0.23 0.00 4.32 v _5109_/A1 (sky130_fd_sc_hd__mux2_8)
0.12 0.47 4.79 v _5109_/X (sky130_fd_sc_hd__mux2_8)
14 0.09 _1562_ (net)
0.12 0.01 4.81 v _6044_/B (sky130_fd_sc_hd__nand2_2)
0.09 0.13 4.94 ^ _6044_/Y (sky130_fd_sc_hd__nand2_2)
2 0.01 _2385_ (net)
0.09 0.00 4.94 ^ _6247_/A (sky130_fd_sc_hd__and2_4)
0.08 0.19 5.13 ^ _6247_/X (sky130_fd_sc_hd__and2_4)
5 0.02 _2588_ (net)
0.08 0.00 5.13 ^ _6248_/B1 (sky130_fd_sc_hd__a21oi_1)
0.07 0.07 5.20 v _6248_/Y (sky130_fd_sc_hd__a21oi_1)
2 0.01 _2589_ (net)
0.07 0.00 5.20 v _6249_/B1 (sky130_fd_sc_hd__a21o_1)
0.07 0.21 5.41 v _6249_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _2590_ (net)
0.07 0.00 5.41 v _6251_/A2 (sky130_fd_sc_hd__a21oi_2)
0.26 0.28 5.69 ^ _6251_/Y (sky130_fd_sc_hd__a21oi_2)
3 0.02 _2592_ (net)
0.26 0.00 5.69 ^ _6253_/A2 (sky130_fd_sc_hd__o211ai_2)
0.12 0.16 5.84 v _6253_/Y (sky130_fd_sc_hd__o211ai_2)
4 0.01 _2594_ (net)
0.12 0.00 5.85 v _6257_/A3 (sky130_fd_sc_hd__a311o_2)
0.12 0.53 6.37 v _6257_/X (sky130_fd_sc_hd__a311o_2)
5 0.03 _2598_ (net)
0.12 0.00 6.37 v _6264_/A3 (sky130_fd_sc_hd__a311oi_4)
0.29 0.39 6.77 ^ _6264_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.01 _2605_ (net)
0.29 0.00 6.77 ^ _6266_/A2 (sky130_fd_sc_hd__o211ai_2)
0.11 0.16 6.92 v _6266_/Y (sky130_fd_sc_hd__o211ai_2)
3 0.01 _2607_ (net)
0.11 0.00 6.92 v _6269_/A2 (sky130_fd_sc_hd__a21oi_2)
0.19 0.24 7.17 ^ _6269_/Y (sky130_fd_sc_hd__a21oi_2)
3 0.01 _2610_ (net)
0.19 0.00 7.17 ^ _6271_/A2 (sky130_fd_sc_hd__o21ai_2)
0.09 0.11 7.28 v _6271_/Y (sky130_fd_sc_hd__o21ai_2)
3 0.01 _2612_ (net)
0.09 0.00 7.28 v _6272_/A2 (sky130_fd_sc_hd__a21o_1)
0.07 0.25 7.52 v _6272_/X (sky130_fd_sc_hd__a21o_1)
3 0.01 _2613_ (net)
0.07 0.00 7.52 v _6353_/A2 (sky130_fd_sc_hd__a21oi_2)
0.12 0.17 7.70 ^ _6353_/Y (sky130_fd_sc_hd__a21oi_2)
2 0.01 _2694_ (net)
0.12 0.00 7.70 ^ _6658_/A2_N (sky130_fd_sc_hd__a2bb2o_1)
0.06 0.32 8.02 v _6658_/X (sky130_fd_sc_hd__a2bb2o_1)
1 0.00 _2998_ (net)
0.06 0.00 8.02 v _6667_/A2 (sky130_fd_sc_hd__a211o_4)
0.16 0.47 8.49 v _6667_/X (sky130_fd_sc_hd__a211o_4)
12 0.09 _3007_ (net)
0.16 0.02 8.51 v _7669_/B (sky130_fd_sc_hd__or4_1)
0.10 0.59 9.09 v _7669_/X (sky130_fd_sc_hd__or4_1)
2 0.01 _3777_ (net)
0.10 0.00 9.09 v _7678_/A (sky130_fd_sc_hd__or2_1)
0.06 0.27 9.37 v _7678_/X (sky130_fd_sc_hd__or2_1)
2 0.01 _3785_ (net)
0.06 0.00 9.37 v _7685_/A0 (sky130_fd_sc_hd__mux2_1)
0.10 0.36 9.72 v _7685_/X (sky130_fd_sc_hd__mux2_1)
3 0.01 _3791_ (net)
0.10 0.00 9.72 v _7692_/B1 (sky130_fd_sc_hd__a21o_1)
0.04 0.19 9.91 v _7692_/X (sky130_fd_sc_hd__a21o_1)
1 0.00 _3797_ (net)
0.04 0.00 9.91 v _7693_/B (sky130_fd_sc_hd__xnor2_1)
0.05 0.12 10.03 v _7693_/Y (sky130_fd_sc_hd__xnor2_1)
1 0.00 _3798_ (net)
0.05 0.00 10.03 v _7694_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.29 10.32 v _7694_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _3799_ (net)
0.05 0.00 10.32 v _7695_/A (sky130_fd_sc_hd__clkbuf_1)
0.02 0.09 10.41 v _7695_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 _0470_ (net)
0.02 0.00 10.41 v _8240_/D (sky130_fd_sc_hd__dfxtp_1)
10.41 data arrival time
10.00 10.00 clock i_clk (rise edge)
0.00 10.00 clock source latency
0.30 0.20 10.20 ^ i_clk (in)
2 0.06 i_clk (net)
0.30 0.00 10.20 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.21 10.41 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.06 0.00 10.41 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.08 0.15 10.56 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_1_1_0_i_clk (net)
0.08 0.00 10.56 ^ clkbuf_2_2_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.07 0.15 10.71 ^ clkbuf_2_2_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_2_2_0_i_clk (net)
0.07 0.00 10.71 ^ clkbuf_3_5_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.35 0.33 11.04 ^ clkbuf_3_5_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.20 clknet_3_5_0_i_clk (net)
0.35 0.01 11.05 ^ clkbuf_leaf_62_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.21 11.26 ^ clkbuf_leaf_62_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 clknet_leaf_62_i_clk (net)
0.05 0.00 11.26 ^ _8240_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 11.01 clock uncertainty
0.04 11.06 clock reconvergence pessimism
-0.10 10.96 library setup time
10.96 data required time
-----------------------------------------------------------------------------
10.96 data required time
-10.41 data arrival time
-----------------------------------------------------------------------------
0.55 slack (MET)
Startpoint: _7911_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _8241_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.30 0.22 0.22 ^ i_clk (in)
2 0.06 i_clk (net)
0.30 0.00 0.22 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.23 0.45 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.06 0.00 0.45 ^ clkbuf_1_0_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.09 0.17 0.62 ^ clkbuf_1_0_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_1_0_0_i_clk (net)
0.09 0.00 0.63 ^ clkbuf_2_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.17 0.79 ^ clkbuf_2_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_2_1_0_i_clk (net)
0.06 0.00 0.79 ^ clkbuf_3_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.22 0.27 1.07 ^ clkbuf_3_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.12 clknet_3_3_0_i_clk (net)
0.22 0.00 1.07 ^ _7911_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.10 0.42 1.49 ^ _7911_/Q (sky130_fd_sc_hd__dfxtp_1)
3 0.01 decode.oc_r_reg_sel[2] (net)
0.10 0.00 1.49 ^ _3854_/A (sky130_fd_sc_hd__buf_2)
0.30 0.32 1.81 ^ _3854_/X (sky130_fd_sc_hd__buf_2)
20 0.06 _0511_ (net)
0.30 0.00 1.82 ^ _3861_/A (sky130_fd_sc_hd__buf_2)
0.30 0.38 2.20 ^ _3861_/X (sky130_fd_sc_hd__buf_2)
20 0.06 _0518_ (net)
0.30 0.00 2.20 ^ _3862_/A (sky130_fd_sc_hd__clkbuf_4)
0.21 0.36 2.56 ^ _3862_/X (sky130_fd_sc_hd__clkbuf_4)
20 0.07 _0519_ (net)
0.21 0.00 2.56 ^ _3863_/A (sky130_fd_sc_hd__inv_2)
0.10 0.13 2.69 v _3863_/Y (sky130_fd_sc_hd__inv_2)
6 0.03 _0520_ (net)
0.10 0.00 2.69 v _3994_/A2 (sky130_fd_sc_hd__o21a_1)
0.03 0.19 2.87 v _3994_/X (sky130_fd_sc_hd__o21a_1)
1 0.00 _0639_ (net)
0.03 0.00 2.87 v _3996_/B (sky130_fd_sc_hd__or3_1)
0.10 0.40 3.27 v _3996_/X (sky130_fd_sc_hd__or3_1)
1 0.01 _0641_ (net)
0.10 0.00 3.27 v _3997_/B2 (sky130_fd_sc_hd__o22ai_4)
1.02 0.77 4.04 ^ _3997_/Y (sky130_fd_sc_hd__o22ai_4)
16 0.14 _0642_ (net)
1.02 0.05 4.10 ^ _3998_/A (sky130_fd_sc_hd__inv_4)
0.23 0.22 4.32 v _3998_/Y (sky130_fd_sc_hd__inv_4)
8 0.05 net243 (net)
0.23 0.00 4.32 v _5109_/A1 (sky130_fd_sc_hd__mux2_8)
0.12 0.47 4.79 v _5109_/X (sky130_fd_sc_hd__mux2_8)
14 0.09 _1562_ (net)
0.12 0.01 4.81 v _6044_/B (sky130_fd_sc_hd__nand2_2)
0.09 0.13 4.94 ^ _6044_/Y (sky130_fd_sc_hd__nand2_2)
2 0.01 _2385_ (net)
0.09 0.00 4.94 ^ _6247_/A (sky130_fd_sc_hd__and2_4)
0.08 0.19 5.13 ^ _6247_/X (sky130_fd_sc_hd__and2_4)
5 0.02 _2588_ (net)
0.08 0.00 5.13 ^ _6248_/B1 (sky130_fd_sc_hd__a21oi_1)
0.07 0.07 5.20 v _6248_/Y (sky130_fd_sc_hd__a21oi_1)
2 0.01 _2589_ (net)
0.07 0.00 5.20 v _6249_/B1 (sky130_fd_sc_hd__a21o_1)
0.07 0.21 5.41 v _6249_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _2590_ (net)
0.07 0.00 5.41 v _6251_/A2 (sky130_fd_sc_hd__a21oi_2)
0.26 0.28 5.69 ^ _6251_/Y (sky130_fd_sc_hd__a21oi_2)
3 0.02 _2592_ (net)
0.26 0.00 5.69 ^ _6253_/A2 (sky130_fd_sc_hd__o211ai_2)
0.12 0.16 5.84 v _6253_/Y (sky130_fd_sc_hd__o211ai_2)
4 0.01 _2594_ (net)
0.12 0.00 5.85 v _6257_/A3 (sky130_fd_sc_hd__a311o_2)
0.12 0.53 6.37 v _6257_/X (sky130_fd_sc_hd__a311o_2)
5 0.03 _2598_ (net)
0.12 0.00 6.37 v _6264_/A3 (sky130_fd_sc_hd__a311oi_4)
0.29 0.39 6.77 ^ _6264_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.01 _2605_ (net)
0.29 0.00 6.77 ^ _6266_/A2 (sky130_fd_sc_hd__o211ai_2)
0.11 0.16 6.92 v _6266_/Y (sky130_fd_sc_hd__o211ai_2)
3 0.01 _2607_ (net)
0.11 0.00 6.92 v _6269_/A2 (sky130_fd_sc_hd__a21oi_2)
0.19 0.24 7.17 ^ _6269_/Y (sky130_fd_sc_hd__a21oi_2)
3 0.01 _2610_ (net)
0.19 0.00 7.17 ^ _6271_/A2 (sky130_fd_sc_hd__o21ai_2)
0.09 0.11 7.28 v _6271_/Y (sky130_fd_sc_hd__o21ai_2)
3 0.01 _2612_ (net)
0.09 0.00 7.28 v _6272_/A2 (sky130_fd_sc_hd__a21o_1)
0.07 0.25 7.52 v _6272_/X (sky130_fd_sc_hd__a21o_1)
3 0.01 _2613_ (net)
0.07 0.00 7.52 v _6353_/A2 (sky130_fd_sc_hd__a21oi_2)
0.12 0.17 7.70 ^ _6353_/Y (sky130_fd_sc_hd__a21oi_2)
2 0.01 _2694_ (net)
0.12 0.00 7.70 ^ _6658_/A2_N (sky130_fd_sc_hd__a2bb2o_1)
0.06 0.32 8.02 v _6658_/X (sky130_fd_sc_hd__a2bb2o_1)
1 0.00 _2998_ (net)
0.06 0.00 8.02 v _6667_/A2 (sky130_fd_sc_hd__a211o_4)
0.16 0.47 8.49 v _6667_/X (sky130_fd_sc_hd__a211o_4)
12 0.09 _3007_ (net)
0.16 0.02 8.51 v _7669_/B (sky130_fd_sc_hd__or4_1)
0.10 0.59 9.09 v _7669_/X (sky130_fd_sc_hd__or4_1)
2 0.01 _3777_ (net)
0.10 0.00 9.09 v _7678_/A (sky130_fd_sc_hd__or2_1)
0.06 0.27 9.37 v _7678_/X (sky130_fd_sc_hd__or2_1)
2 0.01 _3785_ (net)
0.06 0.00 9.37 v _7685_/A0 (sky130_fd_sc_hd__mux2_1)
0.10 0.36 9.72 v _7685_/X (sky130_fd_sc_hd__mux2_1)
3 0.01 _3791_ (net)
0.10 0.00 9.72 v _7698_/A (sky130_fd_sc_hd__nor2_1)
0.12 0.16 9.88 ^ _7698_/Y (sky130_fd_sc_hd__nor2_1)
1 0.01 _3802_ (net)
0.12 0.00 9.88 ^ _7699_/B (sky130_fd_sc_hd__xnor2_1)
0.08 0.08 9.96 v _7699_/Y (sky130_fd_sc_hd__xnor2_1)
1 0.00 _3803_ (net)
0.08 0.00 9.96 v _7700_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.30 10.27 v _7700_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _3804_ (net)
0.05 0.00 10.27 v _7701_/A (sky130_fd_sc_hd__clkbuf_1)
0.03 0.10 10.36 v _7701_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 _0471_ (net)
0.03 0.00 10.36 v _8241_/D (sky130_fd_sc_hd__dfxtp_1)
10.36 data arrival time
10.00 10.00 clock i_clk (rise edge)
0.00 10.00 clock source latency
0.30 0.20 10.20 ^ i_clk (in)
2 0.06 i_clk (net)
0.30 0.00 10.20 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.21 10.41 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.06 0.00 10.41 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.08 0.15 10.56 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_1_1_0_i_clk (net)
0.08 0.00 10.56 ^ clkbuf_2_2_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.07 0.15 10.71 ^ clkbuf_2_2_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_2_2_0_i_clk (net)
0.07 0.00 10.71 ^ clkbuf_3_5_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.35 0.33 11.04 ^ clkbuf_3_5_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.20 clknet_3_5_0_i_clk (net)
0.35 0.01 11.05 ^ clkbuf_leaf_63_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 11.25 ^ clkbuf_leaf_63_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
5 0.02 clknet_leaf_63_i_clk (net)
0.05 0.00 11.25 ^ _8241_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 11.00 clock uncertainty
0.04 11.05 clock reconvergence pessimism
-0.10 10.95 library setup time
10.95 data required time
-----------------------------------------------------------------------------
10.95 data required time
-10.36 data arrival time
-----------------------------------------------------------------------------
0.58 slack (MET)
Startpoint: _7910_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _8232_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.30 0.22 0.22 ^ i_clk (in)
2 0.06 i_clk (net)
0.30 0.00 0.22 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.23 0.45 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.06 0.00 0.45 ^ clkbuf_1_0_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.09 0.17 0.62 ^ clkbuf_1_0_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_1_0_0_i_clk (net)
0.09 0.00 0.63 ^ clkbuf_2_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.17 0.79 ^ clkbuf_2_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_2_1_0_i_clk (net)
0.06 0.00 0.79 ^ clkbuf_3_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.22 0.27 1.07 ^ clkbuf_3_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.12 clknet_3_3_0_i_clk (net)
0.22 0.00 1.07 ^ clkbuf_leaf_7_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.19 1.26 ^ clkbuf_leaf_7_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
7 0.02 clknet_leaf_7_i_clk (net)
0.05 0.00 1.27 ^ _7910_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.13 0.39 1.65 ^ _7910_/Q (sky130_fd_sc_hd__dfxtp_1)
3 0.01 decode.oc_r_reg_sel[1] (net)
0.13 0.00 1.65 ^ _3915_/A (sky130_fd_sc_hd__clkbuf_4)
0.18 0.28 1.93 ^ _3915_/X (sky130_fd_sc_hd__clkbuf_4)
20 0.06 _0568_ (net)
0.18 0.00 1.94 ^ _3916_/A (sky130_fd_sc_hd__clkbuf_4)
0.19 0.30 2.24 ^ _3916_/X (sky130_fd_sc_hd__clkbuf_4)
20 0.06 _0569_ (net)
0.19 0.00 2.24 ^ _3918_/A_N (sky130_fd_sc_hd__and4b_1)
0.04 0.23 2.47 v _3918_/X (sky130_fd_sc_hd__and4b_1)
1 0.00 _0571_ (net)
0.04 0.00 2.47 v _3920_/C1 (sky130_fd_sc_hd__a2111o_1)
0.10 0.41 2.88 v _3920_/X (sky130_fd_sc_hd__a2111o_1)
1 0.01 _0573_ (net)
0.10 0.00 2.88 v _3921_/A3 (sky130_fd_sc_hd__o32ai_4)
0.98 0.85 3.72 ^ _3921_/Y (sky130_fd_sc_hd__o32ai_4)
10 0.10 _0574_ (net)
0.98 0.02 3.74 ^ _4870_/A2 (sky130_fd_sc_hd__o21ai_4)
0.27 0.29 4.04 v _4870_/Y (sky130_fd_sc_hd__o21ai_4)
18 0.07 _1327_ (net)
0.27 0.01 4.05 v _5704_/D (sky130_fd_sc_hd__and4_1)
0.05 0.33 4.38 v _5704_/X (sky130_fd_sc_hd__and4_1)
2 0.01 _2045_ (net)
0.05 0.00 4.38 v _5705_/B (sky130_fd_sc_hd__or4b_1)
0.11 0.57 4.94 v _5705_/X (sky130_fd_sc_hd__or4b_1)
2 0.01 _2046_ (net)
0.11 0.00 4.94 v _5706_/C (sky130_fd_sc_hd__and3_1)
0.07 0.25 5.20 v _5706_/X (sky130_fd_sc_hd__and3_1)
3 0.01 _2047_ (net)
0.07 0.00 5.20 v _5708_/A (sky130_fd_sc_hd__nor2_1)
0.22 0.22 5.42 ^ _5708_/Y (sky130_fd_sc_hd__nor2_1)
2 0.01 _2049_ (net)
0.22 0.00 5.42 ^ _5719_/A (sky130_fd_sc_hd__xnor2_1)
0.36 0.25 5.66 ^ _5719_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _2060_ (net)
0.36 0.00 5.66 ^ _5720_/B (sky130_fd_sc_hd__xor2_1)
0.21 0.27 5.93 ^ _5720_/X (sky130_fd_sc_hd__xor2_1)
2 0.01 _2061_ (net)
0.21 0.00 5.93 ^ _5721_/C (sky130_fd_sc_hd__and3_1)
0.21 0.33 6.26 ^ _5721_/X (sky130_fd_sc_hd__and3_1)
4 0.02 _2062_ (net)
0.21 0.00 6.26 ^ _5723_/B1 (sky130_fd_sc_hd__a211oi_2)
0.08 0.10 6.36 v _5723_/Y (sky130_fd_sc_hd__a211oi_2)
3 0.01 _2064_ (net)
0.08 0.00 6.36 v _5728_/A (sky130_fd_sc_hd__or3_4)
0.09 0.46 6.82 v _5728_/X (sky130_fd_sc_hd__or3_4)
4 0.02 _2069_ (net)
0.09 0.00 6.82 v _5841_/A1 (sky130_fd_sc_hd__a211oi_2)
0.25 0.28 7.10 ^ _5841_/Y (sky130_fd_sc_hd__a211oi_2)
1 0.01 _2182_ (net)
0.25 0.00 7.10 ^ _5842_/B (sky130_fd_sc_hd__nor2_4)
0.07 0.08 7.18 v _5842_/Y (sky130_fd_sc_hd__nor2_4)
2 0.02 _2183_ (net)
0.07 0.00 7.19 v _6116_/B (sky130_fd_sc_hd__xnor2_4)
0.19 0.20 7.39 ^ _6116_/Y (sky130_fd_sc_hd__xnor2_4)
3 0.02 _2457_ (net)
0.19 0.00 7.39 ^ _6118_/A (sky130_fd_sc_hd__xor2_1)
0.21 0.24 7.63 ^ _6118_/X (sky130_fd_sc_hd__xor2_1)
3 0.01 _2459_ (net)
0.21 0.00 7.63 ^ _6121_/A4 (sky130_fd_sc_hd__a41o_1)
0.29 0.40 8.03 ^ _6121_/X (sky130_fd_sc_hd__a41o_1)
8 0.03 _2462_ (net)
0.29 0.00 8.03 ^ _6279_/A2 (sky130_fd_sc_hd__a21oi_2)
0.08 0.11 8.13 v _6279_/Y (sky130_fd_sc_hd__a21oi_2)
1 0.00 _2620_ (net)
0.08 0.00 8.13 v _6339_/A1 (sky130_fd_sc_hd__o311a_4)
0.11 0.48 8.61 v _6339_/X (sky130_fd_sc_hd__o311a_4)
4 0.05 _2680_ (net)
0.11 0.01 8.62 v _6383_/B1 (sky130_fd_sc_hd__a211o_4)
0.12 0.43 9.05 v _6383_/X (sky130_fd_sc_hd__a211o_4)
10 0.05 _2724_ (net)
0.12 0.00 9.05 v _6829_/B1 (sky130_fd_sc_hd__a22o_4)
0.12 0.34 9.39 v _6829_/X (sky130_fd_sc_hd__a22o_4)
6 0.07 _3151_ (net)
0.12 0.01 9.40 v _7644_/B2 (sky130_fd_sc_hd__a2bb2o_4)
0.03 0.23 9.64 v _7644_/X (sky130_fd_sc_hd__a2bb2o_4)
1 0.00 _3756_ (net)
0.03 0.00 9.64 v _7645_/A1 (sky130_fd_sc_hd__mux2_4)
0.07 0.30 9.94 v _7645_/X (sky130_fd_sc_hd__mux2_4)
2 0.02 _3757_ (net)
0.07 0.00 9.94 v _7646_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.30 10.24 v _7646_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _3758_ (net)
0.06 0.00 10.24 v _7647_/A (sky130_fd_sc_hd__clkbuf_1)
0.03 0.10 10.34 v _7647_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 _0462_ (net)
0.03 0.00 10.34 v _8232_/D (sky130_fd_sc_hd__dfxtp_1)
10.34 data arrival time
10.00 10.00 clock i_clk (rise edge)
0.00 10.00 clock source latency
0.30 0.20 10.20 ^ i_clk (in)
2 0.06 i_clk (net)
0.30 0.00 10.20 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.21 10.41 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.06 0.00 10.41 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.08 0.15 10.56 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_1_1_0_i_clk (net)
0.08 0.00 10.56 ^ clkbuf_2_2_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.07 0.15 10.71 ^ clkbuf_2_2_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_2_2_0_i_clk (net)
0.07 0.00 10.71 ^ clkbuf_3_5_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.35 0.33 11.04 ^ clkbuf_3_5_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.20 clknet_3_5_0_i_clk (net)
0.35 0.01 11.05 ^ clkbuf_leaf_58_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 11.25 ^ clkbuf_leaf_58_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
6 0.02 clknet_leaf_58_i_clk (net)
0.05 0.00 11.25 ^ _8232_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 11.00 clock uncertainty
0.04 11.04 clock reconvergence pessimism
-0.10 10.94 library setup time
10.94 data required time
-----------------------------------------------------------------------------
10.94 data required time
-10.34 data arrival time
-----------------------------------------------------------------------------
0.60 slack (MET)
Startpoint: _7911_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _8239_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.30 0.22 0.22 ^ i_clk (in)
2 0.06 i_clk (net)
0.30 0.00 0.22 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.23 0.45 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.06 0.00 0.45 ^ clkbuf_1_0_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.09 0.17 0.62 ^ clkbuf_1_0_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_1_0_0_i_clk (net)
0.09 0.00 0.63 ^ clkbuf_2_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.17 0.79 ^ clkbuf_2_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_2_1_0_i_clk (net)
0.06 0.00 0.79 ^ clkbuf_3_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.22 0.27 1.07 ^ clkbuf_3_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.12 clknet_3_3_0_i_clk (net)
0.22 0.00 1.07 ^ _7911_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.10 0.42 1.49 ^ _7911_/Q (sky130_fd_sc_hd__dfxtp_1)
3 0.01 decode.oc_r_reg_sel[2] (net)
0.10 0.00 1.49 ^ _3854_/A (sky130_fd_sc_hd__buf_2)
0.30 0.32 1.81 ^ _3854_/X (sky130_fd_sc_hd__buf_2)
20 0.06 _0511_ (net)
0.30 0.00 1.82 ^ _3861_/A (sky130_fd_sc_hd__buf_2)
0.30 0.38 2.20 ^ _3861_/X (sky130_fd_sc_hd__buf_2)
20 0.06 _0518_ (net)
0.30 0.00 2.20 ^ _3862_/A (sky130_fd_sc_hd__clkbuf_4)
0.21 0.36 2.56 ^ _3862_/X (sky130_fd_sc_hd__clkbuf_4)
20 0.07 _0519_ (net)
0.21 0.00 2.56 ^ _3863_/A (sky130_fd_sc_hd__inv_2)
0.10 0.13 2.69 v _3863_/Y (sky130_fd_sc_hd__inv_2)
6 0.03 _0520_ (net)
0.10 0.00 2.69 v _3994_/A2 (sky130_fd_sc_hd__o21a_1)
0.03 0.19 2.87 v _3994_/X (sky130_fd_sc_hd__o21a_1)
1 0.00 _0639_ (net)
0.03 0.00 2.87 v _3996_/B (sky130_fd_sc_hd__or3_1)
0.10 0.40 3.27 v _3996_/X (sky130_fd_sc_hd__or3_1)
1 0.01 _0641_ (net)
0.10 0.00 3.27 v _3997_/B2 (sky130_fd_sc_hd__o22ai_4)
1.02 0.77 4.04 ^ _3997_/Y (sky130_fd_sc_hd__o22ai_4)
16 0.14 _0642_ (net)
1.02 0.05 4.10 ^ _3998_/A (sky130_fd_sc_hd__inv_4)
0.23 0.22 4.32 v _3998_/Y (sky130_fd_sc_hd__inv_4)
8 0.05 net243 (net)
0.23 0.00 4.32 v _5109_/A1 (sky130_fd_sc_hd__mux2_8)
0.12 0.47 4.79 v _5109_/X (sky130_fd_sc_hd__mux2_8)
14 0.09 _1562_ (net)
0.12 0.01 4.81 v _6044_/B (sky130_fd_sc_hd__nand2_2)
0.09 0.13 4.94 ^ _6044_/Y (sky130_fd_sc_hd__nand2_2)
2 0.01 _2385_ (net)
0.09 0.00 4.94 ^ _6247_/A (sky130_fd_sc_hd__and2_4)
0.08 0.19 5.13 ^ _6247_/X (sky130_fd_sc_hd__and2_4)
5 0.02 _2588_ (net)
0.08 0.00 5.13 ^ _6248_/B1 (sky130_fd_sc_hd__a21oi_1)
0.07 0.07 5.20 v _6248_/Y (sky130_fd_sc_hd__a21oi_1)
2 0.01 _2589_ (net)
0.07 0.00 5.20 v _6249_/B1 (sky130_fd_sc_hd__a21o_1)
0.07 0.21 5.41 v _6249_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _2590_ (net)
0.07 0.00 5.41 v _6251_/A2 (sky130_fd_sc_hd__a21oi_2)
0.26 0.28 5.69 ^ _6251_/Y (sky130_fd_sc_hd__a21oi_2)
3 0.02 _2592_ (net)
0.26 0.00 5.69 ^ _6253_/A2 (sky130_fd_sc_hd__o211ai_2)
0.12 0.16 5.84 v _6253_/Y (sky130_fd_sc_hd__o211ai_2)
4 0.01 _2594_ (net)
0.12 0.00 5.85 v _6257_/A3 (sky130_fd_sc_hd__a311o_2)
0.12 0.53 6.37 v _6257_/X (sky130_fd_sc_hd__a311o_2)
5 0.03 _2598_ (net)
0.12 0.00 6.37 v _6264_/A3 (sky130_fd_sc_hd__a311oi_4)
0.29 0.39 6.77 ^ _6264_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.01 _2605_ (net)
0.29 0.00 6.77 ^ _6266_/A2 (sky130_fd_sc_hd__o211ai_2)
0.11 0.16 6.92 v _6266_/Y (sky130_fd_sc_hd__o211ai_2)
3 0.01 _2607_ (net)
0.11 0.00 6.92 v _6269_/A2 (sky130_fd_sc_hd__a21oi_2)
0.19 0.24 7.17 ^ _6269_/Y (sky130_fd_sc_hd__a21oi_2)
3 0.01 _2610_ (net)
0.19 0.00 7.17 ^ _6271_/A2 (sky130_fd_sc_hd__o21ai_2)
0.09 0.11 7.28 v _6271_/Y (sky130_fd_sc_hd__o21ai_2)
3 0.01 _2612_ (net)
0.09 0.00 7.28 v _6272_/A2 (sky130_fd_sc_hd__a21o_1)
0.07 0.25 7.52 v _6272_/X (sky130_fd_sc_hd__a21o_1)
3 0.01 _2613_ (net)
0.07 0.00 7.52 v _6353_/A2 (sky130_fd_sc_hd__a21oi_2)
0.12 0.17 7.70 ^ _6353_/Y (sky130_fd_sc_hd__a21oi_2)
2 0.01 _2694_ (net)
0.12 0.00 7.70 ^ _6658_/A2_N (sky130_fd_sc_hd__a2bb2o_1)
0.06 0.32 8.02 v _6658_/X (sky130_fd_sc_hd__a2bb2o_1)
1 0.00 _2998_ (net)
0.06 0.00 8.02 v _6667_/A2 (sky130_fd_sc_hd__a211o_4)
0.16 0.47 8.49 v _6667_/X (sky130_fd_sc_hd__a211o_4)
12 0.09 _3007_ (net)
0.16 0.02 8.51 v _7669_/B (sky130_fd_sc_hd__or4_1)
0.10 0.59 9.09 v _7669_/X (sky130_fd_sc_hd__or4_1)
2 0.01 _3777_ (net)
0.10 0.00 9.09 v _7678_/A (sky130_fd_sc_hd__or2_1)
0.06 0.27 9.37 v _7678_/X (sky130_fd_sc_hd__or2_1)
2 0.01 _3785_ (net)
0.06 0.00 9.37 v _7685_/A0 (sky130_fd_sc_hd__mux2_1)
0.10 0.36 9.72 v _7685_/X (sky130_fd_sc_hd__mux2_1)
3 0.01 _3791_ (net)
0.10 0.00 9.72 v _7686_/B (sky130_fd_sc_hd__xnor2_1)
0.08 0.17 9.89 v _7686_/Y (sky130_fd_sc_hd__xnor2_1)
1 0.01 _3792_ (net)
0.08 0.00 9.89 v _7687_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.30 10.19 v _7687_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _3793_ (net)
0.06 0.00 10.19 v _7688_/A (sky130_fd_sc_hd__clkbuf_1)
0.02 0.09 10.29 v _7688_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 _0469_ (net)
0.02 0.00 10.29 v _8239_/D (sky130_fd_sc_hd__dfxtp_1)
10.29 data arrival time
10.00 10.00 clock i_clk (rise edge)
0.00 10.00 clock source latency
0.30 0.20 10.20 ^ i_clk (in)
2 0.06 i_clk (net)
0.30 0.00 10.20 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.21 10.41 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.06 0.00 10.41 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.08 0.15 10.56 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_1_1_0_i_clk (net)
0.08 0.00 10.56 ^ clkbuf_2_2_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.07 0.15 10.71 ^ clkbuf_2_2_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_2_2_0_i_clk (net)
0.07 0.00 10.71 ^ clkbuf_3_5_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.35 0.33 11.04 ^ clkbuf_3_5_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.20 clknet_3_5_0_i_clk (net)
0.35 0.01 11.05 ^ clkbuf_leaf_62_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.21 11.26 ^ clkbuf_leaf_62_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 clknet_leaf_62_i_clk (net)
0.05 0.00 11.26 ^ _8239_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 11.01 clock uncertainty
0.04 11.06 clock reconvergence pessimism
-0.10 10.96 library setup time
10.96 data required time
-----------------------------------------------------------------------------
10.96 data required time
-10.29 data arrival time
-----------------------------------------------------------------------------
0.67 slack (MET)
Startpoint: _7983_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: o_req_ppl_submit (output port clocked by i_clk)
Path Group: i_clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.30 0.22 0.22 ^ i_clk (in)
2 0.06 i_clk (net)
0.30 0.00 0.22 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.23 0.45 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.06 0.00 0.45 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.08 0.17 0.62 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_1_1_0_i_clk (net)
0.08 0.00 0.62 ^ clkbuf_2_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.08 0.17 0.79 ^ clkbuf_2_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_2_3_0_i_clk (net)
0.08 0.00 0.80 ^ clkbuf_3_7_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.41 0.42 1.21 ^ clkbuf_3_7_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
36 0.24 clknet_3_7_0_i_clk (net)
0.41 0.02 1.23 ^ clkbuf_leaf_25_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.24 1.47 ^ clkbuf_leaf_25_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
7 0.03 clknet_leaf_25_i_clk (net)
0.05 0.00 1.47 ^ _7983_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.25 0.52 2.00 ^ _7983_/Q (sky130_fd_sc_hd__dfxtp_4)
14 0.09 net145 (net)
0.25 0.00 2.00 ^ _4036_/A (sky130_fd_sc_hd__clkbuf_4)
0.20 0.34 2.34 ^ _4036_/X (sky130_fd_sc_hd__clkbuf_4)
20 0.07 _0677_ (net)
0.20 0.00 2.34 ^ _4039_/A (sky130_fd_sc_hd__nand2_2)
0.21 0.23 2.57 v _4039_/Y (sky130_fd_sc_hd__nand2_2)
10 0.05 _0680_ (net)
0.21 0.01 2.58 v _4040_/B1 (sky130_fd_sc_hd__a2bb2o_1)
0.05 0.34 2.92 v _4040_/X (sky130_fd_sc_hd__a2bb2o_1)
1 0.00 _0681_ (net)
0.05 0.00 2.92 v _4041_/C1 (sky130_fd_sc_hd__a221o_1)
0.05 0.26 3.18 v _4041_/X (sky130_fd_sc_hd__a221o_1)
1 0.00 _0682_ (net)
0.05 0.00 3.18 v _4054_/A (sky130_fd_sc_hd__or3_1)
0.14 0.48 3.67 v _4054_/X (sky130_fd_sc_hd__or3_1)
2 0.02 _0695_ (net)
0.14 0.00 3.67 v _4055_/B (sky130_fd_sc_hd__or2_4)
0.14 0.38 4.05 v _4055_/X (sky130_fd_sc_hd__or2_4)
8 0.08 _0696_ (net)
0.15 0.02 4.07 v _4057_/B (sky130_fd_sc_hd__or3_1)
0.10 0.44 4.51 v _4057_/X (sky130_fd_sc_hd__or3_1)
2 0.01 _0698_ (net)
0.10 0.00 4.51 v _4098_/A_N (sky130_fd_sc_hd__and3b_1)
0.06 0.24 4.75 ^ _4098_/X (sky130_fd_sc_hd__and3b_1)
1 0.00 _0739_ (net)
0.06 0.00 4.75 ^ _4099_/A (sky130_fd_sc_hd__buf_4)
0.25 0.27 5.03 ^ _4099_/X (sky130_fd_sc_hd__buf_4)
16 0.09 _0740_ (net)
0.25 0.00 5.03 ^ _4100_/B (sky130_fd_sc_hd__nor2_2)
0.10 0.14 5.17 v _4100_/Y (sky130_fd_sc_hd__nor2_2)
4 0.03 _0741_ (net)
0.10 0.00 5.17 v _4101_/B (sky130_fd_sc_hd__nor2_4)
0.33 0.30 5.47 ^ _4101_/Y (sky130_fd_sc_hd__nor2_4)
8 0.05 net103 (net)
0.33 0.00 5.48 ^ _4102_/B1 (sky130_fd_sc_hd__o21ai_1)
0.10 0.14 5.62 v _4102_/Y (sky130_fd_sc_hd__o21ai_1)
1 0.00 _0742_ (net)
0.10 0.00 5.62 v _4145_/B1 (sky130_fd_sc_hd__o221a_1)
0.11 0.33 5.95 v _4145_/X (sky130_fd_sc_hd__o221a_1)
2 0.02 _0785_ (net)
0.11 0.00 5.95 v _4146_/B (sky130_fd_sc_hd__nor2_4)
0.39 0.35 6.31 ^ _4146_/Y (sky130_fd_sc_hd__nor2_4)
18 0.06 _0786_ (net)
0.39 0.00 6.31 ^ _4147_/A (sky130_fd_sc_hd__buf_4)
0.22 0.34 6.65 ^ _4147_/X (sky130_fd_sc_hd__buf_4)
20 0.08 net217 (net)
0.22 0.01 6.65 ^ output217/A (sky130_fd_sc_hd__buf_2)
0.17 0.27 6.92 ^ output217/X (sky130_fd_sc_hd__buf_2)
1 0.03 o_req_ppl_submit (net)
0.17 0.00 6.92 ^ o_req_ppl_submit (out)
6.92 data arrival time
10.00 10.00 clock i_clk (rise edge)
0.00 10.00 clock network delay (propagated)
-0.25 9.75 clock uncertainty
0.00 9.75 clock reconvergence pessimism
-2.00 7.75 output external delay
7.75 data required time
-----------------------------------------------------------------------------
7.75 data required time
-6.92 data arrival time
-----------------------------------------------------------------------------
0.83 slack (MET)
max_report_end
check_report
===========================================================================
report_checks -unconstrained
============================================================================
Startpoint: _7911_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _8240_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.30 0.22 0.22 ^ i_clk (in)
2 0.06 i_clk (net)
0.30 0.00 0.22 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.23 0.45 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.06 0.00 0.45 ^ clkbuf_1_0_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.09 0.17 0.62 ^ clkbuf_1_0_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_1_0_0_i_clk (net)
0.09 0.00 0.63 ^ clkbuf_2_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.17 0.79 ^ clkbuf_2_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_2_1_0_i_clk (net)
0.06 0.00 0.79 ^ clkbuf_3_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.22 0.27 1.07 ^ clkbuf_3_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.12 clknet_3_3_0_i_clk (net)
0.22 0.00 1.07 ^ _7911_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.10 0.42 1.49 ^ _7911_/Q (sky130_fd_sc_hd__dfxtp_1)
3 0.01 decode.oc_r_reg_sel[2] (net)
0.10 0.00 1.49 ^ _3854_/A (sky130_fd_sc_hd__buf_2)
0.30 0.32 1.81 ^ _3854_/X (sky130_fd_sc_hd__buf_2)
20 0.06 _0511_ (net)
0.30 0.00 1.82 ^ _3861_/A (sky130_fd_sc_hd__buf_2)
0.30 0.38 2.20 ^ _3861_/X (sky130_fd_sc_hd__buf_2)
20 0.06 _0518_ (net)
0.30 0.00 2.20 ^ _3862_/A (sky130_fd_sc_hd__clkbuf_4)
0.21 0.36 2.56 ^ _3862_/X (sky130_fd_sc_hd__clkbuf_4)
20 0.07 _0519_ (net)
0.21 0.00 2.56 ^ _3863_/A (sky130_fd_sc_hd__inv_2)
0.10 0.13 2.69 v _3863_/Y (sky130_fd_sc_hd__inv_2)
6 0.03 _0520_ (net)
0.10 0.00 2.69 v _3994_/A2 (sky130_fd_sc_hd__o21a_1)
0.03 0.19 2.87 v _3994_/X (sky130_fd_sc_hd__o21a_1)
1 0.00 _0639_ (net)
0.03 0.00 2.87 v _3996_/B (sky130_fd_sc_hd__or3_1)
0.10 0.40 3.27 v _3996_/X (sky130_fd_sc_hd__or3_1)
1 0.01 _0641_ (net)
0.10 0.00 3.27 v _3997_/B2 (sky130_fd_sc_hd__o22ai_4)
1.02 0.77 4.04 ^ _3997_/Y (sky130_fd_sc_hd__o22ai_4)
16 0.14 _0642_ (net)
1.02 0.05 4.10 ^ _3998_/A (sky130_fd_sc_hd__inv_4)
0.23 0.22 4.32 v _3998_/Y (sky130_fd_sc_hd__inv_4)
8 0.05 net243 (net)
0.23 0.00 4.32 v _5109_/A1 (sky130_fd_sc_hd__mux2_8)
0.12 0.47 4.79 v _5109_/X (sky130_fd_sc_hd__mux2_8)
14 0.09 _1562_ (net)
0.12 0.01 4.81 v _6044_/B (sky130_fd_sc_hd__nand2_2)
0.09 0.13 4.94 ^ _6044_/Y (sky130_fd_sc_hd__nand2_2)
2 0.01 _2385_ (net)
0.09 0.00 4.94 ^ _6247_/A (sky130_fd_sc_hd__and2_4)
0.08 0.19 5.13 ^ _6247_/X (sky130_fd_sc_hd__and2_4)
5 0.02 _2588_ (net)
0.08 0.00 5.13 ^ _6248_/B1 (sky130_fd_sc_hd__a21oi_1)
0.07 0.07 5.20 v _6248_/Y (sky130_fd_sc_hd__a21oi_1)
2 0.01 _2589_ (net)
0.07 0.00 5.20 v _6249_/B1 (sky130_fd_sc_hd__a21o_1)
0.07 0.21 5.41 v _6249_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _2590_ (net)
0.07 0.00 5.41 v _6251_/A2 (sky130_fd_sc_hd__a21oi_2)
0.26 0.28 5.69 ^ _6251_/Y (sky130_fd_sc_hd__a21oi_2)
3 0.02 _2592_ (net)
0.26 0.00 5.69 ^ _6253_/A2 (sky130_fd_sc_hd__o211ai_2)
0.12 0.16 5.84 v _6253_/Y (sky130_fd_sc_hd__o211ai_2)
4 0.01 _2594_ (net)
0.12 0.00 5.85 v _6257_/A3 (sky130_fd_sc_hd__a311o_2)
0.12 0.53 6.37 v _6257_/X (sky130_fd_sc_hd__a311o_2)
5 0.03 _2598_ (net)
0.12 0.00 6.37 v _6264_/A3 (sky130_fd_sc_hd__a311oi_4)
0.29 0.39 6.77 ^ _6264_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.01 _2605_ (net)
0.29 0.00 6.77 ^ _6266_/A2 (sky130_fd_sc_hd__o211ai_2)
0.11 0.16 6.92 v _6266_/Y (sky130_fd_sc_hd__o211ai_2)
3 0.01 _2607_ (net)
0.11 0.00 6.92 v _6269_/A2 (sky130_fd_sc_hd__a21oi_2)
0.19 0.24 7.17 ^ _6269_/Y (sky130_fd_sc_hd__a21oi_2)
3 0.01 _2610_ (net)
0.19 0.00 7.17 ^ _6271_/A2 (sky130_fd_sc_hd__o21ai_2)
0.09 0.11 7.28 v _6271_/Y (sky130_fd_sc_hd__o21ai_2)
3 0.01 _2612_ (net)
0.09 0.00 7.28 v _6272_/A2 (sky130_fd_sc_hd__a21o_1)
0.07 0.25 7.52 v _6272_/X (sky130_fd_sc_hd__a21o_1)
3 0.01 _2613_ (net)
0.07 0.00 7.52 v _6353_/A2 (sky130_fd_sc_hd__a21oi_2)
0.12 0.17 7.70 ^ _6353_/Y (sky130_fd_sc_hd__a21oi_2)
2 0.01 _2694_ (net)
0.12 0.00 7.70 ^ _6658_/A2_N (sky130_fd_sc_hd__a2bb2o_1)
0.06 0.32 8.02 v _6658_/X (sky130_fd_sc_hd__a2bb2o_1)
1 0.00 _2998_ (net)
0.06 0.00 8.02 v _6667_/A2 (sky130_fd_sc_hd__a211o_4)
0.16 0.47 8.49 v _6667_/X (sky130_fd_sc_hd__a211o_4)
12 0.09 _3007_ (net)
0.16 0.02 8.51 v _7669_/B (sky130_fd_sc_hd__or4_1)
0.10 0.59 9.09 v _7669_/X (sky130_fd_sc_hd__or4_1)
2 0.01 _3777_ (net)
0.10 0.00 9.09 v _7678_/A (sky130_fd_sc_hd__or2_1)
0.06 0.27 9.37 v _7678_/X (sky130_fd_sc_hd__or2_1)
2 0.01 _3785_ (net)
0.06 0.00 9.37 v _7685_/A0 (sky130_fd_sc_hd__mux2_1)
0.10 0.36 9.72 v _7685_/X (sky130_fd_sc_hd__mux2_1)
3 0.01 _3791_ (net)
0.10 0.00 9.72 v _7692_/B1 (sky130_fd_sc_hd__a21o_1)
0.04 0.19 9.91 v _7692_/X (sky130_fd_sc_hd__a21o_1)
1 0.00 _3797_ (net)
0.04 0.00 9.91 v _7693_/B (sky130_fd_sc_hd__xnor2_1)
0.05 0.12 10.03 v _7693_/Y (sky130_fd_sc_hd__xnor2_1)
1 0.00 _3798_ (net)
0.05 0.00 10.03 v _7694_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.29 10.32 v _7694_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _3799_ (net)
0.05 0.00 10.32 v _7695_/A (sky130_fd_sc_hd__clkbuf_1)
0.02 0.09 10.41 v _7695_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 _0470_ (net)
0.02 0.00 10.41 v _8240_/D (sky130_fd_sc_hd__dfxtp_1)
10.41 data arrival time
10.00 10.00 clock i_clk (rise edge)
0.00 10.00 clock source latency
0.30 0.20 10.20 ^ i_clk (in)
2 0.06 i_clk (net)
0.30 0.00 10.20 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.21 10.41 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.06 0.00 10.41 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.08 0.15 10.56 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_1_1_0_i_clk (net)
0.08 0.00 10.56 ^ clkbuf_2_2_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.07 0.15 10.71 ^ clkbuf_2_2_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_2_2_0_i_clk (net)
0.07 0.00 10.71 ^ clkbuf_3_5_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.35 0.33 11.04 ^ clkbuf_3_5_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.20 clknet_3_5_0_i_clk (net)
0.35 0.01 11.05 ^ clkbuf_leaf_62_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.21 11.26 ^ clkbuf_leaf_62_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 clknet_leaf_62_i_clk (net)
0.05 0.00 11.26 ^ _8240_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 11.01 clock uncertainty
0.04 11.06 clock reconvergence pessimism
-0.10 10.96 library setup time
10.96 data required time
-----------------------------------------------------------------------------
10.96 data required time
-10.41 data arrival time
-----------------------------------------------------------------------------
0.55 slack (MET)
===========================================================================
report_checks --slack_max -0.01
============================================================================
No paths found.
check_report_end
check_slew
===========================================================================
report_check_types -max_slew -max_cap -max_fanout -violators
============================================================================
max fanout
Pin Limit Fanout Slack
---------------------------------------------------------
clkbuf_3_7_0_i_clk/X 10 36 -26 (VIOLATED)
clkbuf_3_5_0_i_clk/X 10 30 -20 (VIOLATED)
clkbuf_3_6_0_i_clk/X 10 28 -18 (VIOLATED)
clkbuf_3_1_0_i_clk/X 10 24 -14 (VIOLATED)
_3854_/X 10 20 -10 (VIOLATED)
_3857_/X 10 20 -10 (VIOLATED)
_3860_/X 10 20 -10 (VIOLATED)
_3861_/X 10 20 -10 (VIOLATED)
_3862_/X 10 20 -10 (VIOLATED)
_3866_/X 10 20 -10 (VIOLATED)
_3871_/X 10 20 -10 (VIOLATED)
_3872_/X 10 20 -10 (VIOLATED)
_3874_/X 10 20 -10 (VIOLATED)
_3875_/X 10 20 -10 (VIOLATED)
_3892_/X 10 20 -10 (VIOLATED)
_3912_/X 10 20 -10 (VIOLATED)
_3913_/X 10 20 -10 (VIOLATED)
_3914_/X 10 20 -10 (VIOLATED)
_3915_/X 10 20 -10 (VIOLATED)
_3916_/X 10 20 -10 (VIOLATED)
_4014_/X 10 20 -10 (VIOLATED)
_4027_/X 10 20 -10 (VIOLATED)
_4029_/X 10 20 -10 (VIOLATED)
_4030_/X 10 20 -10 (VIOLATED)
_4031_/X 10 20 -10 (VIOLATED)
_4035_/X 10 20 -10 (VIOLATED)
_4036_/X 10 20 -10 (VIOLATED)
_4058_/X 10 20 -10 (VIOLATED)
_4059_/X 10 20 -10 (VIOLATED)
_4061_/X 10 20 -10 (VIOLATED)
_4062_/X 10 20 -10 (VIOLATED)
_4064_/X 10 20 -10 (VIOLATED)
_4065_/X 10 20 -10 (VIOLATED)
_4147_/X 10 20 -10 (VIOLATED)
_4156_/X 10 20 -10 (VIOLATED)
_4162_/X 10 20 -10 (VIOLATED)
_4163_/X 10 20 -10 (VIOLATED)
_4179_/X 10 20 -10 (VIOLATED)
_4180_/X 10 20 -10 (VIOLATED)
_4192_/X 10 20 -10 (VIOLATED)
_4211_/X 10 20 -10 (VIOLATED)
_4212_/X 10 20 -10 (VIOLATED)
_4219_/X 10 20 -10 (VIOLATED)
_4220_/X 10 20 -10 (VIOLATED)
_4223_/X 10 20 -10 (VIOLATED)
_4228_/X 10 20 -10 (VIOLATED)
_4235_/X 10 20 -10 (VIOLATED)
_4239_/X 10 20 -10 (VIOLATED)
_4251_/X 10 20 -10 (VIOLATED)
_4262_/X 10 20 -10 (VIOLATED)
_4299_/X 10 20 -10 (VIOLATED)
_4301_/X 10 20 -10 (VIOLATED)
_4303_/X 10 20 -10 (VIOLATED)
_4305_/X 10 20 -10 (VIOLATED)
_4307_/X 10 20 -10 (VIOLATED)
_4311_/X 10 20 -10 (VIOLATED)
_4313_/X 10 20 -10 (VIOLATED)
_4315_/X 10 20 -10 (VIOLATED)
_4317_/X 10 20 -10 (VIOLATED)
_4460_/X 10 20 -10 (VIOLATED)
_4539_/X 10 20 -10 (VIOLATED)
_4542_/X 10 20 -10 (VIOLATED)
_4543_/X 10 20 -10 (VIOLATED)
_4556_/X 10 20 -10 (VIOLATED)
_4563_/X 10 20 -10 (VIOLATED)
_4573_/X 10 20 -10 (VIOLATED)
_4608_/X 10 20 -10 (VIOLATED)
_4616_/X 10 20 -10 (VIOLATED)
_4617_/X 10 20 -10 (VIOLATED)
_4627_/X 10 20 -10 (VIOLATED)
_4628_/X 10 20 -10 (VIOLATED)
_4629_/X 10 20 -10 (VIOLATED)
_4632_/X 10 20 -10 (VIOLATED)
_4645_/X 10 20 -10 (VIOLATED)
_4653_/X 10 20 -10 (VIOLATED)
_4668_/X 10 20 -10 (VIOLATED)
_4689_/X 10 20 -10 (VIOLATED)
_4715_/X 10 20 -10 (VIOLATED)
_4797_/X 10 20 -10 (VIOLATED)
_4847_/X 10 20 -10 (VIOLATED)
_4848_/Y 10 20 -10 (VIOLATED)
_4850_/X 10 20 -10 (VIOLATED)
_4853_/X 10 20 -10 (VIOLATED)
_4854_/X 10 20 -10 (VIOLATED)
_4856_/X 10 20 -10 (VIOLATED)
_4860_/X 10 20 -10 (VIOLATED)
_4862_/X 10 20 -10 (VIOLATED)
_4865_/X 10 20 -10 (VIOLATED)
_4866_/X 10 20 -10 (VIOLATED)
_4868_/Y 10 20 -10 (VIOLATED)
_4871_/X 10 20 -10 (VIOLATED)
_4875_/X 10 20 -10 (VIOLATED)
_4878_/X 10 20 -10 (VIOLATED)
_4882_/X 10 20 -10 (VIOLATED)
_4883_/X 10 20 -10 (VIOLATED)
_4884_/X 10 20 -10 (VIOLATED)
_4888_/X 10 20 -10 (VIOLATED)
_4890_/X 10 20 -10 (VIOLATED)
_4891_/X 10 20 -10 (VIOLATED)
_4892_/X 10 20 -10 (VIOLATED)
_4896_/X 10 20 -10 (VIOLATED)
_4898_/X 10 20 -10 (VIOLATED)
_4899_/X 10 20 -10 (VIOLATED)
_4902_/X 10 20 -10 (VIOLATED)
_4904_/X 10 20 -10 (VIOLATED)
_4905_/X 10 20 -10 (VIOLATED)
_4910_/X 10 20 -10 (VIOLATED)
_4912_/X 10 20 -10 (VIOLATED)
_4920_/X 10 20 -10 (VIOLATED)
_4922_/X 10 20 -10 (VIOLATED)
_4926_/X 10 20 -10 (VIOLATED)
_4930_/X 10 20 -10 (VIOLATED)
_4932_/X 10 20 -10 (VIOLATED)
_4937_/X 10 20 -10 (VIOLATED)
_4938_/X 10 20 -10 (VIOLATED)
_4944_/X 10 20 -10 (VIOLATED)
_4946_/X 10 20 -10 (VIOLATED)
_4949_/X 10 20 -10 (VIOLATED)
_4953_/X 10 20 -10 (VIOLATED)
_4963_/X 10 20 -10 (VIOLATED)
_4964_/X 10 20 -10 (VIOLATED)
_4979_/X 10 20 -10 (VIOLATED)
_4986_/X 10 20 -10 (VIOLATED)
_4992_/X 10 20 -10 (VIOLATED)
_5004_/X 10 20 -10 (VIOLATED)
_5012_/X 10 20 -10 (VIOLATED)
_5023_/X 10 20 -10 (VIOLATED)
_5033_/X 10 20 -10 (VIOLATED)
_5043_/X 10 20 -10 (VIOLATED)
_5052_/X 10 20 -10 (VIOLATED)
_5059_/X 10 20 -10 (VIOLATED)
_5060_/X 10 20 -10 (VIOLATED)
_5082_/X 10 20 -10 (VIOLATED)
_5087_/X 10 20 -10 (VIOLATED)
_5089_/X 10 20 -10 (VIOLATED)
_5090_/X 10 20 -10 (VIOLATED)
_5098_/X 10 20 -10 (VIOLATED)
_5102_/X 10 20 -10 (VIOLATED)
_5106_/X 10 20 -10 (VIOLATED)
_5110_/X 10 20 -10 (VIOLATED)
_5125_/X 10 20 -10 (VIOLATED)
_5126_/X 10 20 -10 (VIOLATED)
_5138_/X 10 20 -10 (VIOLATED)
_5156_/X 10 20 -10 (VIOLATED)
_5163_/X 10 20 -10 (VIOLATED)
_5169_/X 10 20 -10 (VIOLATED)
_5173_/X 10 20 -10 (VIOLATED)
_5175_/X 10 20 -10 (VIOLATED)
_5180_/X 10 20 -10 (VIOLATED)
_5198_/X 10 20 -10 (VIOLATED)
_5211_/X 10 20 -10 (VIOLATED)
_5299_/X 10 20 -10 (VIOLATED)
_5343_/X 10 20 -10 (VIOLATED)
_5421_/X 10 20 -10 (VIOLATED)
_5433_/X 10 20 -10 (VIOLATED)
_5440_/X 10 20 -10 (VIOLATED)
_5445_/X 10 20 -10 (VIOLATED)
_5448_/X 10 20 -10 (VIOLATED)
_5449_/X 10 20 -10 (VIOLATED)
_5458_/X 10 20 -10 (VIOLATED)
_5459_/X 10 20 -10 (VIOLATED)
_5504_/X 10 20 -10 (VIOLATED)
_5508_/X 10 20 -10 (VIOLATED)
_5549_/X 10 20 -10 (VIOLATED)
_5550_/X 10 20 -10 (VIOLATED)
_5584_/X 10 20 -10 (VIOLATED)
_5586_/X 10 20 -10 (VIOLATED)
_5625_/Y 10 20 -10 (VIOLATED)
_5703_/X 10 20 -10 (VIOLATED)
_5776_/X 10 20 -10 (VIOLATED)
_5955_/X 10 20 -10 (VIOLATED)
_6203_/X 10 20 -10 (VIOLATED)
_6700_/X 10 20 -10 (VIOLATED)
_6703_/X 10 20 -10 (VIOLATED)
_6765_/X 10 20 -10 (VIOLATED)
_6874_/X 10 20 -10 (VIOLATED)
_6875_/X 10 20 -10 (VIOLATED)
_7043_/X 10 20 -10 (VIOLATED)
_7044_/Y 10 20 -10 (VIOLATED)
_7049_/X 10 20 -10 (VIOLATED)
_7087_/X 10 20 -10 (VIOLATED)
_7097_/X 10 20 -10 (VIOLATED)
_7125_/X 10 20 -10 (VIOLATED)
_7133_/X 10 20 -10 (VIOLATED)
_7143_/X 10 20 -10 (VIOLATED)
_7155_/X 10 20 -10 (VIOLATED)
_7163_/X 10 20 -10 (VIOLATED)
_7179_/X 10 20 -10 (VIOLATED)
_7181_/X 10 20 -10 (VIOLATED)
_7200_/X 10 20 -10 (VIOLATED)
_7204_/X 10 20 -10 (VIOLATED)
_7218_/X 10 20 -10 (VIOLATED)
_7226_/X 10 20 -10 (VIOLATED)
_7238_/X 10 20 -10 (VIOLATED)
_7250_/X 10 20 -10 (VIOLATED)
_7256_/X 10 20 -10 (VIOLATED)
_7272_/X 10 20 -10 (VIOLATED)
_7276_/X 10 20 -10 (VIOLATED)
_7293_/X 10 20 -10 (VIOLATED)
_7297_/X 10 20 -10 (VIOLATED)
_7313_/X 10 20 -10 (VIOLATED)
_7321_/X 10 20 -10 (VIOLATED)
_7343_/X 10 20 -10 (VIOLATED)
_7351_/X 10 20 -10 (VIOLATED)
_7367_/X 10 20 -10 (VIOLATED)
_7389_/Y 10 20 -10 (VIOLATED)
_7390_/X 10 20 -10 (VIOLATED)
_7407_/X 10 20 -10 (VIOLATED)
_7467_/Y 10 20 -10 (VIOLATED)
_7471_/X 10 20 -10 (VIOLATED)
_7500_/X 10 20 -10 (VIOLATED)
_7543_/X 10 20 -10 (VIOLATED)
_7719_/X 10 20 -10 (VIOLATED)
_7885_/Q 10 20 -10 (VIOLATED)
_7928_/Q 10 20 -10 (VIOLATED)
_8008_/Q 10 20 -10 (VIOLATED)
_8009_/Q 10 20 -10 (VIOLATED)
_8011_/Q 10 20 -10 (VIOLATED)
_8014_/Q 10 20 -10 (VIOLATED)
_8017_/Q 10 20 -10 (VIOLATED)
_8021_/Q 10 20 -10 (VIOLATED)
clkbuf_3_4_0_i_clk/X 10 20 -10 (VIOLATED)
fanout251/X 10 20 -10 (VIOLATED)
fanout252/X 10 20 -10 (VIOLATED)
input41/X 10 20 -10 (VIOLATED)
_3868_/X 10 18 -8 (VIOLATED)
_4069_/X 10 18 -8 (VIOLATED)
_4072_/Y 10 18 -8 (VIOLATED)
_4146_/Y 10 18 -8 (VIOLATED)
_4547_/X 10 18 -8 (VIOLATED)
_4605_/X 10 18 -8 (VIOLATED)
_4855_/X 10 18 -8 (VIOLATED)
_4870_/Y 10 18 -8 (VIOLATED)
_5159_/Y 10 18 -8 (VIOLATED)
_5196_/X 10 18 -8 (VIOLATED)
_5348_/Y 10 18 -8 (VIOLATED)
_6171_/Y 10 18 -8 (VIOLATED)
_7886_/Q 10 18 -8 (VIOLATED)
_8010_/Q 10 18 -8 (VIOLATED)
_8012_/Q 10 18 -8 (VIOLATED)
_8013_/Q 10 18 -8 (VIOLATED)
_8015_/Q 10 18 -8 (VIOLATED)
_8016_/Q 10 18 -8 (VIOLATED)
_8018_/Q 10 18 -8 (VIOLATED)
_8019_/Q 10 18 -8 (VIOLATED)
clkbuf_3_0_0_i_clk/X 10 18 -8 (VIOLATED)
clkbuf_3_3_0_i_clk/X 10 18 -8 (VIOLATED)
input1/X 10 18 -8 (VIOLATED)
input2/X 10 18 -8 (VIOLATED)
input3/X 10 18 -8 (VIOLATED)
_3997_/Y 10 16 -6 (VIOLATED)
_4099_/X 10 16 -6 (VIOLATED)
_4160_/X 10 16 -6 (VIOLATED)
_4164_/Y 10 16 -6 (VIOLATED)
_4178_/Y 10 16 -6 (VIOLATED)
_4601_/Y 10 16 -6 (VIOLATED)
_4901_/X 10 16 -6 (VIOLATED)
_5096_/Y 10 16 -6 (VIOLATED)
_5168_/X 10 16 -6 (VIOLATED)
_6174_/X 10 16 -6 (VIOLATED)
_6853_/Y 10 16 -6 (VIOLATED)
_7000_/X 10 16 -6 (VIOLATED)
_7047_/X 10 16 -6 (VIOLATED)
_7054_/X 10 16 -6 (VIOLATED)
_7059_/X 10 16 -6 (VIOLATED)
_7064_/X 10 16 -6 (VIOLATED)
_7069_/X 10 16 -6 (VIOLATED)
_7074_/X 10 16 -6 (VIOLATED)
_7079_/X 10 16 -6 (VIOLATED)
_7084_/X 10 16 -6 (VIOLATED)
_7091_/X 10 16 -6 (VIOLATED)
_7095_/X 10 16 -6 (VIOLATED)
_7100_/X 10 16 -6 (VIOLATED)
_7104_/X 10 16 -6 (VIOLATED)
_7108_/X 10 16 -6 (VIOLATED)
_7112_/X 10 16 -6 (VIOLATED)
_7116_/X 10 16 -6 (VIOLATED)
_7120_/X 10 16 -6 (VIOLATED)
_7123_/Y 10 16 -6 (VIOLATED)
_7161_/Y 10 16 -6 (VIOLATED)
_7198_/Y 10 16 -6 (VIOLATED)
_7236_/Y 10 16 -6 (VIOLATED)
_7274_/Y 10 16 -6 (VIOLATED)
_7311_/Y 10 16 -6 (VIOLATED)
_7349_/Y 10 16 -6 (VIOLATED)
_7796_/Q 10 16 -6 (VIOLATED)
_7904_/Q 10 16 -6 (VIOLATED)
_7905_/Q 10 16 -6 (VIOLATED)
_8022_/Q 10 16 -6 (VIOLATED)
clkbuf_3_2_0_i_clk/X 10 16 -6 (VIOLATED)
_3970_/X 10 14 -4 (VIOLATED)
_3980_/X 10 14 -4 (VIOLATED)
_4005_/Y 10 14 -4 (VIOLATED)
_4144_/Y 10 14 -4 (VIOLATED)
_4310_/X 10 14 -4 (VIOLATED)
_4923_/Y 10 14 -4 (VIOLATED)
_4972_/Y 10 14 -4 (VIOLATED)
_5011_/Y 10 14 -4 (VIOLATED)
_5051_/Y 10 14 -4 (VIOLATED)
_5109_/X 10 14 -4 (VIOLATED)
_5162_/Y 10 14 -4 (VIOLATED)
_5174_/X 10 14 -4 (VIOLATED)
_5444_/X 10 14 -4 (VIOLATED)
_5648_/X 10 14 -4 (VIOLATED)
_7042_/X 10 14 -4 (VIOLATED)
_7452_/X 10 14 -4 (VIOLATED)
_7455_/X 10 14 -4 (VIOLATED)
_7881_/Q 10 14 -4 (VIOLATED)
_7888_/Q 10 14 -4 (VIOLATED)
_7906_/Q 10 14 -4 (VIOLATED)
_7930_/Q 10 14 -4 (VIOLATED)
_7952_/Q 10 14 -4 (VIOLATED)
_7983_/Q 10 14 -4 (VIOLATED)
_8004_/Q 10 14 -4 (VIOLATED)
input75/X 10 14 -4 (VIOLATED)
_3853_/X 10 12 -2 (VIOLATED)
_3888_/Y 10 12 -2 (VIOLATED)
_3898_/Y 10 12 -2 (VIOLATED)
_3907_/Y 10 12 -2 (VIOLATED)
_3940_/Y 10 12 -2 (VIOLATED)
_3951_/X 10 12 -2 (VIOLATED)
_4025_/Y 10 12 -2 (VIOLATED)
_4214_/X 10 12 -2 (VIOLATED)
_4451_/Y 10 12 -2 (VIOLATED)
_4599_/Y 10 12 -2 (VIOLATED)
_4773_/X 10 12 -2 (VIOLATED)
_4864_/Y 10 12 -2 (VIOLATED)
_4903_/X 10 12 -2 (VIOLATED)
_4924_/X 10 12 -2 (VIOLATED)
_4971_/Y 10 12 -2 (VIOLATED)
_4985_/X 10 12 -2 (VIOLATED)
_5003_/Y 10 12 -2 (VIOLATED)
_5022_/Y 10 12 -2 (VIOLATED)
_5058_/X 10 12 -2 (VIOLATED)
_5105_/Y 10 12 -2 (VIOLATED)
_5107_/X 10 12 -2 (VIOLATED)
_5124_/Y 10 12 -2 (VIOLATED)
_5151_/X 10 12 -2 (VIOLATED)
_5177_/Y 10 12 -2 (VIOLATED)
_5600_/X 10 12 -2 (VIOLATED)
_5714_/X 10 12 -2 (VIOLATED)
_6667_/X 10 12 -2 (VIOLATED)
_7124_/X 10 12 -2 (VIOLATED)
_7162_/X 10 12 -2 (VIOLATED)
_7199_/X 10 12 -2 (VIOLATED)
_7237_/X 10 12 -2 (VIOLATED)
_7275_/X 10 12 -2 (VIOLATED)
_7312_/X 10 12 -2 (VIOLATED)
_7350_/X 10 12 -2 (VIOLATED)
_7474_/X 10 12 -2 (VIOLATED)
_7883_/Q 10 12 -2 (VIOLATED)
_7922_/Q 10 12 -2 (VIOLATED)
_8003_/Q 10 12 -2 (VIOLATED)
_8060_/Q 10 12 -2 (VIOLATED)
_8061_/Q 10 12 -2 (VIOLATED)
_8062_/Q 10 12 -2 (VIOLATED)
_8063_/Q 10 12 -2 (VIOLATED)
_8067_/Q 10 12 -2 (VIOLATED)
_8068_/Q 10 12 -2 (VIOLATED)
_8069_/Q 10 12 -2 (VIOLATED)
_8071_/Q 10 12 -2 (VIOLATED)
_8190_/Q 10 12 -2 (VIOLATED)
_8194_/Q 10 12 -2 (VIOLATED)
_8195_/Q 10 12 -2 (VIOLATED)
_8196_/Q 10 12 -2 (VIOLATED)
_8197_/Q 10 12 -2 (VIOLATED)
_8198_/Q 10 12 -2 (VIOLATED)
_8199_/Q 10 12 -2 (VIOLATED)
_8200_/Q 10 12 -2 (VIOLATED)
rebuffer28/X 10 12 -2 (VIOLATED)
===========================================================================
max slew violation count 0
max fanout violation count 370
max cap violation count 0
============================================================================
check_slew_end
tns_report
===========================================================================
report_tns
============================================================================
tns 0.00
tns_report_end
wns_report
===========================================================================
report_wns
============================================================================
wns 0.00
wns_report_end
worst_slack
===========================================================================
report_worst_slack -max (Setup)
============================================================================
worst slack 0.55
===========================================================================
report_worst_slack -min (Hold)
============================================================================
worst slack 0.18
worst_slack_end
clock_skew
===========================================================================
report_clock_skew
============================================================================
Clock i_clk
Latency CRPR Skew
_7983_/CLK ^
1.47
_7911_/CLK ^
0.97 -0.04 0.46
clock_skew_end
power_report
===========================================================================
report_power
============================================================================
Group Internal Switching Leakage Total
Power Power Power Power (Watts)
----------------------------------------------------------------
Sequential 2.16e-03 3.08e-04 4.26e-09 2.46e-03 25.8%
Combinational 3.25e-03 3.85e-03 5.25e-08 7.10e-03 74.2%
Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
----------------------------------------------------------------
Total 5.40e-03 4.16e-03 5.68e-08 9.56e-03 100.0%
56.5% 43.5% 0.0%
power_report_end
area_report
===========================================================================
report_design_area
============================================================================
Design area 62460 u^2 27% utilization.
area_report_end
Setting global connections for newly added cells...
[WARNING] Did not save OpenROAD database!
Writing SDF to /home/piotro/caravel_user_project/openlane/core/runs/22_12_26_19_24/results/routing/mca/process_corner_nom/core.sdf...
Writing timing model to /home/piotro/caravel_user_project/openlane/core/runs/22_12_26_19_24/results/routing/mca/process_corner_nom/core.lib...