blob: be3fd0ccd8a19309b8afd19be24c10ce98cf38a5 [file] [log] [blame]
===========================================================================
report_checks -path_delay max (Setup)
============================================================================
Startpoint: _7909_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _8240_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.30 0.22 0.22 ^ i_clk (in)
2 0.07 i_clk (net)
0.30 0.00 0.22 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.23 0.45 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.04 clknet_0_i_clk (net)
0.06 0.00 0.46 ^ clkbuf_1_0_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.08 0.17 0.63 ^ clkbuf_1_0_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_1_0_0_i_clk (net)
0.08 0.00 0.63 ^ clkbuf_2_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.16 0.79 ^ clkbuf_2_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_2_1_0_i_clk (net)
0.06 0.00 0.79 ^ clkbuf_3_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.21 0.27 1.07 ^ clkbuf_3_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.12 clknet_3_3_0_i_clk (net)
0.21 0.00 1.07 ^ clkbuf_leaf_7_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.19 1.26 ^ clkbuf_leaf_7_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
7 0.02 clknet_leaf_7_i_clk (net)
0.05 0.00 1.26 ^ _7909_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.09 0.37 1.63 ^ _7909_/Q (sky130_fd_sc_hd__dfxtp_2)
3 0.02 decode.oc_r_reg_sel[0] (net)
0.09 0.00 1.63 ^ _3848_/A (sky130_fd_sc_hd__buf_6)
0.09 0.16 1.79 ^ _3848_/X (sky130_fd_sc_hd__buf_6)
10 0.04 _0505_ (net)
0.09 0.00 1.79 ^ _3870_/A (sky130_fd_sc_hd__buf_2)
0.21 0.26 2.05 ^ _3870_/X (sky130_fd_sc_hd__buf_2)
10 0.04 _0527_ (net)
0.21 0.00 2.05 ^ _3871_/A (sky130_fd_sc_hd__buf_2)
0.34 0.38 2.43 ^ _3871_/X (sky130_fd_sc_hd__buf_2)
20 0.07 _0528_ (net)
0.34 0.01 2.44 ^ _3872_/A (sky130_fd_sc_hd__clkbuf_4)
0.23 0.38 2.82 ^ _3872_/X (sky130_fd_sc_hd__clkbuf_4)
20 0.07 _0529_ (net)
0.23 0.00 2.82 ^ _3993_/A_N (sky130_fd_sc_hd__and4b_1)
0.08 0.28 3.11 v _3993_/X (sky130_fd_sc_hd__and4b_1)
1 0.01 _0638_ (net)
0.08 0.00 3.11 v _3996_/A (sky130_fd_sc_hd__or3_1)
0.10 0.44 3.55 v _3996_/X (sky130_fd_sc_hd__or3_1)
1 0.01 _0641_ (net)
0.10 0.00 3.55 v _3997_/B2 (sky130_fd_sc_hd__o22ai_4)
0.99 0.75 4.29 ^ _3997_/Y (sky130_fd_sc_hd__o22ai_4)
16 0.14 _0642_ (net)
0.99 0.05 4.35 ^ _3998_/A (sky130_fd_sc_hd__inv_2)
0.28 0.34 4.68 v _3998_/Y (sky130_fd_sc_hd__inv_2)
8 0.05 net243 (net)
0.28 0.00 4.68 v _5109_/A1 (sky130_fd_sc_hd__mux2_8)
0.12 0.50 5.18 v _5109_/X (sky130_fd_sc_hd__mux2_8)
14 0.09 _1562_ (net)
0.12 0.01 5.19 v _6044_/B (sky130_fd_sc_hd__nand2_1)
0.12 0.16 5.35 ^ _6044_/Y (sky130_fd_sc_hd__nand2_1)
2 0.01 _2385_ (net)
0.12 0.00 5.35 ^ _6247_/A (sky130_fd_sc_hd__and2_1)
0.21 0.27 5.62 ^ _6247_/X (sky130_fd_sc_hd__and2_1)
5 0.02 _2588_ (net)
0.21 0.00 5.62 ^ _6248_/B1 (sky130_fd_sc_hd__a21oi_1)
0.08 0.10 5.72 v _6248_/Y (sky130_fd_sc_hd__a21oi_1)
2 0.01 _2589_ (net)
0.08 0.00 5.72 v _6249_/B1 (sky130_fd_sc_hd__a21o_1)
0.08 0.22 5.93 v _6249_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _2590_ (net)
0.08 0.00 5.94 v _6251_/A2 (sky130_fd_sc_hd__a21oi_2)
0.26 0.28 6.22 ^ _6251_/Y (sky130_fd_sc_hd__a21oi_2)
3 0.02 _2592_ (net)
0.26 0.00 6.22 ^ _6253_/A2 (sky130_fd_sc_hd__o211ai_2)
0.12 0.16 6.38 v _6253_/Y (sky130_fd_sc_hd__o211ai_2)
4 0.01 _2594_ (net)
0.12 0.00 6.38 v _6257_/A3 (sky130_fd_sc_hd__a311o_2)
0.13 0.53 6.91 v _6257_/X (sky130_fd_sc_hd__a311o_2)
5 0.03 _2598_ (net)
0.13 0.00 6.91 v _6264_/A3 (sky130_fd_sc_hd__a311oi_4)
0.29 0.40 7.31 ^ _6264_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.01 _2605_ (net)
0.29 0.00 7.31 ^ _6266_/A2 (sky130_fd_sc_hd__o211ai_2)
0.10 0.14 7.46 v _6266_/Y (sky130_fd_sc_hd__o211ai_2)
3 0.01 _2607_ (net)
0.10 0.00 7.46 v _6269_/A2 (sky130_fd_sc_hd__a21oi_1)
0.31 0.33 7.78 ^ _6269_/Y (sky130_fd_sc_hd__a21oi_1)
3 0.01 _2610_ (net)
0.31 0.00 7.78 ^ _6271_/A2 (sky130_fd_sc_hd__o21ai_2)
0.09 0.13 7.91 v _6271_/Y (sky130_fd_sc_hd__o21ai_2)
3 0.01 _2612_ (net)
0.09 0.00 7.91 v _6272_/A2 (sky130_fd_sc_hd__a21o_1)
0.06 0.24 8.15 v _6272_/X (sky130_fd_sc_hd__a21o_1)
3 0.01 _2613_ (net)
0.06 0.00 8.15 v _6353_/A2 (sky130_fd_sc_hd__a21oi_1)
0.18 0.21 8.36 ^ _6353_/Y (sky130_fd_sc_hd__a21oi_1)
2 0.01 _2694_ (net)
0.18 0.00 8.36 ^ _6658_/A2_N (sky130_fd_sc_hd__a2bb2o_1)
0.06 0.33 8.69 v _6658_/X (sky130_fd_sc_hd__a2bb2o_1)
1 0.00 _2998_ (net)
0.06 0.00 8.69 v _6667_/A2 (sky130_fd_sc_hd__a211o_4)
0.16 0.48 9.17 v _6667_/X (sky130_fd_sc_hd__a211o_4)
12 0.09 _3007_ (net)
0.17 0.02 9.19 v _7669_/B (sky130_fd_sc_hd__or4_1)
0.10 0.59 9.78 v _7669_/X (sky130_fd_sc_hd__or4_1)
2 0.01 _3777_ (net)
0.10 0.00 9.78 v _7678_/A (sky130_fd_sc_hd__or2_1)
0.06 0.27 10.05 v _7678_/X (sky130_fd_sc_hd__or2_1)
2 0.01 _3785_ (net)
0.06 0.00 10.05 v _7685_/A0 (sky130_fd_sc_hd__mux2_1)
0.10 0.36 10.41 v _7685_/X (sky130_fd_sc_hd__mux2_1)
3 0.01 _3791_ (net)
0.10 0.00 10.41 v _7692_/B1 (sky130_fd_sc_hd__a21o_1)
0.04 0.19 10.59 v _7692_/X (sky130_fd_sc_hd__a21o_1)
1 0.00 _3797_ (net)
0.04 0.00 10.59 v _7693_/B (sky130_fd_sc_hd__xnor2_1)
0.05 0.12 10.72 v _7693_/Y (sky130_fd_sc_hd__xnor2_1)
1 0.00 _3798_ (net)
0.05 0.00 10.72 v _7694_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.29 11.01 v _7694_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _3799_ (net)
0.05 0.00 11.01 v _7695_/A (sky130_fd_sc_hd__clkbuf_1)
0.02 0.09 11.10 v _7695_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 _0470_ (net)
0.02 0.00 11.10 v _8240_/D (sky130_fd_sc_hd__dfxtp_1)
11.10 data arrival time
10.00 10.00 clock i_clk (rise edge)
0.00 10.00 clock source latency
0.30 0.20 10.20 ^ i_clk (in)
2 0.07 i_clk (net)
0.30 0.00 10.20 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.21 10.41 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.04 clknet_0_i_clk (net)
0.06 0.00 10.41 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.09 0.16 10.57 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_1_1_0_i_clk (net)
0.09 0.00 10.57 ^ clkbuf_2_2_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.07 0.15 10.72 ^ clkbuf_2_2_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_2_2_0_i_clk (net)
0.07 0.00 10.73 ^ clkbuf_3_5_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.35 0.33 11.06 ^ clkbuf_3_5_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.20 clknet_3_5_0_i_clk (net)
0.35 0.01 11.07 ^ clkbuf_leaf_62_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.21 11.28 ^ clkbuf_leaf_62_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 clknet_leaf_62_i_clk (net)
0.05 0.00 11.28 ^ _8240_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 11.03 clock uncertainty
0.04 11.08 clock reconvergence pessimism
-0.10 10.98 library setup time
10.98 data required time
-----------------------------------------------------------------------------
10.98 data required time
-11.10 data arrival time
-----------------------------------------------------------------------------
-0.12 slack (VIOLATED)
Startpoint: _7909_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _8241_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.30 0.22 0.22 ^ i_clk (in)
2 0.07 i_clk (net)
0.30 0.00 0.22 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.23 0.45 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.04 clknet_0_i_clk (net)
0.06 0.00 0.46 ^ clkbuf_1_0_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.08 0.17 0.63 ^ clkbuf_1_0_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_1_0_0_i_clk (net)
0.08 0.00 0.63 ^ clkbuf_2_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.16 0.79 ^ clkbuf_2_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_2_1_0_i_clk (net)
0.06 0.00 0.79 ^ clkbuf_3_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.21 0.27 1.07 ^ clkbuf_3_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.12 clknet_3_3_0_i_clk (net)
0.21 0.00 1.07 ^ clkbuf_leaf_7_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.19 1.26 ^ clkbuf_leaf_7_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
7 0.02 clknet_leaf_7_i_clk (net)
0.05 0.00 1.26 ^ _7909_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.09 0.37 1.63 ^ _7909_/Q (sky130_fd_sc_hd__dfxtp_2)
3 0.02 decode.oc_r_reg_sel[0] (net)
0.09 0.00 1.63 ^ _3848_/A (sky130_fd_sc_hd__buf_6)
0.09 0.16 1.79 ^ _3848_/X (sky130_fd_sc_hd__buf_6)
10 0.04 _0505_ (net)
0.09 0.00 1.79 ^ _3870_/A (sky130_fd_sc_hd__buf_2)
0.21 0.26 2.05 ^ _3870_/X (sky130_fd_sc_hd__buf_2)
10 0.04 _0527_ (net)
0.21 0.00 2.05 ^ _3871_/A (sky130_fd_sc_hd__buf_2)
0.34 0.38 2.43 ^ _3871_/X (sky130_fd_sc_hd__buf_2)
20 0.07 _0528_ (net)
0.34 0.01 2.44 ^ _3872_/A (sky130_fd_sc_hd__clkbuf_4)
0.23 0.38 2.82 ^ _3872_/X (sky130_fd_sc_hd__clkbuf_4)
20 0.07 _0529_ (net)
0.23 0.00 2.82 ^ _3993_/A_N (sky130_fd_sc_hd__and4b_1)
0.08 0.28 3.11 v _3993_/X (sky130_fd_sc_hd__and4b_1)
1 0.01 _0638_ (net)
0.08 0.00 3.11 v _3996_/A (sky130_fd_sc_hd__or3_1)
0.10 0.44 3.55 v _3996_/X (sky130_fd_sc_hd__or3_1)
1 0.01 _0641_ (net)
0.10 0.00 3.55 v _3997_/B2 (sky130_fd_sc_hd__o22ai_4)
0.99 0.75 4.29 ^ _3997_/Y (sky130_fd_sc_hd__o22ai_4)
16 0.14 _0642_ (net)
0.99 0.05 4.35 ^ _3998_/A (sky130_fd_sc_hd__inv_2)
0.28 0.34 4.68 v _3998_/Y (sky130_fd_sc_hd__inv_2)
8 0.05 net243 (net)
0.28 0.00 4.68 v _5109_/A1 (sky130_fd_sc_hd__mux2_8)
0.12 0.50 5.18 v _5109_/X (sky130_fd_sc_hd__mux2_8)
14 0.09 _1562_ (net)
0.12 0.01 5.19 v _6044_/B (sky130_fd_sc_hd__nand2_1)
0.12 0.16 5.35 ^ _6044_/Y (sky130_fd_sc_hd__nand2_1)
2 0.01 _2385_ (net)
0.12 0.00 5.35 ^ _6247_/A (sky130_fd_sc_hd__and2_1)
0.21 0.27 5.62 ^ _6247_/X (sky130_fd_sc_hd__and2_1)
5 0.02 _2588_ (net)
0.21 0.00 5.62 ^ _6248_/B1 (sky130_fd_sc_hd__a21oi_1)
0.08 0.10 5.72 v _6248_/Y (sky130_fd_sc_hd__a21oi_1)
2 0.01 _2589_ (net)
0.08 0.00 5.72 v _6249_/B1 (sky130_fd_sc_hd__a21o_1)
0.08 0.22 5.93 v _6249_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _2590_ (net)
0.08 0.00 5.94 v _6251_/A2 (sky130_fd_sc_hd__a21oi_2)
0.26 0.28 6.22 ^ _6251_/Y (sky130_fd_sc_hd__a21oi_2)
3 0.02 _2592_ (net)
0.26 0.00 6.22 ^ _6253_/A2 (sky130_fd_sc_hd__o211ai_2)
0.12 0.16 6.38 v _6253_/Y (sky130_fd_sc_hd__o211ai_2)
4 0.01 _2594_ (net)
0.12 0.00 6.38 v _6257_/A3 (sky130_fd_sc_hd__a311o_2)
0.13 0.53 6.91 v _6257_/X (sky130_fd_sc_hd__a311o_2)
5 0.03 _2598_ (net)
0.13 0.00 6.91 v _6264_/A3 (sky130_fd_sc_hd__a311oi_4)
0.29 0.40 7.31 ^ _6264_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.01 _2605_ (net)
0.29 0.00 7.31 ^ _6266_/A2 (sky130_fd_sc_hd__o211ai_2)
0.10 0.14 7.46 v _6266_/Y (sky130_fd_sc_hd__o211ai_2)
3 0.01 _2607_ (net)
0.10 0.00 7.46 v _6269_/A2 (sky130_fd_sc_hd__a21oi_1)
0.31 0.33 7.78 ^ _6269_/Y (sky130_fd_sc_hd__a21oi_1)
3 0.01 _2610_ (net)
0.31 0.00 7.78 ^ _6271_/A2 (sky130_fd_sc_hd__o21ai_2)
0.09 0.13 7.91 v _6271_/Y (sky130_fd_sc_hd__o21ai_2)
3 0.01 _2612_ (net)
0.09 0.00 7.91 v _6272_/A2 (sky130_fd_sc_hd__a21o_1)
0.06 0.24 8.15 v _6272_/X (sky130_fd_sc_hd__a21o_1)
3 0.01 _2613_ (net)
0.06 0.00 8.15 v _6353_/A2 (sky130_fd_sc_hd__a21oi_1)
0.18 0.21 8.36 ^ _6353_/Y (sky130_fd_sc_hd__a21oi_1)
2 0.01 _2694_ (net)
0.18 0.00 8.36 ^ _6658_/A2_N (sky130_fd_sc_hd__a2bb2o_1)
0.06 0.33 8.69 v _6658_/X (sky130_fd_sc_hd__a2bb2o_1)
1 0.00 _2998_ (net)
0.06 0.00 8.69 v _6667_/A2 (sky130_fd_sc_hd__a211o_4)
0.16 0.48 9.17 v _6667_/X (sky130_fd_sc_hd__a211o_4)
12 0.09 _3007_ (net)
0.17 0.02 9.19 v _7669_/B (sky130_fd_sc_hd__or4_1)
0.10 0.59 9.78 v _7669_/X (sky130_fd_sc_hd__or4_1)
2 0.01 _3777_ (net)
0.10 0.00 9.78 v _7678_/A (sky130_fd_sc_hd__or2_1)
0.06 0.27 10.05 v _7678_/X (sky130_fd_sc_hd__or2_1)
2 0.01 _3785_ (net)
0.06 0.00 10.05 v _7685_/A0 (sky130_fd_sc_hd__mux2_1)
0.10 0.36 10.41 v _7685_/X (sky130_fd_sc_hd__mux2_1)
3 0.01 _3791_ (net)
0.10 0.00 10.41 v _7698_/A (sky130_fd_sc_hd__nor2_1)
0.12 0.16 10.56 ^ _7698_/Y (sky130_fd_sc_hd__nor2_1)
1 0.01 _3802_ (net)
0.12 0.00 10.56 ^ _7699_/B (sky130_fd_sc_hd__xnor2_1)
0.08 0.08 10.65 v _7699_/Y (sky130_fd_sc_hd__xnor2_1)
1 0.00 _3803_ (net)
0.08 0.00 10.65 v _7700_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.30 10.95 v _7700_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _3804_ (net)
0.05 0.00 10.95 v _7701_/A (sky130_fd_sc_hd__clkbuf_1)
0.03 0.10 11.05 v _7701_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 _0471_ (net)
0.03 0.00 11.05 v _8241_/D (sky130_fd_sc_hd__dfxtp_1)
11.05 data arrival time
10.00 10.00 clock i_clk (rise edge)
0.00 10.00 clock source latency
0.30 0.20 10.20 ^ i_clk (in)
2 0.07 i_clk (net)
0.30 0.00 10.20 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.21 10.41 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.04 clknet_0_i_clk (net)
0.06 0.00 10.41 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.09 0.16 10.57 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_1_1_0_i_clk (net)
0.09 0.00 10.57 ^ clkbuf_2_2_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.07 0.15 10.72 ^ clkbuf_2_2_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_2_2_0_i_clk (net)
0.07 0.00 10.73 ^ clkbuf_3_5_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.35 0.33 11.06 ^ clkbuf_3_5_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.20 clknet_3_5_0_i_clk (net)
0.35 0.01 11.07 ^ clkbuf_leaf_63_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 11.27 ^ clkbuf_leaf_63_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
5 0.02 clknet_leaf_63_i_clk (net)
0.05 0.00 11.27 ^ _8241_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 11.02 clock uncertainty
0.04 11.07 clock reconvergence pessimism
-0.10 10.96 library setup time
10.96 data required time
-----------------------------------------------------------------------------
10.96 data required time
-11.05 data arrival time
-----------------------------------------------------------------------------
-0.08 slack (VIOLATED)
Startpoint: _7909_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _8239_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.30 0.22 0.22 ^ i_clk (in)
2 0.07 i_clk (net)
0.30 0.00 0.22 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.23 0.45 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.04 clknet_0_i_clk (net)
0.06 0.00 0.46 ^ clkbuf_1_0_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.08 0.17 0.63 ^ clkbuf_1_0_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_1_0_0_i_clk (net)
0.08 0.00 0.63 ^ clkbuf_2_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.16 0.79 ^ clkbuf_2_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_2_1_0_i_clk (net)
0.06 0.00 0.79 ^ clkbuf_3_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.21 0.27 1.07 ^ clkbuf_3_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.12 clknet_3_3_0_i_clk (net)
0.21 0.00 1.07 ^ clkbuf_leaf_7_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.19 1.26 ^ clkbuf_leaf_7_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
7 0.02 clknet_leaf_7_i_clk (net)
0.05 0.00 1.26 ^ _7909_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.09 0.37 1.63 ^ _7909_/Q (sky130_fd_sc_hd__dfxtp_2)
3 0.02 decode.oc_r_reg_sel[0] (net)
0.09 0.00 1.63 ^ _3848_/A (sky130_fd_sc_hd__buf_6)
0.09 0.16 1.79 ^ _3848_/X (sky130_fd_sc_hd__buf_6)
10 0.04 _0505_ (net)
0.09 0.00 1.79 ^ _3870_/A (sky130_fd_sc_hd__buf_2)
0.21 0.26 2.05 ^ _3870_/X (sky130_fd_sc_hd__buf_2)
10 0.04 _0527_ (net)
0.21 0.00 2.05 ^ _3871_/A (sky130_fd_sc_hd__buf_2)
0.34 0.38 2.43 ^ _3871_/X (sky130_fd_sc_hd__buf_2)
20 0.07 _0528_ (net)
0.34 0.01 2.44 ^ _3872_/A (sky130_fd_sc_hd__clkbuf_4)
0.23 0.38 2.82 ^ _3872_/X (sky130_fd_sc_hd__clkbuf_4)
20 0.07 _0529_ (net)
0.23 0.00 2.82 ^ _3993_/A_N (sky130_fd_sc_hd__and4b_1)
0.08 0.28 3.11 v _3993_/X (sky130_fd_sc_hd__and4b_1)
1 0.01 _0638_ (net)
0.08 0.00 3.11 v _3996_/A (sky130_fd_sc_hd__or3_1)
0.10 0.44 3.55 v _3996_/X (sky130_fd_sc_hd__or3_1)
1 0.01 _0641_ (net)
0.10 0.00 3.55 v _3997_/B2 (sky130_fd_sc_hd__o22ai_4)
0.99 0.75 4.29 ^ _3997_/Y (sky130_fd_sc_hd__o22ai_4)
16 0.14 _0642_ (net)
0.99 0.05 4.35 ^ _3998_/A (sky130_fd_sc_hd__inv_2)
0.28 0.34 4.68 v _3998_/Y (sky130_fd_sc_hd__inv_2)
8 0.05 net243 (net)
0.28 0.00 4.68 v _5109_/A1 (sky130_fd_sc_hd__mux2_8)
0.12 0.50 5.18 v _5109_/X (sky130_fd_sc_hd__mux2_8)
14 0.09 _1562_ (net)
0.12 0.01 5.19 v _6044_/B (sky130_fd_sc_hd__nand2_1)
0.12 0.16 5.35 ^ _6044_/Y (sky130_fd_sc_hd__nand2_1)
2 0.01 _2385_ (net)
0.12 0.00 5.35 ^ _6247_/A (sky130_fd_sc_hd__and2_1)
0.21 0.27 5.62 ^ _6247_/X (sky130_fd_sc_hd__and2_1)
5 0.02 _2588_ (net)
0.21 0.00 5.62 ^ _6248_/B1 (sky130_fd_sc_hd__a21oi_1)
0.08 0.10 5.72 v _6248_/Y (sky130_fd_sc_hd__a21oi_1)
2 0.01 _2589_ (net)
0.08 0.00 5.72 v _6249_/B1 (sky130_fd_sc_hd__a21o_1)
0.08 0.22 5.93 v _6249_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _2590_ (net)
0.08 0.00 5.94 v _6251_/A2 (sky130_fd_sc_hd__a21oi_2)
0.26 0.28 6.22 ^ _6251_/Y (sky130_fd_sc_hd__a21oi_2)
3 0.02 _2592_ (net)
0.26 0.00 6.22 ^ _6253_/A2 (sky130_fd_sc_hd__o211ai_2)
0.12 0.16 6.38 v _6253_/Y (sky130_fd_sc_hd__o211ai_2)
4 0.01 _2594_ (net)
0.12 0.00 6.38 v _6257_/A3 (sky130_fd_sc_hd__a311o_2)
0.13 0.53 6.91 v _6257_/X (sky130_fd_sc_hd__a311o_2)
5 0.03 _2598_ (net)
0.13 0.00 6.91 v _6264_/A3 (sky130_fd_sc_hd__a311oi_4)
0.29 0.40 7.31 ^ _6264_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.01 _2605_ (net)
0.29 0.00 7.31 ^ _6266_/A2 (sky130_fd_sc_hd__o211ai_2)
0.10 0.14 7.46 v _6266_/Y (sky130_fd_sc_hd__o211ai_2)
3 0.01 _2607_ (net)
0.10 0.00 7.46 v _6269_/A2 (sky130_fd_sc_hd__a21oi_1)
0.31 0.33 7.78 ^ _6269_/Y (sky130_fd_sc_hd__a21oi_1)
3 0.01 _2610_ (net)
0.31 0.00 7.78 ^ _6271_/A2 (sky130_fd_sc_hd__o21ai_2)
0.09 0.13 7.91 v _6271_/Y (sky130_fd_sc_hd__o21ai_2)
3 0.01 _2612_ (net)
0.09 0.00 7.91 v _6272_/A2 (sky130_fd_sc_hd__a21o_1)
0.06 0.24 8.15 v _6272_/X (sky130_fd_sc_hd__a21o_1)
3 0.01 _2613_ (net)
0.06 0.00 8.15 v _6353_/A2 (sky130_fd_sc_hd__a21oi_1)
0.18 0.21 8.36 ^ _6353_/Y (sky130_fd_sc_hd__a21oi_1)
2 0.01 _2694_ (net)
0.18 0.00 8.36 ^ _6658_/A2_N (sky130_fd_sc_hd__a2bb2o_1)
0.06 0.33 8.69 v _6658_/X (sky130_fd_sc_hd__a2bb2o_1)
1 0.00 _2998_ (net)
0.06 0.00 8.69 v _6667_/A2 (sky130_fd_sc_hd__a211o_4)
0.16 0.48 9.17 v _6667_/X (sky130_fd_sc_hd__a211o_4)
12 0.09 _3007_ (net)
0.17 0.02 9.19 v _7669_/B (sky130_fd_sc_hd__or4_1)
0.10 0.59 9.78 v _7669_/X (sky130_fd_sc_hd__or4_1)
2 0.01 _3777_ (net)
0.10 0.00 9.78 v _7678_/A (sky130_fd_sc_hd__or2_1)
0.06 0.27 10.05 v _7678_/X (sky130_fd_sc_hd__or2_1)
2 0.01 _3785_ (net)
0.06 0.00 10.05 v _7685_/A0 (sky130_fd_sc_hd__mux2_1)
0.10 0.36 10.41 v _7685_/X (sky130_fd_sc_hd__mux2_1)
3 0.01 _3791_ (net)
0.10 0.00 10.41 v _7686_/B (sky130_fd_sc_hd__xnor2_1)
0.08 0.17 10.57 v _7686_/Y (sky130_fd_sc_hd__xnor2_1)
1 0.01 _3792_ (net)
0.08 0.00 10.58 v _7687_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.30 10.88 v _7687_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _3793_ (net)
0.06 0.00 10.88 v _7688_/A (sky130_fd_sc_hd__clkbuf_1)
0.02 0.09 10.97 v _7688_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 _0469_ (net)
0.02 0.00 10.97 v _8239_/D (sky130_fd_sc_hd__dfxtp_1)
10.97 data arrival time
10.00 10.00 clock i_clk (rise edge)
0.00 10.00 clock source latency
0.30 0.20 10.20 ^ i_clk (in)
2 0.07 i_clk (net)
0.30 0.00 10.20 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.21 10.41 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.04 clknet_0_i_clk (net)
0.06 0.00 10.41 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.09 0.16 10.57 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_1_1_0_i_clk (net)
0.09 0.00 10.57 ^ clkbuf_2_2_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.07 0.15 10.72 ^ clkbuf_2_2_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_2_2_0_i_clk (net)
0.07 0.00 10.73 ^ clkbuf_3_5_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.35 0.33 11.06 ^ clkbuf_3_5_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.20 clknet_3_5_0_i_clk (net)
0.35 0.01 11.07 ^ clkbuf_leaf_62_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.21 11.28 ^ clkbuf_leaf_62_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 clknet_leaf_62_i_clk (net)
0.05 0.00 11.28 ^ _8239_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 11.03 clock uncertainty
0.04 11.08 clock reconvergence pessimism
-0.10 10.98 library setup time
10.98 data required time
-----------------------------------------------------------------------------
10.98 data required time
-10.97 data arrival time
-----------------------------------------------------------------------------
0.00 slack (MET)
Startpoint: _7910_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _8232_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.30 0.22 0.22 ^ i_clk (in)
2 0.07 i_clk (net)
0.30 0.00 0.22 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.23 0.45 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.04 clknet_0_i_clk (net)
0.06 0.00 0.46 ^ clkbuf_1_0_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.08 0.17 0.63 ^ clkbuf_1_0_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_1_0_0_i_clk (net)
0.08 0.00 0.63 ^ clkbuf_2_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.16 0.79 ^ clkbuf_2_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_2_1_0_i_clk (net)
0.06 0.00 0.79 ^ clkbuf_3_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.21 0.27 1.07 ^ clkbuf_3_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.12 clknet_3_3_0_i_clk (net)
0.21 0.00 1.07 ^ clkbuf_leaf_7_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.19 1.26 ^ clkbuf_leaf_7_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
7 0.02 clknet_leaf_7_i_clk (net)
0.05 0.00 1.26 ^ _7910_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.05 0.33 1.60 v _7910_/Q (sky130_fd_sc_hd__dfxtp_1)
3 0.01 decode.oc_r_reg_sel[1] (net)
0.05 0.00 1.60 v _3850_/A (sky130_fd_sc_hd__buf_4)
0.05 0.17 1.77 v _3850_/X (sky130_fd_sc_hd__buf_4)
10 0.03 _0507_ (net)
0.05 0.00 1.77 v _3856_/C (sky130_fd_sc_hd__and3b_1)
0.04 0.19 1.96 v _3856_/X (sky130_fd_sc_hd__and3b_1)
1 0.00 _0513_ (net)
0.04 0.00 1.96 v _3857_/A (sky130_fd_sc_hd__buf_4)
0.10 0.21 2.17 v _3857_/X (sky130_fd_sc_hd__buf_4)
20 0.08 _0514_ (net)
0.10 0.00 2.17 v _3982_/B1 (sky130_fd_sc_hd__a22o_1)
0.07 0.24 2.41 v _3982_/X (sky130_fd_sc_hd__a22o_1)
1 0.01 _0628_ (net)
0.07 0.00 2.41 v _3989_/A1 (sky130_fd_sc_hd__o32ai_4)
1.43 1.24 3.65 ^ _3989_/Y (sky130_fd_sc_hd__o32ai_4)
16 0.15 _0635_ (net)
1.43 0.03 3.68 ^ _3990_/A (sky130_fd_sc_hd__clkinv_4)
0.40 0.49 4.17 v _3990_/Y (sky130_fd_sc_hd__clkinv_4)
8 0.09 net244 (net)
0.40 0.00 4.17 v _5097_/A1 (sky130_fd_sc_hd__mux2_4)
0.09 0.48 4.65 v _5097_/X (sky130_fd_sc_hd__mux2_4)
6 0.03 _1550_ (net)
0.09 0.00 4.65 v _5098_/A (sky130_fd_sc_hd__buf_12)
0.04 0.17 4.81 v _5098_/X (sky130_fd_sc_hd__buf_12)
12 0.04 _1551_ (net)
0.04 0.00 4.82 v _5939_/A2 (sky130_fd_sc_hd__a22o_1)
0.06 0.25 5.07 v _5939_/X (sky130_fd_sc_hd__a22o_1)
2 0.01 _2280_ (net)
0.06 0.00 5.07 v _5945_/B (sky130_fd_sc_hd__and3_1)
0.05 0.18 5.26 v _5945_/X (sky130_fd_sc_hd__and3_1)
2 0.00 _2286_ (net)
0.05 0.00 5.26 v _5946_/B1_N (sky130_fd_sc_hd__o21bai_1)
0.09 0.18 5.44 v _5946_/Y (sky130_fd_sc_hd__o21bai_1)
2 0.01 _2287_ (net)
0.09 0.00 5.44 v _5948_/B (sky130_fd_sc_hd__and3_4)
0.05 0.21 5.65 v _5948_/X (sky130_fd_sc_hd__and3_4)
4 0.01 _2289_ (net)
0.05 0.00 5.65 v _5952_/A (sky130_fd_sc_hd__or3b_4)
0.08 0.44 6.08 v _5952_/X (sky130_fd_sc_hd__or3b_4)
3 0.01 _2293_ (net)
0.08 0.00 6.08 v _5986_/A (sky130_fd_sc_hd__nand2_2)
0.06 0.08 6.17 ^ _5986_/Y (sky130_fd_sc_hd__nand2_2)
2 0.01 _2327_ (net)
0.06 0.00 6.17 ^ _5993_/B (sky130_fd_sc_hd__xnor2_1)
0.09 0.10 6.26 v _5993_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _2334_ (net)
0.09 0.00 6.26 v _5994_/B (sky130_fd_sc_hd__or2_1)
0.10 0.29 6.55 v _5994_/X (sky130_fd_sc_hd__or2_1)
2 0.01 _2335_ (net)
0.10 0.00 6.55 v _5996_/A2 (sky130_fd_sc_hd__a211oi_4)
0.34 0.40 6.96 ^ _5996_/Y (sky130_fd_sc_hd__a211oi_4)
4 0.02 _2337_ (net)
0.34 0.00 6.96 ^ _6005_/A1 (sky130_fd_sc_hd__o211a_1)
0.13 0.29 7.25 ^ _6005_/X (sky130_fd_sc_hd__o211a_1)
2 0.01 _2346_ (net)
0.13 0.00 7.25 ^ _6111_/B1 (sky130_fd_sc_hd__a211oi_1)
0.09 0.09 7.34 v _6111_/Y (sky130_fd_sc_hd__a211oi_1)
2 0.01 _2452_ (net)
0.09 0.00 7.34 v _6113_/B1 (sky130_fd_sc_hd__a211o_1)
0.09 0.35 7.69 v _6113_/X (sky130_fd_sc_hd__a211o_1)
3 0.01 _2454_ (net)
0.09 0.00 7.69 v _6115_/A3 (sky130_fd_sc_hd__a311o_1)
0.15 0.50 8.19 v _6115_/X (sky130_fd_sc_hd__a311o_1)
6 0.03 _2456_ (net)
0.15 0.00 8.19 v _6121_/A3 (sky130_fd_sc_hd__a41o_1)
0.14 0.39 8.58 v _6121_/X (sky130_fd_sc_hd__a41o_1)
8 0.03 _2462_ (net)
0.14 0.00 8.58 v _6338_/A2 (sky130_fd_sc_hd__a211o_1)
0.06 0.35 8.93 v _6338_/X (sky130_fd_sc_hd__a211o_1)
1 0.00 _2679_ (net)
0.06 0.00 8.93 v _6339_/B1 (sky130_fd_sc_hd__o311a_4)
0.11 0.19 9.12 v _6339_/X (sky130_fd_sc_hd__o311a_4)
4 0.04 _2680_ (net)
0.11 0.01 9.12 v _6383_/B1 (sky130_fd_sc_hd__a211o_4)
0.11 0.42 9.55 v _6383_/X (sky130_fd_sc_hd__a211o_4)
10 0.05 _2724_ (net)
0.11 0.00 9.55 v _6829_/B1 (sky130_fd_sc_hd__a22o_4)
0.11 0.34 9.89 v _6829_/X (sky130_fd_sc_hd__a22o_4)
6 0.07 _3151_ (net)
0.12 0.01 9.90 v _7644_/B2 (sky130_fd_sc_hd__a2bb2o_1)
0.06 0.29 10.18 v _7644_/X (sky130_fd_sc_hd__a2bb2o_1)
1 0.00 _3756_ (net)
0.06 0.00 10.18 v _7645_/A1 (sky130_fd_sc_hd__mux2_2)
0.08 0.33 10.51 v _7645_/X (sky130_fd_sc_hd__mux2_2)
2 0.02 _3757_ (net)
0.08 0.00 10.51 v _7646_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.31 10.82 v _7646_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _3758_ (net)
0.06 0.00 10.82 v _7647_/A (sky130_fd_sc_hd__clkbuf_1)
0.03 0.10 10.92 v _7647_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 _0462_ (net)
0.03 0.00 10.92 v _8232_/D (sky130_fd_sc_hd__dfxtp_1)
10.92 data arrival time
10.00 10.00 clock i_clk (rise edge)
0.00 10.00 clock source latency
0.30 0.20 10.20 ^ i_clk (in)
2 0.07 i_clk (net)
0.30 0.00 10.20 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.21 10.41 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.04 clknet_0_i_clk (net)
0.06 0.00 10.41 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.09 0.16 10.57 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_1_1_0_i_clk (net)
0.09 0.00 10.57 ^ clkbuf_2_2_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.07 0.15 10.72 ^ clkbuf_2_2_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_2_2_0_i_clk (net)
0.07 0.00 10.73 ^ clkbuf_3_5_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.35 0.33 11.06 ^ clkbuf_3_5_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.20 clknet_3_5_0_i_clk (net)
0.35 0.01 11.07 ^ clkbuf_leaf_58_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 11.27 ^ clkbuf_leaf_58_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
6 0.02 clknet_leaf_58_i_clk (net)
0.05 0.00 11.27 ^ _8232_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 11.02 clock uncertainty
0.04 11.06 clock reconvergence pessimism
-0.10 10.96 library setup time
10.96 data required time
-----------------------------------------------------------------------------
10.96 data required time
-10.92 data arrival time
-----------------------------------------------------------------------------
0.04 slack (MET)
Startpoint: _7909_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _8238_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.30 0.22 0.22 ^ i_clk (in)
2 0.07 i_clk (net)
0.30 0.00 0.22 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.23 0.45 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.04 clknet_0_i_clk (net)
0.06 0.00 0.46 ^ clkbuf_1_0_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.08 0.17 0.63 ^ clkbuf_1_0_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_1_0_0_i_clk (net)
0.08 0.00 0.63 ^ clkbuf_2_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.16 0.79 ^ clkbuf_2_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_2_1_0_i_clk (net)
0.06 0.00 0.79 ^ clkbuf_3_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.21 0.27 1.07 ^ clkbuf_3_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.12 clknet_3_3_0_i_clk (net)
0.21 0.00 1.07 ^ clkbuf_leaf_7_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.19 1.26 ^ clkbuf_leaf_7_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
7 0.02 clknet_leaf_7_i_clk (net)
0.05 0.00 1.26 ^ _7909_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.09 0.37 1.63 ^ _7909_/Q (sky130_fd_sc_hd__dfxtp_2)
3 0.02 decode.oc_r_reg_sel[0] (net)
0.09 0.00 1.63 ^ _3848_/A (sky130_fd_sc_hd__buf_6)
0.09 0.16 1.79 ^ _3848_/X (sky130_fd_sc_hd__buf_6)
10 0.04 _0505_ (net)
0.09 0.00 1.79 ^ _3870_/A (sky130_fd_sc_hd__buf_2)
0.21 0.26 2.05 ^ _3870_/X (sky130_fd_sc_hd__buf_2)
10 0.04 _0527_ (net)
0.21 0.00 2.05 ^ _3871_/A (sky130_fd_sc_hd__buf_2)
0.34 0.38 2.43 ^ _3871_/X (sky130_fd_sc_hd__buf_2)
20 0.07 _0528_ (net)
0.34 0.01 2.44 ^ _3872_/A (sky130_fd_sc_hd__clkbuf_4)
0.23 0.38 2.82 ^ _3872_/X (sky130_fd_sc_hd__clkbuf_4)
20 0.07 _0529_ (net)
0.23 0.00 2.82 ^ _3993_/A_N (sky130_fd_sc_hd__and4b_1)
0.08 0.28 3.11 v _3993_/X (sky130_fd_sc_hd__and4b_1)
1 0.01 _0638_ (net)
0.08 0.00 3.11 v _3996_/A (sky130_fd_sc_hd__or3_1)
0.10 0.44 3.55 v _3996_/X (sky130_fd_sc_hd__or3_1)
1 0.01 _0641_ (net)
0.10 0.00 3.55 v _3997_/B2 (sky130_fd_sc_hd__o22ai_4)
0.99 0.75 4.29 ^ _3997_/Y (sky130_fd_sc_hd__o22ai_4)
16 0.14 _0642_ (net)
0.99 0.05 4.35 ^ _3998_/A (sky130_fd_sc_hd__inv_2)
0.28 0.34 4.68 v _3998_/Y (sky130_fd_sc_hd__inv_2)
8 0.05 net243 (net)
0.28 0.00 4.68 v _5109_/A1 (sky130_fd_sc_hd__mux2_8)
0.12 0.50 5.18 v _5109_/X (sky130_fd_sc_hd__mux2_8)
14 0.09 _1562_ (net)
0.12 0.01 5.19 v _6044_/B (sky130_fd_sc_hd__nand2_1)
0.12 0.16 5.35 ^ _6044_/Y (sky130_fd_sc_hd__nand2_1)
2 0.01 _2385_ (net)
0.12 0.00 5.35 ^ _6247_/A (sky130_fd_sc_hd__and2_1)
0.21 0.27 5.62 ^ _6247_/X (sky130_fd_sc_hd__and2_1)
5 0.02 _2588_ (net)
0.21 0.00 5.62 ^ _6248_/B1 (sky130_fd_sc_hd__a21oi_1)
0.08 0.10 5.72 v _6248_/Y (sky130_fd_sc_hd__a21oi_1)
2 0.01 _2589_ (net)
0.08 0.00 5.72 v _6249_/B1 (sky130_fd_sc_hd__a21o_1)
0.08 0.22 5.93 v _6249_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _2590_ (net)
0.08 0.00 5.94 v _6251_/A2 (sky130_fd_sc_hd__a21oi_2)
0.26 0.28 6.22 ^ _6251_/Y (sky130_fd_sc_hd__a21oi_2)
3 0.02 _2592_ (net)
0.26 0.00 6.22 ^ _6253_/A2 (sky130_fd_sc_hd__o211ai_2)
0.12 0.16 6.38 v _6253_/Y (sky130_fd_sc_hd__o211ai_2)
4 0.01 _2594_ (net)
0.12 0.00 6.38 v _6257_/A3 (sky130_fd_sc_hd__a311o_2)
0.13 0.53 6.91 v _6257_/X (sky130_fd_sc_hd__a311o_2)
5 0.03 _2598_ (net)
0.13 0.00 6.91 v _6264_/A3 (sky130_fd_sc_hd__a311oi_4)
0.29 0.40 7.31 ^ _6264_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.01 _2605_ (net)
0.29 0.00 7.31 ^ _6266_/A2 (sky130_fd_sc_hd__o211ai_2)
0.10 0.14 7.46 v _6266_/Y (sky130_fd_sc_hd__o211ai_2)
3 0.01 _2607_ (net)
0.10 0.00 7.46 v _6269_/A2 (sky130_fd_sc_hd__a21oi_1)
0.31 0.33 7.78 ^ _6269_/Y (sky130_fd_sc_hd__a21oi_1)
3 0.01 _2610_ (net)
0.31 0.00 7.78 ^ _6271_/A2 (sky130_fd_sc_hd__o21ai_2)
0.09 0.13 7.91 v _6271_/Y (sky130_fd_sc_hd__o21ai_2)
3 0.01 _2612_ (net)
0.09 0.00 7.91 v _6272_/A2 (sky130_fd_sc_hd__a21o_1)
0.06 0.24 8.15 v _6272_/X (sky130_fd_sc_hd__a21o_1)
3 0.01 _2613_ (net)
0.06 0.00 8.15 v _6353_/A2 (sky130_fd_sc_hd__a21oi_1)
0.18 0.21 8.36 ^ _6353_/Y (sky130_fd_sc_hd__a21oi_1)
2 0.01 _2694_ (net)
0.18 0.00 8.36 ^ _6658_/A2_N (sky130_fd_sc_hd__a2bb2o_1)
0.06 0.33 8.69 v _6658_/X (sky130_fd_sc_hd__a2bb2o_1)
1 0.00 _2998_ (net)
0.06 0.00 8.69 v _6667_/A2 (sky130_fd_sc_hd__a211o_4)
0.16 0.48 9.17 v _6667_/X (sky130_fd_sc_hd__a211o_4)
12 0.09 _3007_ (net)
0.17 0.02 9.19 v _7669_/B (sky130_fd_sc_hd__or4_1)
0.10 0.59 9.78 v _7669_/X (sky130_fd_sc_hd__or4_1)
2 0.01 _3777_ (net)
0.10 0.00 9.78 v _7678_/A (sky130_fd_sc_hd__or2_1)
0.06 0.27 10.05 v _7678_/X (sky130_fd_sc_hd__or2_1)
2 0.01 _3785_ (net)
0.06 0.00 10.05 v _7679_/B (sky130_fd_sc_hd__nand2_1)
0.08 0.10 10.15 ^ _7679_/Y (sky130_fd_sc_hd__nand2_1)
2 0.01 _3786_ (net)
0.08 0.00 10.15 ^ _7680_/B (sky130_fd_sc_hd__nand2_1)
0.06 0.06 10.21 v _7680_/Y (sky130_fd_sc_hd__nand2_1)
1 0.00 _3787_ (net)
0.06 0.00 10.21 v _7682_/B1 (sky130_fd_sc_hd__a22o_1)
0.04 0.19 10.40 v _7682_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 _0468_ (net)
0.04 0.00 10.40 v _8238_/D (sky130_fd_sc_hd__dfxtp_1)
10.40 data arrival time
10.00 10.00 clock i_clk (rise edge)
0.00 10.00 clock source latency
0.30 0.20 10.20 ^ i_clk (in)
2 0.07 i_clk (net)
0.30 0.00 10.20 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.21 10.41 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.04 clknet_0_i_clk (net)
0.06 0.00 10.41 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.09 0.16 10.57 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_1_1_0_i_clk (net)
0.09 0.00 10.57 ^ clkbuf_2_2_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.07 0.15 10.72 ^ clkbuf_2_2_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_2_2_0_i_clk (net)
0.07 0.00 10.73 ^ clkbuf_3_5_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.35 0.33 11.06 ^ clkbuf_3_5_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.20 clknet_3_5_0_i_clk (net)
0.35 0.01 11.07 ^ clkbuf_leaf_63_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 11.27 ^ clkbuf_leaf_63_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
5 0.02 clknet_leaf_63_i_clk (net)
0.05 0.00 11.27 ^ _8238_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 11.02 clock uncertainty
0.04 11.07 clock reconvergence pessimism
-0.11 10.96 library setup time
10.96 data required time
-----------------------------------------------------------------------------
10.96 data required time
-10.40 data arrival time
-----------------------------------------------------------------------------
0.56 slack (MET)