blob: b2daaa83664ffcdc79ddade5db33bbc38dca6e2b [file] [log] [blame]
OpenROAD 7f00621cb612fd94e15b35790afe744c89d433a7
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
[INFO ODB-0222] Reading LEF file: /home/piotro/caravel_user_project/openlane/core/runs/22_12_26_19_07/tmp/merged.nom.lef
[WARNING ODB-0220] WARNING (LEFPARS-2036): SOURCE statement is obsolete in version 5.6 and later.
The LEF parser will ignore this statement.
To avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later. See file /home/piotro/caravel_user_project/openlane/core/runs/22_12_26_19_07/tmp/merged.nom.lef at line 930.
[INFO ODB-0223] Created 13 technology layers
[INFO ODB-0224] Created 25 technology vias
[INFO ODB-0225] Created 441 library cells
[INFO ODB-0226] Finished LEF file: /home/piotro/caravel_user_project/openlane/core/runs/22_12_26_19_07/tmp/merged.nom.lef
Reading netlist...
[INFO]: Setting output delay to: 2.0
[INFO]: Setting input delay to: 2.0
[INFO]: Setting load to: 0.033442
[INFO]: Setting clock uncertainty to: 0.25
[INFO]: Setting clock transition to: 0.15
[INFO]: Setting timing derate to: 0.5 %
min_report
===========================================================================
report_checks -path_delay min (Hold)
============================================================================
Startpoint: _8039_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _8039_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _8039_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.04 0.34 0.34 ^ _8039_/Q (sky130_fd_sc_hd__dfxtp_2)
3 0.01 execute.alu_mul_div.mul_res[15] (net)
0.04 0.00 0.34 ^ _6997_/A (sky130_fd_sc_hd__xnor2_2)
0.02 0.05 0.39 v _6997_/Y (sky130_fd_sc_hd__xnor2_2)
1 0.00 _0270_ (net)
0.02 0.00 0.39 v _8039_/D (sky130_fd_sc_hd__dfxtp_2)
0.39 data arrival time
0.15 0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock network delay (ideal)
0.25 0.25 clock uncertainty
0.00 0.25 clock reconvergence pessimism
0.25 ^ _8039_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.01 0.24 library hold time
0.24 data required time
-----------------------------------------------------------------------------
0.24 data required time
-0.39 data arrival time
-----------------------------------------------------------------------------
0.15 slack (MET)
Startpoint: _8024_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _8024_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _8024_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.04 0.34 0.34 ^ _8024_/Q (sky130_fd_sc_hd__dfxtp_2)
4 0.02 execute.alu_mul_div.mul_res[0] (net)
0.04 0.00 0.34 ^ _6855_/A (sky130_fd_sc_hd__xnor2_2)
0.02 0.05 0.39 v _6855_/Y (sky130_fd_sc_hd__xnor2_2)
1 0.00 _0255_ (net)
0.02 0.00 0.39 v _8024_/D (sky130_fd_sc_hd__dfxtp_2)
0.39 data arrival time
0.15 0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock network delay (ideal)
0.25 0.25 clock uncertainty
0.00 0.25 clock reconvergence pessimism
0.25 ^ _8024_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.01 0.24 library hold time
0.24 data required time
-----------------------------------------------------------------------------
0.24 data required time
-0.39 data arrival time
-----------------------------------------------------------------------------
0.15 slack (MET)
Startpoint: _7985_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _7982_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _7985_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.03 0.33 0.33 v _7985_/Q (sky130_fd_sc_hd__dfxtp_2)
2 0.01 execute.sreg_jtr_buff.o_d[1] (net)
0.03 0.00 0.33 v _5488_/A (sky130_fd_sc_hd__nand2_2)
0.04 0.05 0.38 ^ _5488_/Y (sky130_fd_sc_hd__nand2_2)
1 0.01 _1850_ (net)
0.04 0.00 0.38 ^ _5489_/A2 (sky130_fd_sc_hd__a21oi_2)
0.03 0.04 0.42 v _5489_/Y (sky130_fd_sc_hd__a21oi_2)
1 0.00 _0213_ (net)
0.03 0.00 0.42 v _7982_/D (sky130_fd_sc_hd__dfxtp_2)
0.42 data arrival time
0.15 0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock network delay (ideal)
0.25 0.25 clock uncertainty
0.00 0.25 clock reconvergence pessimism
0.25 ^ _7982_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.01 0.24 library hold time
0.24 data required time
-----------------------------------------------------------------------------
0.24 data required time
-0.42 data arrival time
-----------------------------------------------------------------------------
0.18 slack (MET)
Startpoint: _7986_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _7983_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _7986_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.03 0.33 0.33 v _7986_/Q (sky130_fd_sc_hd__dfxtp_2)
2 0.01 execute.sreg_jtr_buff.o_d[2] (net)
0.03 0.00 0.33 v _5491_/A (sky130_fd_sc_hd__nand2_2)
0.04 0.05 0.38 ^ _5491_/Y (sky130_fd_sc_hd__nand2_2)
1 0.01 _1852_ (net)
0.04 0.00 0.38 ^ _5492_/A2 (sky130_fd_sc_hd__a21oi_2)
0.03 0.04 0.42 v _5492_/Y (sky130_fd_sc_hd__a21oi_2)
1 0.00 _0214_ (net)
0.03 0.00 0.42 v _7983_/D (sky130_fd_sc_hd__dfxtp_2)
0.42 data arrival time
0.15 0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock network delay (ideal)
0.25 0.25 clock uncertainty
0.00 0.25 clock reconvergence pessimism
0.25 ^ _7983_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.01 0.24 library hold time
0.24 data required time
-----------------------------------------------------------------------------
0.24 data required time
-0.42 data arrival time
-----------------------------------------------------------------------------
0.18 slack (MET)
Startpoint: _7913_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _7913_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _7913_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.04 0.33 0.33 ^ _7913_/Q (sky130_fd_sc_hd__dfxtp_2)
2 0.00 decode.oc_rf_ie[1] (net)
0.04 0.00 0.33 ^ _5281_/B2 (sky130_fd_sc_hd__a32o_2)
0.04 0.10 0.43 ^ _5281_/X (sky130_fd_sc_hd__a32o_2)
1 0.00 _0144_ (net)
0.04 0.00 0.43 ^ _7913_/D (sky130_fd_sc_hd__dfxtp_2)
0.43 data arrival time
0.15 0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock network delay (ideal)
0.25 0.25 clock uncertainty
0.00 0.25 clock reconvergence pessimism
0.25 ^ _7913_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.02 0.23 library hold time
0.23 data required time
-----------------------------------------------------------------------------
0.23 data required time
-0.43 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
min_report_end
max_report
===========================================================================
report_checks -path_delay max (Setup)
============================================================================
Startpoint: _7910_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _8232_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _7910_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.04 0.37 0.37 ^ _7910_/Q (sky130_fd_sc_hd__dfxtp_2)
3 0.01 decode.oc_r_reg_sel[1] (net)
0.04 0.00 0.37 ^ _3850_/A (sky130_fd_sc_hd__buf_1)
0.28 0.25 0.63 ^ _3850_/X (sky130_fd_sc_hd__buf_1)
10 0.02 _0507_ (net)
0.28 0.00 0.63 ^ _3869_/C_N (sky130_fd_sc_hd__nor3b_2)
0.58 0.58 1.21 ^ _3869_/Y (sky130_fd_sc_hd__nor3b_2)
10 0.03 _0526_ (net)
0.58 0.00 1.21 ^ _3950_/A2 (sky130_fd_sc_hd__a2111oi_2)
0.12 0.16 1.37 v _3950_/Y (sky130_fd_sc_hd__a2111oi_2)
2 0.00 _0600_ (net)
0.12 0.00 1.37 v _4874_/B2 (sky130_fd_sc_hd__a221o_2)
0.07 0.42 1.79 v _4874_/X (sky130_fd_sc_hd__a221o_2)
3 0.01 _1331_ (net)
0.07 0.00 1.79 v _4875_/B (sky130_fd_sc_hd__and2_2)
0.07 0.25 2.05 v _4875_/X (sky130_fd_sc_hd__and2_2)
10 0.02 _1332_ (net)
0.07 0.00 2.05 v _5654_/B (sky130_fd_sc_hd__or3_2)
0.11 0.52 2.57 v _5654_/X (sky130_fd_sc_hd__or3_2)
3 0.01 _1995_ (net)
0.11 0.00 2.57 v _5655_/B2 (sky130_fd_sc_hd__a22o_2)
0.05 0.27 2.84 v _5655_/X (sky130_fd_sc_hd__a22o_2)
2 0.01 _1996_ (net)
0.05 0.00 2.84 v _5659_/A1 (sky130_fd_sc_hd__a21o_2)
0.05 0.21 3.05 v _5659_/X (sky130_fd_sc_hd__a21o_2)
3 0.01 _2000_ (net)
0.05 0.00 3.05 v _5660_/C (sky130_fd_sc_hd__and3_2)
0.05 0.23 3.27 v _5660_/X (sky130_fd_sc_hd__and3_2)
2 0.01 _2001_ (net)
0.05 0.00 3.27 v _5667_/A (sky130_fd_sc_hd__or3_2)
0.07 0.48 3.76 v _5667_/X (sky130_fd_sc_hd__or3_2)
1 0.00 _2008_ (net)
0.07 0.00 3.76 v _5668_/A (sky130_fd_sc_hd__buf_1)
0.13 0.20 3.95 v _5668_/X (sky130_fd_sc_hd__buf_1)
5 0.02 _2009_ (net)
0.13 0.00 3.95 v _5675_/A (sky130_fd_sc_hd__and3_2)
0.05 0.23 4.18 v _5675_/X (sky130_fd_sc_hd__and3_2)
2 0.01 _2016_ (net)
0.05 0.00 4.18 v _5678_/B (sky130_fd_sc_hd__or4_2)
0.14 0.74 4.92 v _5678_/X (sky130_fd_sc_hd__or4_2)
4 0.01 _2019_ (net)
0.14 0.00 4.92 v _5835_/B1 (sky130_fd_sc_hd__o211a_2)
0.07 0.21 5.13 v _5835_/X (sky130_fd_sc_hd__o211a_2)
4 0.01 _2176_ (net)
0.07 0.00 5.13 v _5887_/A1 (sky130_fd_sc_hd__o21a_2)
0.04 0.23 5.37 v _5887_/X (sky130_fd_sc_hd__o21a_2)
2 0.01 _2228_ (net)
0.04 0.00 5.37 v _5889_/A2 (sky130_fd_sc_hd__o211a_2)
0.05 0.26 5.62 v _5889_/X (sky130_fd_sc_hd__o211a_2)
2 0.01 _2230_ (net)
0.05 0.00 5.62 v _5890_/C1 (sky130_fd_sc_hd__a211o_2)
0.06 0.30 5.92 v _5890_/X (sky130_fd_sc_hd__a211o_2)
3 0.01 _2231_ (net)
0.06 0.00 5.92 v _5926_/A (sky130_fd_sc_hd__and3_2)
0.06 0.21 6.13 v _5926_/X (sky130_fd_sc_hd__and3_2)
4 0.01 _2267_ (net)
0.06 0.00 6.13 v _6119_/B1_N (sky130_fd_sc_hd__o21ba_2)
0.05 0.25 6.38 ^ _6119_/X (sky130_fd_sc_hd__o21ba_2)
1 0.00 _2460_ (net)
0.05 0.00 6.38 ^ _6120_/B1 (sky130_fd_sc_hd__a21oi_2)
0.06 0.03 6.41 v _6120_/Y (sky130_fd_sc_hd__a21oi_2)
1 0.00 _2461_ (net)
0.06 0.00 6.41 v _6121_/B1 (sky130_fd_sc_hd__a41o_2)
0.06 0.27 6.68 v _6121_/X (sky130_fd_sc_hd__a41o_2)
4 0.01 _2462_ (net)
0.06 0.00 6.68 v _6338_/A2 (sky130_fd_sc_hd__a211o_2)
0.05 0.34 7.02 v _6338_/X (sky130_fd_sc_hd__a211o_2)
1 0.00 _2679_ (net)
0.05 0.00 7.02 v _6339_/B1 (sky130_fd_sc_hd__o311a_2)
0.07 0.14 7.17 v _6339_/X (sky130_fd_sc_hd__o311a_2)
2 0.01 _2680_ (net)
0.07 0.00 7.17 v _6383_/B1 (sky130_fd_sc_hd__a211o_2)
0.08 0.38 7.54 v _6383_/X (sky130_fd_sc_hd__a211o_2)
5 0.02 _2724_ (net)
0.08 0.00 7.54 v _6829_/B1 (sky130_fd_sc_hd__a22o_2)
0.05 0.25 7.79 v _6829_/X (sky130_fd_sc_hd__a22o_2)
3 0.01 _3151_ (net)
0.05 0.00 7.79 v _7644_/B2 (sky130_fd_sc_hd__a2bb2o_2)
0.04 0.23 8.03 v _7644_/X (sky130_fd_sc_hd__a2bb2o_2)
1 0.00 _3756_ (net)
0.04 0.00 8.03 v _7645_/A1 (sky130_fd_sc_hd__mux2_2)
0.04 0.26 8.29 v _7645_/X (sky130_fd_sc_hd__mux2_2)
1 0.00 _3757_ (net)
0.04 0.00 8.29 v _7646_/A0 (sky130_fd_sc_hd__mux2_2)
0.05 0.26 8.55 v _7646_/X (sky130_fd_sc_hd__mux2_2)
1 0.00 _3758_ (net)
0.05 0.00 8.55 v _7647_/A (sky130_fd_sc_hd__buf_1)
0.02 0.09 8.63 v _7647_/X (sky130_fd_sc_hd__buf_1)
1 0.00 _0462_ (net)
0.02 0.00 8.63 v _8232_/D (sky130_fd_sc_hd__dfxtp_2)
8.63 data arrival time
0.15 10.00 10.00 clock i_clk (rise edge)
0.00 10.00 clock network delay (ideal)
-0.25 9.75 clock uncertainty
0.00 9.75 clock reconvergence pessimism
9.75 ^ _8232_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.08 9.67 library setup time
9.67 data required time
-----------------------------------------------------------------------------
9.67 data required time
-8.63 data arrival time
-----------------------------------------------------------------------------
1.04 slack (MET)
Startpoint: _7911_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _8003_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _7911_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.05 0.37 0.37 ^ _7911_/Q (sky130_fd_sc_hd__dfxtp_2)
3 0.01 decode.oc_r_reg_sel[2] (net)
0.05 0.00 0.37 ^ _3846_/A (sky130_fd_sc_hd__buf_1)
0.28 0.26 0.63 ^ _3846_/X (sky130_fd_sc_hd__buf_1)
10 0.02 _0503_ (net)
0.28 0.00 0.63 ^ _3984_/B_N (sky130_fd_sc_hd__or2b_2)
0.05 0.34 0.96 v _3984_/X (sky130_fd_sc_hd__or2b_2)
1 0.00 _0630_ (net)
0.05 0.00 0.96 v _3988_/A2 (sky130_fd_sc_hd__a2111o_2)
0.07 0.49 1.45 v _3988_/X (sky130_fd_sc_hd__a2111o_2)
1 0.00 _0634_ (net)
0.07 0.00 1.45 v _3989_/A3 (sky130_fd_sc_hd__o32ai_2)
0.63 0.55 2.00 ^ _3989_/Y (sky130_fd_sc_hd__o32ai_2)
8 0.03 _0635_ (net)
0.63 0.00 2.00 ^ _3990_/A (sky130_fd_sc_hd__inv_2)
0.20 0.25 2.25 v _3990_/Y (sky130_fd_sc_hd__inv_2)
4 0.04 sr_bus_data_o[4] (net)
0.20 0.00 2.25 v _5097_/A1 (sky130_fd_sc_hd__mux2_2)
0.06 0.36 2.61 v _5097_/X (sky130_fd_sc_hd__mux2_2)
3 0.01 _1550_ (net)
0.06 0.00 2.61 v _5098_/A (sky130_fd_sc_hd__buf_1)
0.15 0.20 2.81 v _5098_/X (sky130_fd_sc_hd__buf_1)
10 0.02 _1551_ (net)
0.15 0.00 2.81 v _5902_/A2 (sky130_fd_sc_hd__a31o_2)
0.05 0.29 3.11 v _5902_/X (sky130_fd_sc_hd__a31o_2)
2 0.01 _2243_ (net)
0.05 0.00 3.11 v _5903_/B1 (sky130_fd_sc_hd__a21o_2)
0.05 0.21 3.32 v _5903_/X (sky130_fd_sc_hd__a21o_2)
3 0.01 _2244_ (net)
0.05 0.00 3.32 v _5947_/A2 (sky130_fd_sc_hd__a21o_2)
0.04 0.23 3.55 v _5947_/X (sky130_fd_sc_hd__a21o_2)
2 0.01 _2288_ (net)
0.04 0.00 3.55 v _5948_/C (sky130_fd_sc_hd__and3_2)
0.07 0.25 3.80 v _5948_/X (sky130_fd_sc_hd__and3_2)
4 0.02 _2289_ (net)
0.07 0.00 3.80 v _5952_/A (sky130_fd_sc_hd__or3b_2)
0.11 0.54 4.34 v _5952_/X (sky130_fd_sc_hd__or3b_2)
3 0.01 _2293_ (net)
0.11 0.00 4.34 v _5986_/A (sky130_fd_sc_hd__nand2_2)
0.07 0.11 4.44 ^ _5986_/Y (sky130_fd_sc_hd__nand2_2)
2 0.01 _2327_ (net)
0.07 0.00 4.44 ^ _5993_/B (sky130_fd_sc_hd__xnor2_2)
0.07 0.08 4.53 v _5993_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.01 _2334_ (net)
0.07 0.00 4.53 v _5994_/B (sky130_fd_sc_hd__or2_2)
0.07 0.31 4.83 v _5994_/X (sky130_fd_sc_hd__or2_2)
2 0.01 _2335_ (net)
0.07 0.00 4.83 v _5996_/A2 (sky130_fd_sc_hd__a211oi_2)
0.32 0.36 5.19 ^ _5996_/Y (sky130_fd_sc_hd__a211oi_2)
4 0.01 _2337_ (net)
0.32 0.00 5.19 ^ _6005_/A1 (sky130_fd_sc_hd__o211a_2)
0.08 0.27 5.46 ^ _6005_/X (sky130_fd_sc_hd__o211a_2)
2 0.01 _2346_ (net)
0.08 0.00 5.46 ^ _6027_/A2 (sky130_fd_sc_hd__o211ai_2)
0.11 0.11 5.57 v _6027_/Y (sky130_fd_sc_hd__o211ai_2)
4 0.01 _2368_ (net)
0.11 0.00 5.57 v _6439_/B (sky130_fd_sc_hd__and2b_2)
0.04 0.24 5.80 v _6439_/X (sky130_fd_sc_hd__and2b_2)
2 0.01 _2780_ (net)
0.04 0.00 5.80 v _6441_/B (sky130_fd_sc_hd__or2_2)
0.05 0.28 6.08 v _6441_/X (sky130_fd_sc_hd__or2_2)
1 0.00 _2782_ (net)
0.05 0.00 6.08 v _6462_/A3 (sky130_fd_sc_hd__a31o_2)
0.06 0.28 6.36 v _6462_/X (sky130_fd_sc_hd__a31o_2)
2 0.01 _2803_ (net)
0.06 0.00 6.36 v _6477_/A (sky130_fd_sc_hd__or2b_2)
0.05 0.29 6.65 v _6477_/X (sky130_fd_sc_hd__or2b_2)
1 0.00 _2818_ (net)
0.05 0.00 6.65 v _6618_/A (sky130_fd_sc_hd__or4_2)
0.09 0.67 7.32 v _6618_/X (sky130_fd_sc_hd__or4_2)
1 0.00 _2959_ (net)
0.09 0.00 7.32 v _6653_/A (sky130_fd_sc_hd__or3_2)
0.07 0.49 7.81 v _6653_/X (sky130_fd_sc_hd__or3_2)
1 0.00 _2994_ (net)
0.07 0.00 7.81 v _6654_/D (sky130_fd_sc_hd__or4_2)
0.11 0.58 8.38 v _6654_/X (sky130_fd_sc_hd__or4_2)
1 0.00 _2995_ (net)
0.11 0.00 8.38 v _6655_/C1 (sky130_fd_sc_hd__o211ai_2)
0.11 0.08 8.46 ^ _6655_/Y (sky130_fd_sc_hd__o211ai_2)
1 0.00 _2996_ (net)
0.11 0.00 8.46 ^ _6656_/B1 (sky130_fd_sc_hd__o211a_2)
0.04 0.18 8.64 ^ _6656_/X (sky130_fd_sc_hd__o211a_2)
1 0.00 _0234_ (net)
0.04 0.00 8.64 ^ _8003_/D (sky130_fd_sc_hd__dfxtp_2)
8.64 data arrival time
0.15 10.00 10.00 clock i_clk (rise edge)
0.00 10.00 clock network delay (ideal)
-0.25 9.75 clock uncertainty
0.00 9.75 clock reconvergence pessimism
9.75 ^ _8003_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.04 9.71 library setup time
9.71 data required time
-----------------------------------------------------------------------------
9.71 data required time
-8.64 data arrival time
-----------------------------------------------------------------------------
1.06 slack (MET)
Startpoint: _7909_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _8240_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _7909_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.04 0.37 0.37 ^ _7909_/Q (sky130_fd_sc_hd__dfxtp_2)
3 0.01 decode.oc_r_reg_sel[0] (net)
0.04 0.00 0.37 ^ _3848_/A (sky130_fd_sc_hd__buf_1)
0.28 0.25 0.62 ^ _3848_/X (sky130_fd_sc_hd__buf_1)
10 0.02 _0505_ (net)
0.28 0.00 0.62 ^ _3870_/A (sky130_fd_sc_hd__buf_1)
0.20 0.24 0.87 ^ _3870_/X (sky130_fd_sc_hd__buf_1)
10 0.02 _0527_ (net)
0.20 0.00 0.87 ^ _3871_/A (sky130_fd_sc_hd__buf_1)
0.20 0.23 1.10 ^ _3871_/X (sky130_fd_sc_hd__buf_1)
10 0.02 _0528_ (net)
0.20 0.00 1.10 ^ _3872_/A (sky130_fd_sc_hd__buf_1)
0.30 0.30 1.40 ^ _3872_/X (sky130_fd_sc_hd__buf_1)
10 0.03 _0529_ (net)
0.30 0.00 1.40 ^ _3993_/A_N (sky130_fd_sc_hd__and4b_2)
0.04 0.27 1.67 v _3993_/X (sky130_fd_sc_hd__and4b_2)
1 0.00 _0638_ (net)
0.04 0.00 1.67 v _3996_/A (sky130_fd_sc_hd__or3_2)
0.08 0.50 2.17 v _3996_/X (sky130_fd_sc_hd__or3_2)
1 0.00 _0641_ (net)
0.08 0.00 2.17 v _3997_/B2 (sky130_fd_sc_hd__o22ai_2)
0.41 0.33 2.50 ^ _3997_/Y (sky130_fd_sc_hd__o22ai_2)
8 0.03 _0642_ (net)
0.41 0.00 2.50 ^ _3998_/A (sky130_fd_sc_hd__inv_2)
0.16 0.21 2.71 v _3998_/Y (sky130_fd_sc_hd__inv_2)
4 0.04 sr_bus_data_o[3] (net)
0.16 0.00 2.71 v _5109_/A1 (sky130_fd_sc_hd__mux2_2)
0.10 0.39 3.10 v _5109_/X (sky130_fd_sc_hd__mux2_2)
7 0.02 _1562_ (net)
0.10 0.00 3.10 v _6044_/B (sky130_fd_sc_hd__nand2_2)
0.06 0.10 3.20 ^ _6044_/Y (sky130_fd_sc_hd__nand2_2)
2 0.01 _2385_ (net)
0.06 0.00 3.20 ^ _6247_/A (sky130_fd_sc_hd__and2_2)
0.10 0.19 3.39 ^ _6247_/X (sky130_fd_sc_hd__and2_2)
5 0.02 _2588_ (net)
0.10 0.00 3.39 ^ _6248_/B1 (sky130_fd_sc_hd__a21oi_2)
0.04 0.05 3.44 v _6248_/Y (sky130_fd_sc_hd__a21oi_2)
2 0.01 _2589_ (net)
0.04 0.00 3.44 v _6249_/B1 (sky130_fd_sc_hd__a21o_2)
0.06 0.22 3.67 v _6249_/X (sky130_fd_sc_hd__a21o_2)
2 0.01 _2590_ (net)
0.06 0.00 3.67 v _6251_/A2 (sky130_fd_sc_hd__a21oi_2)
0.17 0.20 3.87 ^ _6251_/Y (sky130_fd_sc_hd__a21oi_2)
3 0.01 _2592_ (net)
0.17 0.00 3.87 ^ _6253_/A2 (sky130_fd_sc_hd__o211ai_2)
0.09 0.12 3.98 v _6253_/Y (sky130_fd_sc_hd__o211ai_2)
4 0.01 _2594_ (net)
0.09 0.00 3.98 v _6257_/A3 (sky130_fd_sc_hd__a311o_2)
0.09 0.48 4.46 v _6257_/X (sky130_fd_sc_hd__a311o_2)
5 0.02 _2598_ (net)
0.09 0.00 4.46 v _6264_/A3 (sky130_fd_sc_hd__a311oi_2)
0.25 0.34 4.79 ^ _6264_/Y (sky130_fd_sc_hd__a311oi_2)
2 0.01 _2605_ (net)
0.25 0.00 4.79 ^ _6266_/A2 (sky130_fd_sc_hd__o211ai_2)
0.09 0.13 4.93 v _6266_/Y (sky130_fd_sc_hd__o211ai_2)
3 0.01 _2607_ (net)
0.09 0.00 4.93 v _6269_/A2 (sky130_fd_sc_hd__a21oi_2)
0.15 0.20 5.13 ^ _6269_/Y (sky130_fd_sc_hd__a21oi_2)
3 0.01 _2610_ (net)
0.15 0.00 5.13 ^ _6271_/A2 (sky130_fd_sc_hd__o21ai_2)
0.06 0.09 5.21 v _6271_/Y (sky130_fd_sc_hd__o21ai_2)
3 0.01 _2612_ (net)
0.06 0.00 5.21 v _6272_/A2 (sky130_fd_sc_hd__a21o_2)
0.05 0.25 5.46 v _6272_/X (sky130_fd_sc_hd__a21o_2)
3 0.01 _2613_ (net)
0.05 0.00 5.46 v _6353_/A2 (sky130_fd_sc_hd__a21oi_2)
0.10 0.14 5.61 ^ _6353_/Y (sky130_fd_sc_hd__a21oi_2)
2 0.00 _2694_ (net)
0.10 0.00 5.61 ^ _6658_/A2_N (sky130_fd_sc_hd__a2bb2o_2)
0.04 0.28 5.88 v _6658_/X (sky130_fd_sc_hd__a2bb2o_2)
1 0.00 _2998_ (net)
0.04 0.00 5.88 v _6667_/A2 (sky130_fd_sc_hd__a211o_2)
0.09 0.40 6.28 v _6667_/X (sky130_fd_sc_hd__a211o_2)
6 0.02 _3007_ (net)
0.09 0.00 6.28 v _7669_/B (sky130_fd_sc_hd__or4_2)
0.11 0.70 6.98 v _7669_/X (sky130_fd_sc_hd__or4_2)
2 0.01 _3777_ (net)
0.11 0.00 6.98 v _7678_/A (sky130_fd_sc_hd__or2_2)
0.07 0.34 7.33 v _7678_/X (sky130_fd_sc_hd__or2_2)
2 0.01 _3785_ (net)
0.07 0.00 7.33 v _7685_/A0 (sky130_fd_sc_hd__mux2_2)
0.08 0.32 7.65 v _7685_/X (sky130_fd_sc_hd__mux2_2)
3 0.01 _3791_ (net)
0.08 0.00 7.65 v _7692_/B1 (sky130_fd_sc_hd__a21o_2)
0.05 0.22 7.87 v _7692_/X (sky130_fd_sc_hd__a21o_2)
1 0.01 _3797_ (net)
0.05 0.00 7.87 v _7693_/B (sky130_fd_sc_hd__xnor2_2)
0.04 0.12 8.00 v _7693_/Y (sky130_fd_sc_hd__xnor2_2)
1 0.00 _3798_ (net)
0.04 0.00 8.00 v _7694_/A0 (sky130_fd_sc_hd__mux2_2)
0.05 0.26 8.26 v _7694_/X (sky130_fd_sc_hd__mux2_2)
1 0.00 _3799_ (net)
0.05 0.00 8.26 v _7695_/A (sky130_fd_sc_hd__buf_1)
0.02 0.09 8.34 v _7695_/X (sky130_fd_sc_hd__buf_1)
1 0.00 _0470_ (net)
0.02 0.00 8.34 v _8240_/D (sky130_fd_sc_hd__dfxtp_2)
8.34 data arrival time
0.15 10.00 10.00 clock i_clk (rise edge)
0.00 10.00 clock network delay (ideal)
-0.25 9.75 clock uncertainty
0.00 9.75 clock reconvergence pessimism
9.75 ^ _8240_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.08 9.67 library setup time
9.67 data required time
-----------------------------------------------------------------------------
9.67 data required time
-8.34 data arrival time
-----------------------------------------------------------------------------
1.33 slack (MET)
Startpoint: i_irq (input port clocked by i_clk)
Endpoint: o_req_ppl_submit (output port clocked by i_clk)
Path Group: i_clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock network delay (ideal)
2.00 2.00 v input external delay
0.01 0.01 2.01 v i_irq (in)
2 0.00 i_irq (net)
0.01 0.00 2.01 v _4032_/A1 (sky130_fd_sc_hd__o21a_2)
0.03 0.19 2.20 v _4032_/X (sky130_fd_sc_hd__o21a_2)
1 0.00 _0673_ (net)
0.03 0.00 2.20 v _4033_/C (sky130_fd_sc_hd__or3_2)
0.10 0.46 2.66 v _4033_/X (sky130_fd_sc_hd__or3_2)
3 0.01 _0674_ (net)
0.10 0.00 2.66 v _4034_/B (sky130_fd_sc_hd__or2_2)
0.05 0.30 2.96 v _4034_/X (sky130_fd_sc_hd__or2_2)
1 0.00 _0675_ (net)
0.05 0.00 2.96 v _4035_/A (sky130_fd_sc_hd__buf_1)
0.16 0.21 3.16 v _4035_/X (sky130_fd_sc_hd__buf_1)
10 0.03 _0676_ (net)
0.16 0.00 3.16 v _4055_/A (sky130_fd_sc_hd__or2_2)
0.08 0.39 3.55 v _4055_/X (sky130_fd_sc_hd__or2_2)
4 0.01 _0696_ (net)
0.08 0.00 3.55 v _4057_/B (sky130_fd_sc_hd__or3_2)
0.09 0.49 4.05 v _4057_/X (sky130_fd_sc_hd__or3_2)
2 0.01 _0698_ (net)
0.09 0.00 4.05 v _4098_/A_N (sky130_fd_sc_hd__and3b_2)
0.04 0.24 4.29 ^ _4098_/X (sky130_fd_sc_hd__and3b_2)
1 0.00 _0739_ (net)
0.04 0.00 4.29 ^ _4099_/A (sky130_fd_sc_hd__buf_1)
0.33 0.29 4.58 ^ _4099_/X (sky130_fd_sc_hd__buf_1)
8 0.03 _0740_ (net)
0.33 0.00 4.58 ^ _4100_/B (sky130_fd_sc_hd__nor2_2)
0.09 0.10 4.68 v _4100_/Y (sky130_fd_sc_hd__nor2_2)
4 0.01 _0741_ (net)
0.09 0.00 4.68 v _4101_/B (sky130_fd_sc_hd__nor2_2)
0.48 0.41 5.09 ^ _4101_/Y (sky130_fd_sc_hd__nor2_2)
4 0.04 dbg_out[34] (net)
0.48 0.00 5.09 ^ _4102_/B1 (sky130_fd_sc_hd__o21ai_2)
0.08 0.09 5.19 v _4102_/Y (sky130_fd_sc_hd__o21ai_2)
1 0.00 _0742_ (net)
0.08 0.00 5.19 v _4145_/B1 (sky130_fd_sc_hd__o221a_2)
0.05 0.28 5.47 v _4145_/X (sky130_fd_sc_hd__o221a_2)
2 0.01 _0785_ (net)
0.05 0.00 5.47 v _4146_/B (sky130_fd_sc_hd__nor2_2)
0.33 0.28 5.75 ^ _4146_/Y (sky130_fd_sc_hd__nor2_2)
9 0.03 _0786_ (net)
0.33 0.00 5.75 ^ _4147_/A (sky130_fd_sc_hd__buf_1)
0.73 0.63 6.38 ^ _4147_/X (sky130_fd_sc_hd__buf_1)
10 0.06 o_req_ppl_submit (net)
0.73 0.00 6.38 ^ o_req_ppl_submit (out)
6.38 data arrival time
0.15 10.00 10.00 clock i_clk (rise edge)
0.00 10.00 clock network delay (ideal)
-0.25 9.75 clock uncertainty
0.00 9.75 clock reconvergence pessimism
-2.00 7.75 output external delay
7.75 data required time
-----------------------------------------------------------------------------
7.75 data required time
-6.38 data arrival time
-----------------------------------------------------------------------------
1.37 slack (MET)
Startpoint: _7909_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _8241_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _7909_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.04 0.37 0.37 ^ _7909_/Q (sky130_fd_sc_hd__dfxtp_2)
3 0.01 decode.oc_r_reg_sel[0] (net)
0.04 0.00 0.37 ^ _3848_/A (sky130_fd_sc_hd__buf_1)
0.28 0.25 0.62 ^ _3848_/X (sky130_fd_sc_hd__buf_1)
10 0.02 _0505_ (net)
0.28 0.00 0.62 ^ _3870_/A (sky130_fd_sc_hd__buf_1)
0.20 0.24 0.87 ^ _3870_/X (sky130_fd_sc_hd__buf_1)
10 0.02 _0527_ (net)
0.20 0.00 0.87 ^ _3871_/A (sky130_fd_sc_hd__buf_1)
0.20 0.23 1.10 ^ _3871_/X (sky130_fd_sc_hd__buf_1)
10 0.02 _0528_ (net)
0.20 0.00 1.10 ^ _3872_/A (sky130_fd_sc_hd__buf_1)
0.30 0.30 1.40 ^ _3872_/X (sky130_fd_sc_hd__buf_1)
10 0.03 _0529_ (net)
0.30 0.00 1.40 ^ _3993_/A_N (sky130_fd_sc_hd__and4b_2)
0.04 0.27 1.67 v _3993_/X (sky130_fd_sc_hd__and4b_2)
1 0.00 _0638_ (net)
0.04 0.00 1.67 v _3996_/A (sky130_fd_sc_hd__or3_2)
0.08 0.50 2.17 v _3996_/X (sky130_fd_sc_hd__or3_2)
1 0.00 _0641_ (net)
0.08 0.00 2.17 v _3997_/B2 (sky130_fd_sc_hd__o22ai_2)
0.41 0.33 2.50 ^ _3997_/Y (sky130_fd_sc_hd__o22ai_2)
8 0.03 _0642_ (net)
0.41 0.00 2.50 ^ _3998_/A (sky130_fd_sc_hd__inv_2)
0.16 0.21 2.71 v _3998_/Y (sky130_fd_sc_hd__inv_2)
4 0.04 sr_bus_data_o[3] (net)
0.16 0.00 2.71 v _5109_/A1 (sky130_fd_sc_hd__mux2_2)
0.10 0.39 3.10 v _5109_/X (sky130_fd_sc_hd__mux2_2)
7 0.02 _1562_ (net)
0.10 0.00 3.10 v _6044_/B (sky130_fd_sc_hd__nand2_2)
0.06 0.10 3.20 ^ _6044_/Y (sky130_fd_sc_hd__nand2_2)
2 0.01 _2385_ (net)
0.06 0.00 3.20 ^ _6247_/A (sky130_fd_sc_hd__and2_2)
0.10 0.19 3.39 ^ _6247_/X (sky130_fd_sc_hd__and2_2)
5 0.02 _2588_ (net)
0.10 0.00 3.39 ^ _6248_/B1 (sky130_fd_sc_hd__a21oi_2)
0.04 0.05 3.44 v _6248_/Y (sky130_fd_sc_hd__a21oi_2)
2 0.01 _2589_ (net)
0.04 0.00 3.44 v _6249_/B1 (sky130_fd_sc_hd__a21o_2)
0.06 0.22 3.67 v _6249_/X (sky130_fd_sc_hd__a21o_2)
2 0.01 _2590_ (net)
0.06 0.00 3.67 v _6251_/A2 (sky130_fd_sc_hd__a21oi_2)
0.17 0.20 3.87 ^ _6251_/Y (sky130_fd_sc_hd__a21oi_2)
3 0.01 _2592_ (net)
0.17 0.00 3.87 ^ _6253_/A2 (sky130_fd_sc_hd__o211ai_2)
0.09 0.12 3.98 v _6253_/Y (sky130_fd_sc_hd__o211ai_2)
4 0.01 _2594_ (net)
0.09 0.00 3.98 v _6257_/A3 (sky130_fd_sc_hd__a311o_2)
0.09 0.48 4.46 v _6257_/X (sky130_fd_sc_hd__a311o_2)
5 0.02 _2598_ (net)
0.09 0.00 4.46 v _6264_/A3 (sky130_fd_sc_hd__a311oi_2)
0.25 0.34 4.79 ^ _6264_/Y (sky130_fd_sc_hd__a311oi_2)
2 0.01 _2605_ (net)
0.25 0.00 4.79 ^ _6266_/A2 (sky130_fd_sc_hd__o211ai_2)
0.09 0.13 4.93 v _6266_/Y (sky130_fd_sc_hd__o211ai_2)
3 0.01 _2607_ (net)
0.09 0.00 4.93 v _6269_/A2 (sky130_fd_sc_hd__a21oi_2)
0.15 0.20 5.13 ^ _6269_/Y (sky130_fd_sc_hd__a21oi_2)
3 0.01 _2610_ (net)
0.15 0.00 5.13 ^ _6271_/A2 (sky130_fd_sc_hd__o21ai_2)
0.06 0.09 5.21 v _6271_/Y (sky130_fd_sc_hd__o21ai_2)
3 0.01 _2612_ (net)
0.06 0.00 5.21 v _6272_/A2 (sky130_fd_sc_hd__a21o_2)
0.05 0.25 5.46 v _6272_/X (sky130_fd_sc_hd__a21o_2)
3 0.01 _2613_ (net)
0.05 0.00 5.46 v _6353_/A2 (sky130_fd_sc_hd__a21oi_2)
0.10 0.14 5.61 ^ _6353_/Y (sky130_fd_sc_hd__a21oi_2)
2 0.00 _2694_ (net)
0.10 0.00 5.61 ^ _6658_/A2_N (sky130_fd_sc_hd__a2bb2o_2)
0.04 0.28 5.88 v _6658_/X (sky130_fd_sc_hd__a2bb2o_2)
1 0.00 _2998_ (net)
0.04 0.00 5.88 v _6667_/A2 (sky130_fd_sc_hd__a211o_2)
0.09 0.40 6.28 v _6667_/X (sky130_fd_sc_hd__a211o_2)
6 0.02 _3007_ (net)
0.09 0.00 6.28 v _7669_/B (sky130_fd_sc_hd__or4_2)
0.11 0.70 6.98 v _7669_/X (sky130_fd_sc_hd__or4_2)
2 0.01 _3777_ (net)
0.11 0.00 6.98 v _7678_/A (sky130_fd_sc_hd__or2_2)
0.07 0.34 7.33 v _7678_/X (sky130_fd_sc_hd__or2_2)
2 0.01 _3785_ (net)
0.07 0.00 7.33 v _7685_/A0 (sky130_fd_sc_hd__mux2_2)
0.08 0.32 7.65 v _7685_/X (sky130_fd_sc_hd__mux2_2)
3 0.01 _3791_ (net)
0.08 0.00 7.65 v _7698_/A (sky130_fd_sc_hd__nor2_2)
0.12 0.16 7.81 ^ _7698_/Y (sky130_fd_sc_hd__nor2_2)
1 0.01 _3802_ (net)
0.12 0.00 7.81 ^ _7699_/B (sky130_fd_sc_hd__xnor2_2)
0.04 0.06 7.87 v _7699_/Y (sky130_fd_sc_hd__xnor2_2)
1 0.00 _3803_ (net)
0.04 0.00 7.87 v _7700_/A0 (sky130_fd_sc_hd__mux2_2)
0.05 0.26 8.13 v _7700_/X (sky130_fd_sc_hd__mux2_2)
1 0.00 _3804_ (net)
0.05 0.00 8.13 v _7701_/A (sky130_fd_sc_hd__buf_1)
0.02 0.09 8.22 v _7701_/X (sky130_fd_sc_hd__buf_1)
1 0.00 _0471_ (net)
0.02 0.00 8.22 v _8241_/D (sky130_fd_sc_hd__dfxtp_2)
8.22 data arrival time
0.15 10.00 10.00 clock i_clk (rise edge)
0.00 10.00 clock network delay (ideal)
-0.25 9.75 clock uncertainty
0.00 9.75 clock reconvergence pessimism
9.75 ^ _8241_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.08 9.67 library setup time
9.67 data required time
-----------------------------------------------------------------------------
9.67 data required time
-8.22 data arrival time
-----------------------------------------------------------------------------
1.46 slack (MET)
max_report_end
check_report
===========================================================================
report_checks -unconstrained
============================================================================
Startpoint: _7910_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _8232_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _7910_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.04 0.37 0.37 ^ _7910_/Q (sky130_fd_sc_hd__dfxtp_2)
3 0.01 decode.oc_r_reg_sel[1] (net)
0.04 0.00 0.37 ^ _3850_/A (sky130_fd_sc_hd__buf_1)
0.28 0.25 0.63 ^ _3850_/X (sky130_fd_sc_hd__buf_1)
10 0.02 _0507_ (net)
0.28 0.00 0.63 ^ _3869_/C_N (sky130_fd_sc_hd__nor3b_2)
0.58 0.58 1.21 ^ _3869_/Y (sky130_fd_sc_hd__nor3b_2)
10 0.03 _0526_ (net)
0.58 0.00 1.21 ^ _3950_/A2 (sky130_fd_sc_hd__a2111oi_2)
0.12 0.16 1.37 v _3950_/Y (sky130_fd_sc_hd__a2111oi_2)
2 0.00 _0600_ (net)
0.12 0.00 1.37 v _4874_/B2 (sky130_fd_sc_hd__a221o_2)
0.07 0.42 1.79 v _4874_/X (sky130_fd_sc_hd__a221o_2)
3 0.01 _1331_ (net)
0.07 0.00 1.79 v _4875_/B (sky130_fd_sc_hd__and2_2)
0.07 0.25 2.05 v _4875_/X (sky130_fd_sc_hd__and2_2)
10 0.02 _1332_ (net)
0.07 0.00 2.05 v _5654_/B (sky130_fd_sc_hd__or3_2)
0.11 0.52 2.57 v _5654_/X (sky130_fd_sc_hd__or3_2)
3 0.01 _1995_ (net)
0.11 0.00 2.57 v _5655_/B2 (sky130_fd_sc_hd__a22o_2)
0.05 0.27 2.84 v _5655_/X (sky130_fd_sc_hd__a22o_2)
2 0.01 _1996_ (net)
0.05 0.00 2.84 v _5659_/A1 (sky130_fd_sc_hd__a21o_2)
0.05 0.21 3.05 v _5659_/X (sky130_fd_sc_hd__a21o_2)
3 0.01 _2000_ (net)
0.05 0.00 3.05 v _5660_/C (sky130_fd_sc_hd__and3_2)
0.05 0.23 3.27 v _5660_/X (sky130_fd_sc_hd__and3_2)
2 0.01 _2001_ (net)
0.05 0.00 3.27 v _5667_/A (sky130_fd_sc_hd__or3_2)
0.07 0.48 3.76 v _5667_/X (sky130_fd_sc_hd__or3_2)
1 0.00 _2008_ (net)
0.07 0.00 3.76 v _5668_/A (sky130_fd_sc_hd__buf_1)
0.13 0.20 3.95 v _5668_/X (sky130_fd_sc_hd__buf_1)
5 0.02 _2009_ (net)
0.13 0.00 3.95 v _5675_/A (sky130_fd_sc_hd__and3_2)
0.05 0.23 4.18 v _5675_/X (sky130_fd_sc_hd__and3_2)
2 0.01 _2016_ (net)
0.05 0.00 4.18 v _5678_/B (sky130_fd_sc_hd__or4_2)
0.14 0.74 4.92 v _5678_/X (sky130_fd_sc_hd__or4_2)
4 0.01 _2019_ (net)
0.14 0.00 4.92 v _5835_/B1 (sky130_fd_sc_hd__o211a_2)
0.07 0.21 5.13 v _5835_/X (sky130_fd_sc_hd__o211a_2)
4 0.01 _2176_ (net)
0.07 0.00 5.13 v _5887_/A1 (sky130_fd_sc_hd__o21a_2)
0.04 0.23 5.37 v _5887_/X (sky130_fd_sc_hd__o21a_2)
2 0.01 _2228_ (net)
0.04 0.00 5.37 v _5889_/A2 (sky130_fd_sc_hd__o211a_2)
0.05 0.26 5.62 v _5889_/X (sky130_fd_sc_hd__o211a_2)
2 0.01 _2230_ (net)
0.05 0.00 5.62 v _5890_/C1 (sky130_fd_sc_hd__a211o_2)
0.06 0.30 5.92 v _5890_/X (sky130_fd_sc_hd__a211o_2)
3 0.01 _2231_ (net)
0.06 0.00 5.92 v _5926_/A (sky130_fd_sc_hd__and3_2)
0.06 0.21 6.13 v _5926_/X (sky130_fd_sc_hd__and3_2)
4 0.01 _2267_ (net)
0.06 0.00 6.13 v _6119_/B1_N (sky130_fd_sc_hd__o21ba_2)
0.05 0.25 6.38 ^ _6119_/X (sky130_fd_sc_hd__o21ba_2)
1 0.00 _2460_ (net)
0.05 0.00 6.38 ^ _6120_/B1 (sky130_fd_sc_hd__a21oi_2)
0.06 0.03 6.41 v _6120_/Y (sky130_fd_sc_hd__a21oi_2)
1 0.00 _2461_ (net)
0.06 0.00 6.41 v _6121_/B1 (sky130_fd_sc_hd__a41o_2)
0.06 0.27 6.68 v _6121_/X (sky130_fd_sc_hd__a41o_2)
4 0.01 _2462_ (net)
0.06 0.00 6.68 v _6338_/A2 (sky130_fd_sc_hd__a211o_2)
0.05 0.34 7.02 v _6338_/X (sky130_fd_sc_hd__a211o_2)
1 0.00 _2679_ (net)
0.05 0.00 7.02 v _6339_/B1 (sky130_fd_sc_hd__o311a_2)
0.07 0.14 7.17 v _6339_/X (sky130_fd_sc_hd__o311a_2)
2 0.01 _2680_ (net)
0.07 0.00 7.17 v _6383_/B1 (sky130_fd_sc_hd__a211o_2)
0.08 0.38 7.54 v _6383_/X (sky130_fd_sc_hd__a211o_2)
5 0.02 _2724_ (net)
0.08 0.00 7.54 v _6829_/B1 (sky130_fd_sc_hd__a22o_2)
0.05 0.25 7.79 v _6829_/X (sky130_fd_sc_hd__a22o_2)
3 0.01 _3151_ (net)
0.05 0.00 7.79 v _7644_/B2 (sky130_fd_sc_hd__a2bb2o_2)
0.04 0.23 8.03 v _7644_/X (sky130_fd_sc_hd__a2bb2o_2)
1 0.00 _3756_ (net)
0.04 0.00 8.03 v _7645_/A1 (sky130_fd_sc_hd__mux2_2)
0.04 0.26 8.29 v _7645_/X (sky130_fd_sc_hd__mux2_2)
1 0.00 _3757_ (net)
0.04 0.00 8.29 v _7646_/A0 (sky130_fd_sc_hd__mux2_2)
0.05 0.26 8.55 v _7646_/X (sky130_fd_sc_hd__mux2_2)
1 0.00 _3758_ (net)
0.05 0.00 8.55 v _7647_/A (sky130_fd_sc_hd__buf_1)
0.02 0.09 8.63 v _7647_/X (sky130_fd_sc_hd__buf_1)
1 0.00 _0462_ (net)
0.02 0.00 8.63 v _8232_/D (sky130_fd_sc_hd__dfxtp_2)
8.63 data arrival time
0.15 10.00 10.00 clock i_clk (rise edge)
0.00 10.00 clock network delay (ideal)
-0.25 9.75 clock uncertainty
0.00 9.75 clock reconvergence pessimism
9.75 ^ _8232_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.08 9.67 library setup time
9.67 data required time
-----------------------------------------------------------------------------
9.67 data required time
-8.63 data arrival time
-----------------------------------------------------------------------------
1.04 slack (MET)
===========================================================================
report_checks --slack_max -0.01
============================================================================
No paths found.
check_report_end
check_slew
===========================================================================
report_check_types -max_slew -max_cap -max_fanout -violators
============================================================================
max fanout
Pin Limit Fanout Slack
---------------------------------------------------------
_7877_/Q 10 11 (VIOLATED)
===========================================================================
max slew violation count 0
max fanout violation count 1
max cap violation count 0
============================================================================
check_slew_end
tns_report
===========================================================================
report_tns
============================================================================
tns 0.00
tns_report_end
wns_report
===========================================================================
report_wns
============================================================================
wns 0.00
wns_report_end
worst_slack
===========================================================================
report_worst_slack -max (Setup)
============================================================================
worst slack 1.04
===========================================================================
report_worst_slack -min (Hold)
============================================================================
worst slack 0.15
worst_slack_end
clock_skew
===========================================================================
report_clock_skew
============================================================================
Clock i_clk
Latency CRPR Skew
_7769_/CLK ^
3.03
_7769_/CLK ^
2.74 0.00 0.29
clock_skew_end
power_report
===========================================================================
report_power
============================================================================
Group Internal Switching Leakage Total
Power Power Power Power (Watts)
----------------------------------------------------------------
Sequential 2.17e-03 1.44e-04 4.25e-09 2.32e-03 42.4%
Combinational 1.75e-03 1.40e-03 1.25e-08 3.15e-03 57.6%
Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
----------------------------------------------------------------
Total 3.92e-03 1.54e-03 1.68e-08 5.47e-03 100.0%
71.8% 28.2% 0.0%
power_report_end
area_report
===========================================================================
report_design_area
============================================================================
Design area 44715 u^2 100% utilization.
area_report_end
[WARNING] Did not save OpenROAD database!
Writing SDF to /home/piotro/caravel_user_project/openlane/core/runs/22_12_26_19_07/results/synthesis/core.sdf...