blob: a8df4bfcd1a0ec696547ea1ec528459b3f0785c1 [file] [log] [blame]
OpenROAD 7f00621cb612fd94e15b35790afe744c89d433a7
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
[INFO]: Setting signal min routing layer to: met1 and clock min routing layer to met1.
[INFO]: Setting signal max routing layer to: met4 and clock max routing layer to met4.
-congestion_iterations 50 -verbose
[INFO GRT-0020] Min routing layer: met1
[INFO GRT-0021] Max routing layer: met4
[INFO GRT-0022] Global adjustment: 30%
[INFO GRT-0023] Grid origin: (0, 0)
[INFO GRT-0043] No OR_DEFAULT vias defined.
[INFO GRT-0088] Layer li1 Track-Pitch = 0.4600 line-2-Via Pitch: 0.3400
[INFO GRT-0088] Layer met1 Track-Pitch = 0.3400 line-2-Via Pitch: 0.3400
[INFO GRT-0088] Layer met2 Track-Pitch = 0.4600 line-2-Via Pitch: 0.3500
[INFO GRT-0088] Layer met3 Track-Pitch = 0.6800 line-2-Via Pitch: 0.6150
[INFO GRT-0088] Layer met4 Track-Pitch = 0.9200 line-2-Via Pitch: 0.6150
[INFO GRT-0019] Found 107 clock nets.
[INFO GRT-0001] Minimum degree: 2
[INFO GRT-0002] Maximum degree: 37
[INFO GRT-0003] Macros: 0
[INFO GRT-0004] Blockages: 7687
[INFO GRT-0053] Routing resources analysis:
Routing Original Derated Resource
Layer Direction Resources Resources Reduction (%)
---------------------------------------------------------------
li1 Vertical 0 0 0.00%
met1 Horizontal 103680 60279 41.86%
met2 Vertical 77760 51765 33.43%
met3 Horizontal 51840 36210 30.15%
met4 Vertical 36288 20874 42.48%
---------------------------------------------------------------
[INFO GRT-0197] Via related to pin nodes: 27175
[INFO GRT-0198] Via related Steiner nodes: 931
[INFO GRT-0199] Via filling finished.
[INFO GRT-0111] Final number of vias: 31092
[INFO GRT-0112] Final usage 3D: 133642
[INFO GRT-0096] Final congestion report:
Layer Resource Demand Usage (%) Max H / Max V / Total Overflow
---------------------------------------------------------------------------------------
li1 0 0 0.00% 0 / 0 / 0
met1 60279 20294 33.67% 0 / 0 / 0
met2 51765 18806 36.33% 0 / 0 / 0
met3 36210 587 1.62% 0 / 0 / 0
met4 20874 679 3.25% 0 / 0 / 0
---------------------------------------------------------------------------------------
Total 169128 40366 23.87% 0 / 0 / 0
[INFO GRT-0018] Total wirelength: 401566 um
[INFO GRT-0014] Routed nets: 4865
Setting global connections for newly added cells...
Writing OpenROAD database to /home/piotro/caravel_user_project/openlane/core/runs/22_12_26_19_07/tmp/routing/16-global.odb...
Writing layout to /home/piotro/caravel_user_project/openlane/core/runs/22_12_26_19_07/tmp/routing/16-global.def...
Writing routing guides to /home/piotro/caravel_user_project/openlane/core/runs/22_12_26_19_07/tmp/routing/16-global.guide...
[INFO]: Setting RC values...
min_report
===========================================================================
report_checks -path_delay min (Hold)
============================================================================
Startpoint: _8190_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _7840_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.21 0.14 0.14 ^ i_clk (in)
2 0.04 i_clk (net)
0.21 0.00 0.14 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.18 0.32 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.05 0.00 0.32 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.13 0.45 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_1_1_0_i_clk (net)
0.06 0.00 0.45 ^ clkbuf_2_2_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.05 0.13 0.58 ^ clkbuf_2_2_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.02 clknet_2_2_0_i_clk (net)
0.05 0.00 0.59 ^ clkbuf_3_4_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.21 0.24 0.83 ^ clkbuf_3_4_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
20 0.12 clknet_3_4_0_i_clk (net)
0.21 0.00 0.83 ^ _8190_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.17 0.46 1.29 ^ _8190_/Q (sky130_fd_sc_hd__dfxtp_4)
12 0.06 decode.i_flush (net)
0.17 0.01 1.30 ^ _4157_/A (sky130_fd_sc_hd__nor2_4)
0.07 0.09 1.39 v _4157_/Y (sky130_fd_sc_hd__nor2_4)
8 0.04 _0788_ (net)
0.07 0.00 1.39 v _4778_/B1 (sky130_fd_sc_hd__o211a_1)
0.03 0.13 1.52 v _4778_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _0084_ (net)
0.03 0.00 1.52 v _7840_/D (sky130_fd_sc_hd__dfxtp_2)
1.52 data arrival time
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.21 0.16 0.16 ^ i_clk (in)
2 0.04 i_clk (net)
0.21 0.00 0.16 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.19 0.35 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.05 0.00 0.35 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.15 0.50 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_1_1_0_i_clk (net)
0.06 0.00 0.50 ^ clkbuf_2_2_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.05 0.15 0.65 ^ clkbuf_2_2_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.02 clknet_2_2_0_i_clk (net)
0.05 0.00 0.65 ^ clkbuf_3_5_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.30 0.33 0.98 ^ clkbuf_3_5_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.18 clknet_3_5_0_i_clk (net)
0.30 0.01 0.99 ^ clkbuf_leaf_51_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.21 1.20 ^ clkbuf_leaf_51_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
5 0.02 clknet_leaf_51_i_clk (net)
0.04 0.00 1.20 ^ _7840_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.25 1.45 clock uncertainty
-0.06 1.39 clock reconvergence pessimism
-0.04 1.35 library hold time
1.35 data required time
-----------------------------------------------------------------------------
1.35 data required time
-1.52 data arrival time
-----------------------------------------------------------------------------
0.17 slack (MET)
Startpoint: _7797_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _7914_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.21 0.14 0.14 ^ i_clk (in)
2 0.04 i_clk (net)
0.21 0.00 0.14 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.18 0.32 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.05 0.00 0.32 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.13 0.45 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_1_1_0_i_clk (net)
0.06 0.00 0.45 ^ clkbuf_2_2_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.05 0.13 0.58 ^ clkbuf_2_2_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.02 clknet_2_2_0_i_clk (net)
0.05 0.00 0.59 ^ clkbuf_3_4_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.21 0.24 0.83 ^ clkbuf_3_4_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
20 0.12 clknet_3_4_0_i_clk (net)
0.21 0.00 0.83 ^ clkbuf_leaf_49_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.17 1.00 ^ clkbuf_leaf_49_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
5 0.02 clknet_leaf_49_i_clk (net)
0.04 0.00 1.00 ^ _7797_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.14 0.37 1.37 ^ _7797_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 decode.i_instr_l[8] (net)
0.14 0.00 1.37 ^ _5282_/B2 (sky130_fd_sc_hd__a22o_1)
0.05 0.14 1.51 ^ _5282_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 _0145_ (net)
0.05 0.00 1.51 ^ _7914_/D (sky130_fd_sc_hd__dfxtp_1)
1.51 data arrival time
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.21 0.16 0.16 ^ i_clk (in)
2 0.04 i_clk (net)
0.21 0.00 0.16 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.19 0.35 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.05 0.00 0.35 ^ clkbuf_1_0_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.15 0.50 ^ clkbuf_1_0_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_1_0_0_i_clk (net)
0.06 0.00 0.50 ^ clkbuf_2_0_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.05 0.15 0.65 ^ clkbuf_2_0_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.02 clknet_2_0_0_i_clk (net)
0.05 0.00 0.65 ^ clkbuf_3_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.24 0.29 0.94 ^ clkbuf_3_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
24 0.14 clknet_3_1_0_i_clk (net)
0.24 0.01 0.95 ^ clkbuf_leaf_76_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.20 1.14 ^ clkbuf_leaf_76_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
6 0.02 clknet_leaf_76_i_clk (net)
0.04 0.00 1.14 ^ _7914_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 1.39 clock uncertainty
-0.03 1.36 clock reconvergence pessimism
-0.03 1.33 library hold time
1.33 data required time
-----------------------------------------------------------------------------
1.33 data required time
-1.51 data arrival time
-----------------------------------------------------------------------------
0.18 slack (MET)
Startpoint: _7986_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _7983_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.21 0.14 0.14 ^ i_clk (in)
2 0.04 i_clk (net)
0.21 0.00 0.14 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.18 0.32 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.05 0.00 0.32 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.13 0.45 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_1_1_0_i_clk (net)
0.06 0.00 0.45 ^ clkbuf_2_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.14 0.59 ^ clkbuf_2_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.02 clknet_2_3_0_i_clk (net)
0.06 0.00 0.59 ^ clkbuf_3_7_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.36 0.34 0.93 ^ clkbuf_3_7_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
36 0.21 clknet_3_7_0_i_clk (net)
0.36 0.01 0.94 ^ clkbuf_leaf_25_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.21 1.14 ^ clkbuf_leaf_25_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
7 0.02 clknet_leaf_25_i_clk (net)
0.05 0.00 1.14 ^ _7986_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.04 0.29 1.44 v _7986_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 execute.sreg_jtr_buff.o_d[2] (net)
0.04 0.00 1.44 v _5491_/A (sky130_fd_sc_hd__nand2_1)
0.05 0.06 1.49 ^ _5491_/Y (sky130_fd_sc_hd__nand2_1)
1 0.00 _1852_ (net)
0.05 0.00 1.49 ^ _5492_/A2 (sky130_fd_sc_hd__a21oi_1)
0.04 0.05 1.55 v _5492_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.00 _0214_ (net)
0.04 0.00 1.55 v _7983_/D (sky130_fd_sc_hd__dfxtp_4)
1.55 data arrival time
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.21 0.16 0.16 ^ i_clk (in)
2 0.04 i_clk (net)
0.21 0.00 0.16 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.19 0.35 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.05 0.00 0.35 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.15 0.50 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_1_1_0_i_clk (net)
0.06 0.00 0.50 ^ clkbuf_2_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.15 0.65 ^ clkbuf_2_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.02 clknet_2_3_0_i_clk (net)
0.06 0.00 0.65 ^ clkbuf_3_7_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.36 0.38 1.03 ^ clkbuf_3_7_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
36 0.21 clknet_3_7_0_i_clk (net)
0.36 0.01 1.03 ^ clkbuf_leaf_25_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 1.26 ^ clkbuf_leaf_25_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
7 0.02 clknet_leaf_25_i_clk (net)
0.05 0.00 1.26 ^ _7983_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.25 1.51 clock uncertainty
-0.12 1.39 clock reconvergence pessimism
-0.04 1.35 library hold time
1.35 data required time
-----------------------------------------------------------------------------
1.35 data required time
-1.55 data arrival time
-----------------------------------------------------------------------------
0.19 slack (MET)
Startpoint: _7969_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _7969_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.21 0.14 0.14 ^ i_clk (in)
2 0.04 i_clk (net)
0.21 0.00 0.14 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.18 0.32 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.05 0.00 0.32 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.13 0.45 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_1_1_0_i_clk (net)
0.06 0.00 0.45 ^ clkbuf_2_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.14 0.59 ^ clkbuf_2_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.02 clknet_2_3_0_i_clk (net)
0.06 0.00 0.59 ^ clkbuf_3_6_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.29 0.29 0.88 ^ clkbuf_3_6_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.17 clknet_3_6_0_i_clk (net)
0.29 0.01 0.89 ^ clkbuf_leaf_22_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.19 1.08 ^ clkbuf_leaf_22_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
5 0.02 clknet_leaf_22_i_clk (net)
0.04 0.00 1.08 ^ _7969_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.07 0.31 1.39 ^ _7969_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 execute.sreg_scratch.o_d[4] (net)
0.07 0.00 1.39 ^ _5461_/A1 (sky130_fd_sc_hd__o21a_1)
0.04 0.11 1.50 ^ _5461_/X (sky130_fd_sc_hd__o21a_1)
1 0.00 _0200_ (net)
0.04 0.00 1.50 ^ _7969_/D (sky130_fd_sc_hd__dfxtp_1)
1.50 data arrival time
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.21 0.16 0.16 ^ i_clk (in)
2 0.04 i_clk (net)
0.21 0.00 0.16 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.19 0.35 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.05 0.00 0.35 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.15 0.50 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_1_1_0_i_clk (net)
0.06 0.00 0.50 ^ clkbuf_2_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.15 0.65 ^ clkbuf_2_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.02 clknet_2_3_0_i_clk (net)
0.06 0.00 0.65 ^ clkbuf_3_6_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.29 0.32 0.97 ^ clkbuf_3_6_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.17 clknet_3_6_0_i_clk (net)
0.29 0.01 0.98 ^ clkbuf_leaf_22_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.21 1.19 ^ clkbuf_leaf_22_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
5 0.02 clknet_leaf_22_i_clk (net)
0.04 0.00 1.19 ^ _7969_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 1.44 clock uncertainty
-0.11 1.33 clock reconvergence pessimism
-0.03 1.30 library hold time
1.30 data required time
-----------------------------------------------------------------------------
1.30 data required time
-1.50 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
Startpoint: _7900_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _7900_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.21 0.14 0.14 ^ i_clk (in)
2 0.04 i_clk (net)
0.21 0.00 0.14 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.18 0.32 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.05 0.00 0.32 ^ clkbuf_1_0_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.14 0.45 ^ clkbuf_1_0_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_1_0_0_i_clk (net)
0.06 0.00 0.45 ^ clkbuf_2_0_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.05 0.13 0.59 ^ clkbuf_2_0_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.02 clknet_2_0_0_i_clk (net)
0.05 0.00 0.59 ^ clkbuf_3_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.24 0.26 0.85 ^ clkbuf_3_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
24 0.14 clknet_3_1_0_i_clk (net)
0.24 0.01 0.85 ^ clkbuf_leaf_78_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.17 1.03 ^ clkbuf_leaf_78_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.01 clknet_leaf_78_i_clk (net)
0.04 0.00 1.03 ^ _7900_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.08 0.31 1.34 ^ _7900_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 decode.oc_used_operands[1] (net)
0.08 0.00 1.34 ^ _5251_/A1 (sky130_fd_sc_hd__o21a_1)
0.04 0.11 1.45 ^ _5251_/X (sky130_fd_sc_hd__o21a_1)
1 0.00 _0131_ (net)
0.04 0.00 1.45 ^ _7900_/D (sky130_fd_sc_hd__dfxtp_1)
1.45 data arrival time
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.21 0.16 0.16 ^ i_clk (in)
2 0.04 i_clk (net)
0.21 0.00 0.16 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.19 0.35 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.05 0.00 0.35 ^ clkbuf_1_0_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.15 0.50 ^ clkbuf_1_0_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_1_0_0_i_clk (net)
0.06 0.00 0.50 ^ clkbuf_2_0_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.05 0.15 0.65 ^ clkbuf_2_0_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.02 clknet_2_0_0_i_clk (net)
0.05 0.00 0.65 ^ clkbuf_3_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.24 0.29 0.94 ^ clkbuf_3_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
24 0.14 clknet_3_1_0_i_clk (net)
0.24 0.01 0.94 ^ clkbuf_leaf_78_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.19 1.14 ^ clkbuf_leaf_78_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.01 clknet_leaf_78_i_clk (net)
0.04 0.00 1.14 ^ _7900_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 1.39 clock uncertainty
-0.11 1.28 clock reconvergence pessimism
-0.03 1.25 library hold time
1.25 data required time
-----------------------------------------------------------------------------
1.25 data required time
-1.45 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
min_report_end
max_report
===========================================================================
report_checks -path_delay max (Setup)
============================================================================
Startpoint: _7910_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _8232_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.21 0.16 0.16 ^ i_clk (in)
2 0.04 i_clk (net)
0.21 0.00 0.16 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.19 0.35 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.05 0.00 0.35 ^ clkbuf_1_0_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.15 0.50 ^ clkbuf_1_0_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_1_0_0_i_clk (net)
0.06 0.00 0.50 ^ clkbuf_2_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.05 0.15 0.65 ^ clkbuf_2_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.02 clknet_2_1_0_i_clk (net)
0.05 0.00 0.65 ^ clkbuf_3_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.18 0.25 0.90 ^ clkbuf_3_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.10 clknet_3_3_0_i_clk (net)
0.18 0.00 0.90 ^ clkbuf_leaf_7_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.18 1.08 ^ clkbuf_leaf_7_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
7 0.02 clknet_leaf_7_i_clk (net)
0.04 0.00 1.08 ^ _7910_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.05 0.33 1.41 v _7910_/Q (sky130_fd_sc_hd__dfxtp_1)
3 0.01 decode.oc_r_reg_sel[1] (net)
0.05 0.00 1.41 v _3850_/A (sky130_fd_sc_hd__buf_4)
0.05 0.17 1.58 v _3850_/X (sky130_fd_sc_hd__buf_4)
10 0.03 _0507_ (net)
0.05 0.00 1.58 v _3856_/C (sky130_fd_sc_hd__and3b_1)
0.04 0.18 1.76 v _3856_/X (sky130_fd_sc_hd__and3b_1)
1 0.00 _0513_ (net)
0.04 0.00 1.76 v _3857_/A (sky130_fd_sc_hd__buf_4)
0.08 0.20 1.96 v _3857_/X (sky130_fd_sc_hd__buf_4)
20 0.06 _0514_ (net)
0.08 0.00 1.96 v _3982_/B1 (sky130_fd_sc_hd__a22o_1)
0.07 0.23 2.19 v _3982_/X (sky130_fd_sc_hd__a22o_1)
1 0.01 _0628_ (net)
0.07 0.00 2.19 v _3989_/A1 (sky130_fd_sc_hd__o32ai_4)
1.03 0.93 3.12 ^ _3989_/Y (sky130_fd_sc_hd__o32ai_4)
16 0.10 _0635_ (net)
1.03 0.03 3.16 ^ _3990_/A (sky130_fd_sc_hd__clkinv_4)
0.27 0.31 3.46 v _3990_/Y (sky130_fd_sc_hd__clkinv_4)
8 0.05 net244 (net)
0.27 0.00 3.46 v _5097_/A1 (sky130_fd_sc_hd__mux2_4)
0.08 0.41 3.87 v _5097_/X (sky130_fd_sc_hd__mux2_4)
6 0.03 _1550_ (net)
0.08 0.00 3.88 v _5098_/A (sky130_fd_sc_hd__buf_12)
0.04 0.16 4.03 v _5098_/X (sky130_fd_sc_hd__buf_12)
12 0.03 _1551_ (net)
0.04 0.00 4.03 v _5939_/A2 (sky130_fd_sc_hd__a22o_1)
0.06 0.25 4.29 v _5939_/X (sky130_fd_sc_hd__a22o_1)
2 0.01 _2280_ (net)
0.06 0.00 4.29 v _5945_/B (sky130_fd_sc_hd__and3_1)
0.04 0.18 4.47 v _5945_/X (sky130_fd_sc_hd__and3_1)
2 0.00 _2286_ (net)
0.04 0.00 4.47 v _5946_/B1_N (sky130_fd_sc_hd__o21bai_1)
0.09 0.18 4.65 v _5946_/Y (sky130_fd_sc_hd__o21bai_1)
2 0.01 _2287_ (net)
0.09 0.00 4.65 v _5948_/B (sky130_fd_sc_hd__and3_4)
0.05 0.21 4.86 v _5948_/X (sky130_fd_sc_hd__and3_4)
4 0.01 _2289_ (net)
0.05 0.00 4.86 v _5952_/A (sky130_fd_sc_hd__or3b_4)
0.08 0.44 5.30 v _5952_/X (sky130_fd_sc_hd__or3b_4)
3 0.01 _2293_ (net)
0.08 0.00 5.30 v _5986_/A (sky130_fd_sc_hd__nand2_2)
0.06 0.09 5.38 ^ _5986_/Y (sky130_fd_sc_hd__nand2_2)
2 0.01 _2327_ (net)
0.06 0.00 5.38 ^ _5993_/B (sky130_fd_sc_hd__xnor2_1)
0.08 0.09 5.47 v _5993_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _2334_ (net)
0.08 0.00 5.47 v _5994_/B (sky130_fd_sc_hd__or2_1)
0.09 0.28 5.76 v _5994_/X (sky130_fd_sc_hd__or2_1)
2 0.01 _2335_ (net)
0.09 0.00 5.76 v _5996_/A2 (sky130_fd_sc_hd__a211oi_4)
0.31 0.38 6.14 ^ _5996_/Y (sky130_fd_sc_hd__a211oi_4)
4 0.02 _2337_ (net)
0.31 0.00 6.14 ^ _6005_/A1 (sky130_fd_sc_hd__o211a_1)
0.13 0.29 6.43 ^ _6005_/X (sky130_fd_sc_hd__o211a_1)
2 0.01 _2346_ (net)
0.13 0.00 6.43 ^ _6111_/B1 (sky130_fd_sc_hd__a211oi_1)
0.09 0.09 6.51 v _6111_/Y (sky130_fd_sc_hd__a211oi_1)
2 0.01 _2452_ (net)
0.09 0.00 6.52 v _6113_/B1 (sky130_fd_sc_hd__a211o_1)
0.09 0.34 6.86 v _6113_/X (sky130_fd_sc_hd__a211o_1)
3 0.01 _2454_ (net)
0.09 0.00 6.86 v _6115_/A3 (sky130_fd_sc_hd__a311o_1)
0.13 0.47 7.33 v _6115_/X (sky130_fd_sc_hd__a311o_1)
6 0.02 _2456_ (net)
0.13 0.00 7.33 v _6121_/A3 (sky130_fd_sc_hd__a41o_1)
0.13 0.36 7.69 v _6121_/X (sky130_fd_sc_hd__a41o_1)
8 0.02 _2462_ (net)
0.13 0.00 7.70 v _6338_/A2 (sky130_fd_sc_hd__a211o_1)
0.06 0.35 8.05 v _6338_/X (sky130_fd_sc_hd__a211o_1)
1 0.01 _2679_ (net)
0.06 0.00 8.05 v _6339_/B1 (sky130_fd_sc_hd__o311a_4)
0.09 0.18 8.23 v _6339_/X (sky130_fd_sc_hd__o311a_4)
4 0.03 _2680_ (net)
0.09 0.00 8.23 v _6383_/B1 (sky130_fd_sc_hd__a211o_4)
0.10 0.40 8.63 v _6383_/X (sky130_fd_sc_hd__a211o_4)
10 0.04 _2724_ (net)
0.10 0.00 8.63 v _6829_/B1 (sky130_fd_sc_hd__a22o_4)
0.09 0.30 8.93 v _6829_/X (sky130_fd_sc_hd__a22o_4)
6 0.04 _3151_ (net)
0.09 0.01 8.93 v _7644_/B2 (sky130_fd_sc_hd__a2bb2o_1)
0.05 0.27 9.21 v _7644_/X (sky130_fd_sc_hd__a2bb2o_1)
1 0.00 _3756_ (net)
0.05 0.00 9.21 v _7645_/A1 (sky130_fd_sc_hd__mux2_2)
0.07 0.31 9.51 v _7645_/X (sky130_fd_sc_hd__mux2_2)
2 0.01 _3757_ (net)
0.07 0.00 9.51 v _7646_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.30 9.81 v _7646_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _3758_ (net)
0.05 0.00 9.81 v _7647_/A (sky130_fd_sc_hd__clkbuf_1)
0.03 0.10 9.91 v _7647_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 _0462_ (net)
0.03 0.00 9.91 v _8232_/D (sky130_fd_sc_hd__dfxtp_1)
9.91 data arrival time
10.00 10.00 clock i_clk (rise edge)
0.00 10.00 clock source latency
0.21 0.14 10.14 ^ i_clk (in)
2 0.04 i_clk (net)
0.21 0.00 10.14 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.18 10.32 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.05 0.00 10.32 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.13 10.45 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_1_1_0_i_clk (net)
0.06 0.00 10.45 ^ clkbuf_2_2_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.05 0.13 10.58 ^ clkbuf_2_2_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.02 clknet_2_2_0_i_clk (net)
0.05 0.00 10.59 ^ clkbuf_3_5_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.30 0.30 10.89 ^ clkbuf_3_5_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.18 clknet_3_5_0_i_clk (net)
0.30 0.00 10.89 ^ clkbuf_leaf_58_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.19 11.08 ^ clkbuf_leaf_58_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
6 0.02 clknet_leaf_58_i_clk (net)
0.04 0.00 11.08 ^ _8232_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 10.83 clock uncertainty
0.03 10.87 clock reconvergence pessimism
-0.10 10.76 library setup time
10.76 data required time
-----------------------------------------------------------------------------
10.76 data required time
-9.91 data arrival time
-----------------------------------------------------------------------------
0.85 slack (MET)
Startpoint: _7909_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _8240_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.21 0.16 0.16 ^ i_clk (in)
2 0.04 i_clk (net)
0.21 0.00 0.16 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.19 0.35 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.05 0.00 0.35 ^ clkbuf_1_0_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.15 0.50 ^ clkbuf_1_0_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_1_0_0_i_clk (net)
0.06 0.00 0.50 ^ clkbuf_2_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.05 0.15 0.65 ^ clkbuf_2_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.02 clknet_2_1_0_i_clk (net)
0.05 0.00 0.65 ^ clkbuf_3_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.18 0.25 0.90 ^ clkbuf_3_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.10 clknet_3_3_0_i_clk (net)
0.18 0.00 0.90 ^ clkbuf_leaf_7_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.18 1.08 ^ clkbuf_leaf_7_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
7 0.02 clknet_leaf_7_i_clk (net)
0.04 0.00 1.08 ^ _7909_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.09 0.37 1.45 ^ _7909_/Q (sky130_fd_sc_hd__dfxtp_2)
3 0.02 decode.oc_r_reg_sel[0] (net)
0.09 0.00 1.45 ^ _3848_/A (sky130_fd_sc_hd__buf_6)
0.08 0.15 1.60 ^ _3848_/X (sky130_fd_sc_hd__buf_6)
10 0.03 _0505_ (net)
0.08 0.00 1.60 ^ _3870_/A (sky130_fd_sc_hd__buf_2)
0.15 0.20 1.81 ^ _3870_/X (sky130_fd_sc_hd__buf_2)
10 0.03 _0527_ (net)
0.15 0.00 1.81 ^ _3871_/A (sky130_fd_sc_hd__buf_2)
0.24 0.29 2.10 ^ _3871_/X (sky130_fd_sc_hd__buf_2)
20 0.05 _0528_ (net)
0.24 0.00 2.10 ^ _3872_/A (sky130_fd_sc_hd__clkbuf_4)
0.17 0.31 2.41 ^ _3872_/X (sky130_fd_sc_hd__clkbuf_4)
20 0.06 _0529_ (net)
0.17 0.00 2.42 ^ _3993_/A_N (sky130_fd_sc_hd__and4b_1)
0.06 0.24 2.66 v _3993_/X (sky130_fd_sc_hd__and4b_1)
1 0.01 _0638_ (net)
0.06 0.00 2.66 v _3996_/A (sky130_fd_sc_hd__or3_1)
0.10 0.43 3.09 v _3996_/X (sky130_fd_sc_hd__or3_1)
1 0.01 _0641_ (net)
0.10 0.00 3.09 v _3997_/B2 (sky130_fd_sc_hd__o22ai_4)
0.64 0.50 3.59 ^ _3997_/Y (sky130_fd_sc_hd__o22ai_4)
16 0.09 _0642_ (net)
0.64 0.03 3.62 ^ _3998_/A (sky130_fd_sc_hd__inv_2)
0.21 0.24 3.86 v _3998_/Y (sky130_fd_sc_hd__inv_2)
8 0.04 net243 (net)
0.21 0.00 3.86 v _5109_/A1 (sky130_fd_sc_hd__mux2_8)
0.10 0.44 4.30 v _5109_/X (sky130_fd_sc_hd__mux2_8)
14 0.06 _1562_ (net)
0.10 0.01 4.30 v _6044_/B (sky130_fd_sc_hd__nand2_1)
0.10 0.14 4.44 ^ _6044_/Y (sky130_fd_sc_hd__nand2_1)
2 0.01 _2385_ (net)
0.10 0.00 4.44 ^ _6247_/A (sky130_fd_sc_hd__and2_1)
0.17 0.23 4.67 ^ _6247_/X (sky130_fd_sc_hd__and2_1)
5 0.02 _2588_ (net)
0.17 0.00 4.67 ^ _6248_/B1 (sky130_fd_sc_hd__a21oi_1)
0.07 0.09 4.76 v _6248_/Y (sky130_fd_sc_hd__a21oi_1)
2 0.01 _2589_ (net)
0.07 0.00 4.76 v _6249_/B1 (sky130_fd_sc_hd__a21o_1)
0.07 0.21 4.97 v _6249_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _2590_ (net)
0.07 0.00 4.97 v _6251_/A2 (sky130_fd_sc_hd__a21oi_2)
0.20 0.24 5.20 ^ _6251_/Y (sky130_fd_sc_hd__a21oi_2)
3 0.01 _2592_ (net)
0.20 0.00 5.21 ^ _6253_/A2 (sky130_fd_sc_hd__o211ai_2)
0.11 0.14 5.34 v _6253_/Y (sky130_fd_sc_hd__o211ai_2)
4 0.01 _2594_ (net)
0.11 0.00 5.34 v _6257_/A3 (sky130_fd_sc_hd__a311o_2)
0.11 0.51 5.85 v _6257_/X (sky130_fd_sc_hd__a311o_2)
5 0.03 _2598_ (net)
0.11 0.00 5.85 v _6264_/A3 (sky130_fd_sc_hd__a311oi_4)
0.27 0.37 6.22 ^ _6264_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.01 _2605_ (net)
0.27 0.00 6.22 ^ _6266_/A2 (sky130_fd_sc_hd__o211ai_2)
0.09 0.14 6.36 v _6266_/Y (sky130_fd_sc_hd__o211ai_2)
3 0.01 _2607_ (net)
0.09 0.00 6.36 v _6269_/A2 (sky130_fd_sc_hd__a21oi_1)
0.28 0.31 6.67 ^ _6269_/Y (sky130_fd_sc_hd__a21oi_1)
3 0.01 _2610_ (net)
0.28 0.00 6.67 ^ _6271_/A2 (sky130_fd_sc_hd__o21ai_2)
0.09 0.12 6.79 v _6271_/Y (sky130_fd_sc_hd__o21ai_2)
3 0.01 _2612_ (net)
0.09 0.00 6.79 v _6272_/A2 (sky130_fd_sc_hd__a21o_1)
0.06 0.23 7.02 v _6272_/X (sky130_fd_sc_hd__a21o_1)
3 0.01 _2613_ (net)
0.06 0.00 7.02 v _6353_/A2 (sky130_fd_sc_hd__a21oi_1)
0.17 0.20 7.22 ^ _6353_/Y (sky130_fd_sc_hd__a21oi_1)
2 0.01 _2694_ (net)
0.17 0.00 7.22 ^ _6658_/A2_N (sky130_fd_sc_hd__a2bb2o_1)
0.06 0.32 7.54 v _6658_/X (sky130_fd_sc_hd__a2bb2o_1)
1 0.01 _2998_ (net)
0.06 0.00 7.54 v _6667_/A2 (sky130_fd_sc_hd__a211o_4)
0.13 0.45 7.99 v _6667_/X (sky130_fd_sc_hd__a211o_4)
12 0.06 _3007_ (net)
0.13 0.01 8.00 v _7669_/B (sky130_fd_sc_hd__or4_1)
0.11 0.58 8.59 v _7669_/X (sky130_fd_sc_hd__or4_1)
2 0.01 _3777_ (net)
0.11 0.00 8.59 v _7678_/A (sky130_fd_sc_hd__or2_1)
0.06 0.28 8.86 v _7678_/X (sky130_fd_sc_hd__or2_1)
2 0.01 _3785_ (net)
0.06 0.00 8.86 v _7685_/A0 (sky130_fd_sc_hd__mux2_1)
0.09 0.35 9.22 v _7685_/X (sky130_fd_sc_hd__mux2_1)
3 0.01 _3791_ (net)
0.09 0.00 9.22 v _7692_/B1 (sky130_fd_sc_hd__a21o_1)
0.05 0.19 9.41 v _7692_/X (sky130_fd_sc_hd__a21o_1)
1 0.01 _3797_ (net)
0.05 0.00 9.41 v _7693_/B (sky130_fd_sc_hd__xnor2_1)
0.05 0.12 9.53 v _7693_/Y (sky130_fd_sc_hd__xnor2_1)
1 0.00 _3798_ (net)
0.05 0.00 9.53 v _7694_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.29 9.82 v _7694_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _3799_ (net)
0.05 0.00 9.82 v _7695_/A (sky130_fd_sc_hd__clkbuf_1)
0.03 0.09 9.91 v _7695_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 _0470_ (net)
0.03 0.00 9.91 v _8240_/D (sky130_fd_sc_hd__dfxtp_1)
9.91 data arrival time
10.00 10.00 clock i_clk (rise edge)
0.00 10.00 clock source latency
0.21 0.14 10.14 ^ i_clk (in)
2 0.04 i_clk (net)
0.21 0.00 10.14 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.18 10.32 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.05 0.00 10.32 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.13 10.45 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_1_1_0_i_clk (net)
0.06 0.00 10.45 ^ clkbuf_2_2_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.05 0.13 10.58 ^ clkbuf_2_2_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.02 clknet_2_2_0_i_clk (net)
0.05 0.00 10.59 ^ clkbuf_3_5_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.30 0.30 10.89 ^ clkbuf_3_5_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.18 clknet_3_5_0_i_clk (net)
0.30 0.01 10.89 ^ clkbuf_leaf_62_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 11.09 ^ clkbuf_leaf_62_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
8 0.02 clknet_leaf_62_i_clk (net)
0.05 0.00 11.09 ^ _8240_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 10.84 clock uncertainty
0.03 10.88 clock reconvergence pessimism
-0.10 10.78 library setup time
10.78 data required time
-----------------------------------------------------------------------------
10.78 data required time
-9.91 data arrival time
-----------------------------------------------------------------------------
0.86 slack (MET)
Startpoint: _7909_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _8241_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.21 0.16 0.16 ^ i_clk (in)
2 0.04 i_clk (net)
0.21 0.00 0.16 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.19 0.35 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.05 0.00 0.35 ^ clkbuf_1_0_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.15 0.50 ^ clkbuf_1_0_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_1_0_0_i_clk (net)
0.06 0.00 0.50 ^ clkbuf_2_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.05 0.15 0.65 ^ clkbuf_2_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.02 clknet_2_1_0_i_clk (net)
0.05 0.00 0.65 ^ clkbuf_3_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.18 0.25 0.90 ^ clkbuf_3_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.10 clknet_3_3_0_i_clk (net)
0.18 0.00 0.90 ^ clkbuf_leaf_7_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.18 1.08 ^ clkbuf_leaf_7_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
7 0.02 clknet_leaf_7_i_clk (net)
0.04 0.00 1.08 ^ _7909_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.09 0.37 1.45 ^ _7909_/Q (sky130_fd_sc_hd__dfxtp_2)
3 0.02 decode.oc_r_reg_sel[0] (net)
0.09 0.00 1.45 ^ _3848_/A (sky130_fd_sc_hd__buf_6)
0.08 0.15 1.60 ^ _3848_/X (sky130_fd_sc_hd__buf_6)
10 0.03 _0505_ (net)
0.08 0.00 1.60 ^ _3870_/A (sky130_fd_sc_hd__buf_2)
0.15 0.20 1.81 ^ _3870_/X (sky130_fd_sc_hd__buf_2)
10 0.03 _0527_ (net)
0.15 0.00 1.81 ^ _3871_/A (sky130_fd_sc_hd__buf_2)
0.24 0.29 2.10 ^ _3871_/X (sky130_fd_sc_hd__buf_2)
20 0.05 _0528_ (net)
0.24 0.00 2.10 ^ _3872_/A (sky130_fd_sc_hd__clkbuf_4)
0.17 0.31 2.41 ^ _3872_/X (sky130_fd_sc_hd__clkbuf_4)
20 0.06 _0529_ (net)
0.17 0.00 2.42 ^ _3993_/A_N (sky130_fd_sc_hd__and4b_1)
0.06 0.24 2.66 v _3993_/X (sky130_fd_sc_hd__and4b_1)
1 0.01 _0638_ (net)
0.06 0.00 2.66 v _3996_/A (sky130_fd_sc_hd__or3_1)
0.10 0.43 3.09 v _3996_/X (sky130_fd_sc_hd__or3_1)
1 0.01 _0641_ (net)
0.10 0.00 3.09 v _3997_/B2 (sky130_fd_sc_hd__o22ai_4)
0.64 0.50 3.59 ^ _3997_/Y (sky130_fd_sc_hd__o22ai_4)
16 0.09 _0642_ (net)
0.64 0.03 3.62 ^ _3998_/A (sky130_fd_sc_hd__inv_2)
0.21 0.24 3.86 v _3998_/Y (sky130_fd_sc_hd__inv_2)
8 0.04 net243 (net)
0.21 0.00 3.86 v _5109_/A1 (sky130_fd_sc_hd__mux2_8)
0.10 0.44 4.30 v _5109_/X (sky130_fd_sc_hd__mux2_8)
14 0.06 _1562_ (net)
0.10 0.01 4.30 v _6044_/B (sky130_fd_sc_hd__nand2_1)
0.10 0.14 4.44 ^ _6044_/Y (sky130_fd_sc_hd__nand2_1)
2 0.01 _2385_ (net)
0.10 0.00 4.44 ^ _6247_/A (sky130_fd_sc_hd__and2_1)
0.17 0.23 4.67 ^ _6247_/X (sky130_fd_sc_hd__and2_1)
5 0.02 _2588_ (net)
0.17 0.00 4.67 ^ _6248_/B1 (sky130_fd_sc_hd__a21oi_1)
0.07 0.09 4.76 v _6248_/Y (sky130_fd_sc_hd__a21oi_1)
2 0.01 _2589_ (net)
0.07 0.00 4.76 v _6249_/B1 (sky130_fd_sc_hd__a21o_1)
0.07 0.21 4.97 v _6249_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _2590_ (net)
0.07 0.00 4.97 v _6251_/A2 (sky130_fd_sc_hd__a21oi_2)
0.20 0.24 5.20 ^ _6251_/Y (sky130_fd_sc_hd__a21oi_2)
3 0.01 _2592_ (net)
0.20 0.00 5.21 ^ _6253_/A2 (sky130_fd_sc_hd__o211ai_2)
0.11 0.14 5.34 v _6253_/Y (sky130_fd_sc_hd__o211ai_2)
4 0.01 _2594_ (net)
0.11 0.00 5.34 v _6257_/A3 (sky130_fd_sc_hd__a311o_2)
0.11 0.51 5.85 v _6257_/X (sky130_fd_sc_hd__a311o_2)
5 0.03 _2598_ (net)
0.11 0.00 5.85 v _6264_/A3 (sky130_fd_sc_hd__a311oi_4)
0.27 0.37 6.22 ^ _6264_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.01 _2605_ (net)
0.27 0.00 6.22 ^ _6266_/A2 (sky130_fd_sc_hd__o211ai_2)
0.09 0.14 6.36 v _6266_/Y (sky130_fd_sc_hd__o211ai_2)
3 0.01 _2607_ (net)
0.09 0.00 6.36 v _6269_/A2 (sky130_fd_sc_hd__a21oi_1)
0.28 0.31 6.67 ^ _6269_/Y (sky130_fd_sc_hd__a21oi_1)
3 0.01 _2610_ (net)
0.28 0.00 6.67 ^ _6271_/A2 (sky130_fd_sc_hd__o21ai_2)
0.09 0.12 6.79 v _6271_/Y (sky130_fd_sc_hd__o21ai_2)
3 0.01 _2612_ (net)
0.09 0.00 6.79 v _6272_/A2 (sky130_fd_sc_hd__a21o_1)
0.06 0.23 7.02 v _6272_/X (sky130_fd_sc_hd__a21o_1)
3 0.01 _2613_ (net)
0.06 0.00 7.02 v _6353_/A2 (sky130_fd_sc_hd__a21oi_1)
0.17 0.20 7.22 ^ _6353_/Y (sky130_fd_sc_hd__a21oi_1)
2 0.01 _2694_ (net)
0.17 0.00 7.22 ^ _6658_/A2_N (sky130_fd_sc_hd__a2bb2o_1)
0.06 0.32 7.54 v _6658_/X (sky130_fd_sc_hd__a2bb2o_1)
1 0.01 _2998_ (net)
0.06 0.00 7.54 v _6667_/A2 (sky130_fd_sc_hd__a211o_4)
0.13 0.45 7.99 v _6667_/X (sky130_fd_sc_hd__a211o_4)
12 0.06 _3007_ (net)
0.13 0.01 8.00 v _7669_/B (sky130_fd_sc_hd__or4_1)
0.11 0.58 8.59 v _7669_/X (sky130_fd_sc_hd__or4_1)
2 0.01 _3777_ (net)
0.11 0.00 8.59 v _7678_/A (sky130_fd_sc_hd__or2_1)
0.06 0.28 8.86 v _7678_/X (sky130_fd_sc_hd__or2_1)
2 0.01 _3785_ (net)
0.06 0.00 8.86 v _7685_/A0 (sky130_fd_sc_hd__mux2_1)
0.09 0.35 9.22 v _7685_/X (sky130_fd_sc_hd__mux2_1)
3 0.01 _3791_ (net)
0.09 0.00 9.22 v _7698_/A (sky130_fd_sc_hd__nor2_1)
0.14 0.17 9.39 ^ _7698_/Y (sky130_fd_sc_hd__nor2_1)
1 0.01 _3802_ (net)
0.14 0.00 9.39 ^ _7699_/B (sky130_fd_sc_hd__xnor2_1)
0.06 0.09 9.47 v _7699_/Y (sky130_fd_sc_hd__xnor2_1)
1 0.00 _3803_ (net)
0.06 0.00 9.47 v _7700_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.30 9.77 v _7700_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _3804_ (net)
0.05 0.00 9.77 v _7701_/A (sky130_fd_sc_hd__clkbuf_1)
0.03 0.10 9.86 v _7701_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 _0471_ (net)
0.03 0.00 9.86 v _8241_/D (sky130_fd_sc_hd__dfxtp_1)
9.86 data arrival time
10.00 10.00 clock i_clk (rise edge)
0.00 10.00 clock source latency
0.21 0.14 10.14 ^ i_clk (in)
2 0.04 i_clk (net)
0.21 0.00 10.14 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.18 10.32 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.05 0.00 10.32 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.13 10.45 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_1_1_0_i_clk (net)
0.06 0.00 10.45 ^ clkbuf_2_2_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.05 0.13 10.58 ^ clkbuf_2_2_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.02 clknet_2_2_0_i_clk (net)
0.05 0.00 10.59 ^ clkbuf_3_5_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.30 0.30 10.89 ^ clkbuf_3_5_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.18 clknet_3_5_0_i_clk (net)
0.30 0.01 10.89 ^ clkbuf_leaf_63_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.19 11.09 ^ clkbuf_leaf_63_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
5 0.02 clknet_leaf_63_i_clk (net)
0.04 0.00 11.09 ^ _8241_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 10.84 clock uncertainty
0.03 10.87 clock reconvergence pessimism
-0.10 10.77 library setup time
10.77 data required time
-----------------------------------------------------------------------------
10.77 data required time
-9.86 data arrival time
-----------------------------------------------------------------------------
0.90 slack (MET)
Startpoint: _7909_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _8239_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.21 0.16 0.16 ^ i_clk (in)
2 0.04 i_clk (net)
0.21 0.00 0.16 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.19 0.35 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.05 0.00 0.35 ^ clkbuf_1_0_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.15 0.50 ^ clkbuf_1_0_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_1_0_0_i_clk (net)
0.06 0.00 0.50 ^ clkbuf_2_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.05 0.15 0.65 ^ clkbuf_2_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.02 clknet_2_1_0_i_clk (net)
0.05 0.00 0.65 ^ clkbuf_3_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.18 0.25 0.90 ^ clkbuf_3_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.10 clknet_3_3_0_i_clk (net)
0.18 0.00 0.90 ^ clkbuf_leaf_7_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.18 1.08 ^ clkbuf_leaf_7_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
7 0.02 clknet_leaf_7_i_clk (net)
0.04 0.00 1.08 ^ _7909_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.09 0.37 1.45 ^ _7909_/Q (sky130_fd_sc_hd__dfxtp_2)
3 0.02 decode.oc_r_reg_sel[0] (net)
0.09 0.00 1.45 ^ _3848_/A (sky130_fd_sc_hd__buf_6)
0.08 0.15 1.60 ^ _3848_/X (sky130_fd_sc_hd__buf_6)
10 0.03 _0505_ (net)
0.08 0.00 1.60 ^ _3870_/A (sky130_fd_sc_hd__buf_2)
0.15 0.20 1.81 ^ _3870_/X (sky130_fd_sc_hd__buf_2)
10 0.03 _0527_ (net)
0.15 0.00 1.81 ^ _3871_/A (sky130_fd_sc_hd__buf_2)
0.24 0.29 2.10 ^ _3871_/X (sky130_fd_sc_hd__buf_2)
20 0.05 _0528_ (net)
0.24 0.00 2.10 ^ _3872_/A (sky130_fd_sc_hd__clkbuf_4)
0.17 0.31 2.41 ^ _3872_/X (sky130_fd_sc_hd__clkbuf_4)
20 0.06 _0529_ (net)
0.17 0.00 2.42 ^ _3993_/A_N (sky130_fd_sc_hd__and4b_1)
0.06 0.24 2.66 v _3993_/X (sky130_fd_sc_hd__and4b_1)
1 0.01 _0638_ (net)
0.06 0.00 2.66 v _3996_/A (sky130_fd_sc_hd__or3_1)
0.10 0.43 3.09 v _3996_/X (sky130_fd_sc_hd__or3_1)
1 0.01 _0641_ (net)
0.10 0.00 3.09 v _3997_/B2 (sky130_fd_sc_hd__o22ai_4)
0.64 0.50 3.59 ^ _3997_/Y (sky130_fd_sc_hd__o22ai_4)
16 0.09 _0642_ (net)
0.64 0.03 3.62 ^ _3998_/A (sky130_fd_sc_hd__inv_2)
0.21 0.24 3.86 v _3998_/Y (sky130_fd_sc_hd__inv_2)
8 0.04 net243 (net)
0.21 0.00 3.86 v _5109_/A1 (sky130_fd_sc_hd__mux2_8)
0.10 0.44 4.30 v _5109_/X (sky130_fd_sc_hd__mux2_8)
14 0.06 _1562_ (net)
0.10 0.01 4.30 v _6044_/B (sky130_fd_sc_hd__nand2_1)
0.10 0.14 4.44 ^ _6044_/Y (sky130_fd_sc_hd__nand2_1)
2 0.01 _2385_ (net)
0.10 0.00 4.44 ^ _6247_/A (sky130_fd_sc_hd__and2_1)
0.17 0.23 4.67 ^ _6247_/X (sky130_fd_sc_hd__and2_1)
5 0.02 _2588_ (net)
0.17 0.00 4.67 ^ _6248_/B1 (sky130_fd_sc_hd__a21oi_1)
0.07 0.09 4.76 v _6248_/Y (sky130_fd_sc_hd__a21oi_1)
2 0.01 _2589_ (net)
0.07 0.00 4.76 v _6249_/B1 (sky130_fd_sc_hd__a21o_1)
0.07 0.21 4.97 v _6249_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _2590_ (net)
0.07 0.00 4.97 v _6251_/A2 (sky130_fd_sc_hd__a21oi_2)
0.20 0.24 5.20 ^ _6251_/Y (sky130_fd_sc_hd__a21oi_2)
3 0.01 _2592_ (net)
0.20 0.00 5.21 ^ _6253_/A2 (sky130_fd_sc_hd__o211ai_2)
0.11 0.14 5.34 v _6253_/Y (sky130_fd_sc_hd__o211ai_2)
4 0.01 _2594_ (net)
0.11 0.00 5.34 v _6257_/A3 (sky130_fd_sc_hd__a311o_2)
0.11 0.51 5.85 v _6257_/X (sky130_fd_sc_hd__a311o_2)
5 0.03 _2598_ (net)
0.11 0.00 5.85 v _6264_/A3 (sky130_fd_sc_hd__a311oi_4)
0.27 0.37 6.22 ^ _6264_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.01 _2605_ (net)
0.27 0.00 6.22 ^ _6266_/A2 (sky130_fd_sc_hd__o211ai_2)
0.09 0.14 6.36 v _6266_/Y (sky130_fd_sc_hd__o211ai_2)
3 0.01 _2607_ (net)
0.09 0.00 6.36 v _6269_/A2 (sky130_fd_sc_hd__a21oi_1)
0.28 0.31 6.67 ^ _6269_/Y (sky130_fd_sc_hd__a21oi_1)
3 0.01 _2610_ (net)
0.28 0.00 6.67 ^ _6271_/A2 (sky130_fd_sc_hd__o21ai_2)
0.09 0.12 6.79 v _6271_/Y (sky130_fd_sc_hd__o21ai_2)
3 0.01 _2612_ (net)
0.09 0.00 6.79 v _6272_/A2 (sky130_fd_sc_hd__a21o_1)
0.06 0.23 7.02 v _6272_/X (sky130_fd_sc_hd__a21o_1)
3 0.01 _2613_ (net)
0.06 0.00 7.02 v _6353_/A2 (sky130_fd_sc_hd__a21oi_1)
0.17 0.20 7.22 ^ _6353_/Y (sky130_fd_sc_hd__a21oi_1)
2 0.01 _2694_ (net)
0.17 0.00 7.22 ^ _6658_/A2_N (sky130_fd_sc_hd__a2bb2o_1)
0.06 0.32 7.54 v _6658_/X (sky130_fd_sc_hd__a2bb2o_1)
1 0.01 _2998_ (net)
0.06 0.00 7.54 v _6667_/A2 (sky130_fd_sc_hd__a211o_4)
0.13 0.45 7.99 v _6667_/X (sky130_fd_sc_hd__a211o_4)
12 0.06 _3007_ (net)
0.13 0.01 8.00 v _7669_/B (sky130_fd_sc_hd__or4_1)
0.11 0.58 8.59 v _7669_/X (sky130_fd_sc_hd__or4_1)
2 0.01 _3777_ (net)
0.11 0.00 8.59 v _7678_/A (sky130_fd_sc_hd__or2_1)
0.06 0.28 8.86 v _7678_/X (sky130_fd_sc_hd__or2_1)
2 0.01 _3785_ (net)
0.06 0.00 8.86 v _7685_/A0 (sky130_fd_sc_hd__mux2_1)
0.09 0.35 9.22 v _7685_/X (sky130_fd_sc_hd__mux2_1)
3 0.01 _3791_ (net)
0.09 0.00 9.22 v _7686_/B (sky130_fd_sc_hd__xnor2_1)
0.06 0.16 9.38 v _7686_/Y (sky130_fd_sc_hd__xnor2_1)
1 0.01 _3792_ (net)
0.06 0.00 9.38 v _7687_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.30 9.68 v _7687_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _3793_ (net)
0.06 0.00 9.68 v _7688_/A (sky130_fd_sc_hd__clkbuf_1)
0.03 0.10 9.78 v _7688_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 _0469_ (net)
0.03 0.00 9.78 v _8239_/D (sky130_fd_sc_hd__dfxtp_1)
9.78 data arrival time
10.00 10.00 clock i_clk (rise edge)
0.00 10.00 clock source latency
0.21 0.14 10.14 ^ i_clk (in)
2 0.04 i_clk (net)
0.21 0.00 10.14 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.18 10.32 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.05 0.00 10.32 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.13 10.45 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_1_1_0_i_clk (net)
0.06 0.00 10.45 ^ clkbuf_2_2_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.05 0.13 10.58 ^ clkbuf_2_2_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.02 clknet_2_2_0_i_clk (net)
0.05 0.00 10.59 ^ clkbuf_3_5_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.30 0.30 10.89 ^ clkbuf_3_5_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.18 clknet_3_5_0_i_clk (net)
0.30 0.01 10.89 ^ clkbuf_leaf_62_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 11.09 ^ clkbuf_leaf_62_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
8 0.02 clknet_leaf_62_i_clk (net)
0.05 0.00 11.09 ^ _8239_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 10.84 clock uncertainty
0.03 10.88 clock reconvergence pessimism
-0.10 10.77 library setup time
10.77 data required time
-----------------------------------------------------------------------------
10.77 data required time
-9.78 data arrival time
-----------------------------------------------------------------------------
0.99 slack (MET)
Startpoint: _7910_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _8022_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.21 0.16 0.16 ^ i_clk (in)
2 0.04 i_clk (net)
0.21 0.00 0.16 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.19 0.35 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.05 0.00 0.35 ^ clkbuf_1_0_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.15 0.50 ^ clkbuf_1_0_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_1_0_0_i_clk (net)
0.06 0.00 0.50 ^ clkbuf_2_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.05 0.15 0.65 ^ clkbuf_2_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.02 clknet_2_1_0_i_clk (net)
0.05 0.00 0.65 ^ clkbuf_3_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.18 0.25 0.90 ^ clkbuf_3_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.10 clknet_3_3_0_i_clk (net)
0.18 0.00 0.90 ^ clkbuf_leaf_7_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.18 1.08 ^ clkbuf_leaf_7_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
7 0.02 clknet_leaf_7_i_clk (net)
0.04 0.00 1.08 ^ _7910_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.05 0.33 1.41 v _7910_/Q (sky130_fd_sc_hd__dfxtp_1)
3 0.01 decode.oc_r_reg_sel[1] (net)
0.05 0.00 1.41 v _3850_/A (sky130_fd_sc_hd__buf_4)
0.05 0.17 1.58 v _3850_/X (sky130_fd_sc_hd__buf_4)
10 0.03 _0507_ (net)
0.05 0.00 1.58 v _3856_/C (sky130_fd_sc_hd__and3b_1)
0.04 0.18 1.76 v _3856_/X (sky130_fd_sc_hd__and3b_1)
1 0.00 _0513_ (net)
0.04 0.00 1.76 v _3857_/A (sky130_fd_sc_hd__buf_4)
0.08 0.20 1.96 v _3857_/X (sky130_fd_sc_hd__buf_4)
20 0.06 _0514_ (net)
0.08 0.00 1.96 v _3982_/B1 (sky130_fd_sc_hd__a22o_1)
0.07 0.23 2.19 v _3982_/X (sky130_fd_sc_hd__a22o_1)
1 0.01 _0628_ (net)
0.07 0.00 2.19 v _3989_/A1 (sky130_fd_sc_hd__o32ai_4)
1.03 0.93 3.12 ^ _3989_/Y (sky130_fd_sc_hd__o32ai_4)
16 0.10 _0635_ (net)
1.03 0.03 3.16 ^ _3990_/A (sky130_fd_sc_hd__clkinv_4)
0.27 0.31 3.46 v _3990_/Y (sky130_fd_sc_hd__clkinv_4)
8 0.05 net244 (net)
0.27 0.00 3.46 v _5097_/A1 (sky130_fd_sc_hd__mux2_4)
0.08 0.41 3.87 v _5097_/X (sky130_fd_sc_hd__mux2_4)
6 0.03 _1550_ (net)
0.08 0.00 3.88 v _5098_/A (sky130_fd_sc_hd__buf_12)
0.04 0.16 4.03 v _5098_/X (sky130_fd_sc_hd__buf_12)
12 0.03 _1551_ (net)
0.04 0.00 4.03 v _5939_/A2 (sky130_fd_sc_hd__a22o_1)
0.06 0.25 4.29 v _5939_/X (sky130_fd_sc_hd__a22o_1)
2 0.01 _2280_ (net)
0.06 0.00 4.29 v _5945_/B (sky130_fd_sc_hd__and3_1)
0.04 0.18 4.47 v _5945_/X (sky130_fd_sc_hd__and3_1)
2 0.00 _2286_ (net)
0.04 0.00 4.47 v _5946_/B1_N (sky130_fd_sc_hd__o21bai_1)
0.09 0.18 4.65 v _5946_/Y (sky130_fd_sc_hd__o21bai_1)
2 0.01 _2287_ (net)
0.09 0.00 4.65 v _5948_/B (sky130_fd_sc_hd__and3_4)
0.05 0.21 4.86 v _5948_/X (sky130_fd_sc_hd__and3_4)
4 0.01 _2289_ (net)
0.05 0.00 4.86 v _5952_/A (sky130_fd_sc_hd__or3b_4)
0.08 0.44 5.30 v _5952_/X (sky130_fd_sc_hd__or3b_4)
3 0.01 _2293_ (net)
0.08 0.00 5.30 v _5986_/A (sky130_fd_sc_hd__nand2_2)
0.06 0.09 5.38 ^ _5986_/Y (sky130_fd_sc_hd__nand2_2)
2 0.01 _2327_ (net)
0.06 0.00 5.38 ^ _5993_/B (sky130_fd_sc_hd__xnor2_1)
0.08 0.09 5.47 v _5993_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _2334_ (net)
0.08 0.00 5.47 v _5994_/B (sky130_fd_sc_hd__or2_1)
0.09 0.28 5.76 v _5994_/X (sky130_fd_sc_hd__or2_1)
2 0.01 _2335_ (net)
0.09 0.00 5.76 v _5996_/A2 (sky130_fd_sc_hd__a211oi_4)
0.31 0.38 6.14 ^ _5996_/Y (sky130_fd_sc_hd__a211oi_4)
4 0.02 _2337_ (net)
0.31 0.00 6.14 ^ _6005_/A1 (sky130_fd_sc_hd__o211a_1)
0.13 0.29 6.43 ^ _6005_/X (sky130_fd_sc_hd__o211a_1)
2 0.01 _2346_ (net)
0.13 0.00 6.43 ^ _6111_/B1 (sky130_fd_sc_hd__a211oi_1)
0.09 0.09 6.51 v _6111_/Y (sky130_fd_sc_hd__a211oi_1)
2 0.01 _2452_ (net)
0.09 0.00 6.52 v _6113_/B1 (sky130_fd_sc_hd__a211o_1)
0.09 0.34 6.86 v _6113_/X (sky130_fd_sc_hd__a211o_1)
3 0.01 _2454_ (net)
0.09 0.00 6.86 v _6115_/A3 (sky130_fd_sc_hd__a311o_1)
0.13 0.47 7.33 v _6115_/X (sky130_fd_sc_hd__a311o_1)
6 0.02 _2456_ (net)
0.13 0.00 7.33 v _6121_/A3 (sky130_fd_sc_hd__a41o_1)
0.13 0.36 7.69 v _6121_/X (sky130_fd_sc_hd__a41o_1)
8 0.02 _2462_ (net)
0.13 0.00 7.70 v _6338_/A2 (sky130_fd_sc_hd__a211o_1)
0.06 0.35 8.05 v _6338_/X (sky130_fd_sc_hd__a211o_1)
1 0.01 _2679_ (net)
0.06 0.00 8.05 v _6339_/B1 (sky130_fd_sc_hd__o311a_4)
0.09 0.18 8.23 v _6339_/X (sky130_fd_sc_hd__o311a_4)
4 0.03 _2680_ (net)
0.09 0.00 8.23 v _6383_/B1 (sky130_fd_sc_hd__a211o_4)
0.10 0.40 8.63 v _6383_/X (sky130_fd_sc_hd__a211o_4)
10 0.04 _2724_ (net)
0.10 0.00 8.63 v _6829_/B1 (sky130_fd_sc_hd__a22o_4)
0.09 0.30 8.93 v _6829_/X (sky130_fd_sc_hd__a22o_4)
6 0.04 _3151_ (net)
0.09 0.01 8.94 v _6830_/B (sky130_fd_sc_hd__nand2_1)
0.05 0.09 9.02 ^ _6830_/Y (sky130_fd_sc_hd__nand2_1)
1 0.00 _3152_ (net)
0.05 0.00 9.03 ^ _6834_/A2 (sky130_fd_sc_hd__a31oi_1)
0.07 0.09 9.11 v _6834_/Y (sky130_fd_sc_hd__a31oi_1)
1 0.00 _3156_ (net)
0.07 0.00 9.11 v _6836_/A2 (sky130_fd_sc_hd__o211a_1)
0.04 0.22 9.34 v _6836_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _0253_ (net)
0.04 0.00 9.34 v _8022_/D (sky130_fd_sc_hd__dfxtp_4)
9.34 data arrival time
10.00 10.00 clock i_clk (rise edge)
0.00 10.00 clock source latency
0.21 0.14 10.14 ^ i_clk (in)
2 0.04 i_clk (net)
0.21 0.00 10.14 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.18 10.32 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.05 0.00 10.32 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.13 10.45 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_1_1_0_i_clk (net)
0.06 0.00 10.45 ^ clkbuf_2_2_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.05 0.13 10.58 ^ clkbuf_2_2_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.02 clknet_2_2_0_i_clk (net)
0.05 0.00 10.59 ^ clkbuf_3_4_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.21 0.24 10.83 ^ clkbuf_3_4_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
20 0.12 clknet_3_4_0_i_clk (net)
0.21 0.00 10.83 ^ clkbuf_leaf_57_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.17 11.00 ^ clkbuf_leaf_57_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
7 0.02 clknet_leaf_57_i_clk (net)
0.05 0.00 11.01 ^ _8022_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.25 10.76 clock uncertainty
0.03 10.79 clock reconvergence pessimism
-0.11 10.68 library setup time
10.68 data required time
-----------------------------------------------------------------------------
10.68 data required time
-9.34 data arrival time
-----------------------------------------------------------------------------
1.34 slack (MET)
max_report_end
check_report
===========================================================================
report_checks -unconstrained
============================================================================
Startpoint: _7910_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _8232_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.21 0.16 0.16 ^ i_clk (in)
2 0.04 i_clk (net)
0.21 0.00 0.16 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.19 0.35 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.05 0.00 0.35 ^ clkbuf_1_0_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.15 0.50 ^ clkbuf_1_0_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_1_0_0_i_clk (net)
0.06 0.00 0.50 ^ clkbuf_2_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.05 0.15 0.65 ^ clkbuf_2_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.02 clknet_2_1_0_i_clk (net)
0.05 0.00 0.65 ^ clkbuf_3_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.18 0.25 0.90 ^ clkbuf_3_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.10 clknet_3_3_0_i_clk (net)
0.18 0.00 0.90 ^ clkbuf_leaf_7_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.18 1.08 ^ clkbuf_leaf_7_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
7 0.02 clknet_leaf_7_i_clk (net)
0.04 0.00 1.08 ^ _7910_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.05 0.33 1.41 v _7910_/Q (sky130_fd_sc_hd__dfxtp_1)
3 0.01 decode.oc_r_reg_sel[1] (net)
0.05 0.00 1.41 v _3850_/A (sky130_fd_sc_hd__buf_4)
0.05 0.17 1.58 v _3850_/X (sky130_fd_sc_hd__buf_4)
10 0.03 _0507_ (net)
0.05 0.00 1.58 v _3856_/C (sky130_fd_sc_hd__and3b_1)
0.04 0.18 1.76 v _3856_/X (sky130_fd_sc_hd__and3b_1)
1 0.00 _0513_ (net)
0.04 0.00 1.76 v _3857_/A (sky130_fd_sc_hd__buf_4)
0.08 0.20 1.96 v _3857_/X (sky130_fd_sc_hd__buf_4)
20 0.06 _0514_ (net)
0.08 0.00 1.96 v _3982_/B1 (sky130_fd_sc_hd__a22o_1)
0.07 0.23 2.19 v _3982_/X (sky130_fd_sc_hd__a22o_1)
1 0.01 _0628_ (net)
0.07 0.00 2.19 v _3989_/A1 (sky130_fd_sc_hd__o32ai_4)
1.03 0.93 3.12 ^ _3989_/Y (sky130_fd_sc_hd__o32ai_4)
16 0.10 _0635_ (net)
1.03 0.03 3.16 ^ _3990_/A (sky130_fd_sc_hd__clkinv_4)
0.27 0.31 3.46 v _3990_/Y (sky130_fd_sc_hd__clkinv_4)
8 0.05 net244 (net)
0.27 0.00 3.46 v _5097_/A1 (sky130_fd_sc_hd__mux2_4)
0.08 0.41 3.87 v _5097_/X (sky130_fd_sc_hd__mux2_4)
6 0.03 _1550_ (net)
0.08 0.00 3.88 v _5098_/A (sky130_fd_sc_hd__buf_12)
0.04 0.16 4.03 v _5098_/X (sky130_fd_sc_hd__buf_12)
12 0.03 _1551_ (net)
0.04 0.00 4.03 v _5939_/A2 (sky130_fd_sc_hd__a22o_1)
0.06 0.25 4.29 v _5939_/X (sky130_fd_sc_hd__a22o_1)
2 0.01 _2280_ (net)
0.06 0.00 4.29 v _5945_/B (sky130_fd_sc_hd__and3_1)
0.04 0.18 4.47 v _5945_/X (sky130_fd_sc_hd__and3_1)
2 0.00 _2286_ (net)
0.04 0.00 4.47 v _5946_/B1_N (sky130_fd_sc_hd__o21bai_1)
0.09 0.18 4.65 v _5946_/Y (sky130_fd_sc_hd__o21bai_1)
2 0.01 _2287_ (net)
0.09 0.00 4.65 v _5948_/B (sky130_fd_sc_hd__and3_4)
0.05 0.21 4.86 v _5948_/X (sky130_fd_sc_hd__and3_4)
4 0.01 _2289_ (net)
0.05 0.00 4.86 v _5952_/A (sky130_fd_sc_hd__or3b_4)
0.08 0.44 5.30 v _5952_/X (sky130_fd_sc_hd__or3b_4)
3 0.01 _2293_ (net)
0.08 0.00 5.30 v _5986_/A (sky130_fd_sc_hd__nand2_2)
0.06 0.09 5.38 ^ _5986_/Y (sky130_fd_sc_hd__nand2_2)
2 0.01 _2327_ (net)
0.06 0.00 5.38 ^ _5993_/B (sky130_fd_sc_hd__xnor2_1)
0.08 0.09 5.47 v _5993_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _2334_ (net)
0.08 0.00 5.47 v _5994_/B (sky130_fd_sc_hd__or2_1)
0.09 0.28 5.76 v _5994_/X (sky130_fd_sc_hd__or2_1)
2 0.01 _2335_ (net)
0.09 0.00 5.76 v _5996_/A2 (sky130_fd_sc_hd__a211oi_4)
0.31 0.38 6.14 ^ _5996_/Y (sky130_fd_sc_hd__a211oi_4)
4 0.02 _2337_ (net)
0.31 0.00 6.14 ^ _6005_/A1 (sky130_fd_sc_hd__o211a_1)
0.13 0.29 6.43 ^ _6005_/X (sky130_fd_sc_hd__o211a_1)
2 0.01 _2346_ (net)
0.13 0.00 6.43 ^ _6111_/B1 (sky130_fd_sc_hd__a211oi_1)
0.09 0.09 6.51 v _6111_/Y (sky130_fd_sc_hd__a211oi_1)
2 0.01 _2452_ (net)
0.09 0.00 6.52 v _6113_/B1 (sky130_fd_sc_hd__a211o_1)
0.09 0.34 6.86 v _6113_/X (sky130_fd_sc_hd__a211o_1)
3 0.01 _2454_ (net)
0.09 0.00 6.86 v _6115_/A3 (sky130_fd_sc_hd__a311o_1)
0.13 0.47 7.33 v _6115_/X (sky130_fd_sc_hd__a311o_1)
6 0.02 _2456_ (net)
0.13 0.00 7.33 v _6121_/A3 (sky130_fd_sc_hd__a41o_1)
0.13 0.36 7.69 v _6121_/X (sky130_fd_sc_hd__a41o_1)
8 0.02 _2462_ (net)
0.13 0.00 7.70 v _6338_/A2 (sky130_fd_sc_hd__a211o_1)
0.06 0.35 8.05 v _6338_/X (sky130_fd_sc_hd__a211o_1)
1 0.01 _2679_ (net)
0.06 0.00 8.05 v _6339_/B1 (sky130_fd_sc_hd__o311a_4)
0.09 0.18 8.23 v _6339_/X (sky130_fd_sc_hd__o311a_4)
4 0.03 _2680_ (net)
0.09 0.00 8.23 v _6383_/B1 (sky130_fd_sc_hd__a211o_4)
0.10 0.40 8.63 v _6383_/X (sky130_fd_sc_hd__a211o_4)
10 0.04 _2724_ (net)
0.10 0.00 8.63 v _6829_/B1 (sky130_fd_sc_hd__a22o_4)
0.09 0.30 8.93 v _6829_/X (sky130_fd_sc_hd__a22o_4)
6 0.04 _3151_ (net)
0.09 0.01 8.93 v _7644_/B2 (sky130_fd_sc_hd__a2bb2o_1)
0.05 0.27 9.21 v _7644_/X (sky130_fd_sc_hd__a2bb2o_1)
1 0.00 _3756_ (net)
0.05 0.00 9.21 v _7645_/A1 (sky130_fd_sc_hd__mux2_2)
0.07 0.31 9.51 v _7645_/X (sky130_fd_sc_hd__mux2_2)
2 0.01 _3757_ (net)
0.07 0.00 9.51 v _7646_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.30 9.81 v _7646_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _3758_ (net)
0.05 0.00 9.81 v _7647_/A (sky130_fd_sc_hd__clkbuf_1)
0.03 0.10 9.91 v _7647_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 _0462_ (net)
0.03 0.00 9.91 v _8232_/D (sky130_fd_sc_hd__dfxtp_1)
9.91 data arrival time
10.00 10.00 clock i_clk (rise edge)
0.00 10.00 clock source latency
0.21 0.14 10.14 ^ i_clk (in)
2 0.04 i_clk (net)
0.21 0.00 10.14 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.18 10.32 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.05 0.00 10.32 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.13 10.45 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_1_1_0_i_clk (net)
0.06 0.00 10.45 ^ clkbuf_2_2_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.05 0.13 10.58 ^ clkbuf_2_2_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.02 clknet_2_2_0_i_clk (net)
0.05 0.00 10.59 ^ clkbuf_3_5_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.30 0.30 10.89 ^ clkbuf_3_5_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.18 clknet_3_5_0_i_clk (net)
0.30 0.00 10.89 ^ clkbuf_leaf_58_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.19 11.08 ^ clkbuf_leaf_58_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
6 0.02 clknet_leaf_58_i_clk (net)
0.04 0.00 11.08 ^ _8232_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 10.83 clock uncertainty
0.03 10.87 clock reconvergence pessimism
-0.10 10.76 library setup time
10.76 data required time
-----------------------------------------------------------------------------
10.76 data required time
-9.91 data arrival time
-----------------------------------------------------------------------------
0.85 slack (MET)
===========================================================================
report_checks --slack_max -0.01
============================================================================
No paths found.
check_report_end
check_slew
===========================================================================
report_check_types -max_slew -max_cap -max_fanout -violators
============================================================================
max fanout
Pin Limit Fanout Slack
---------------------------------------------------------
clkbuf_3_7_0_i_clk/X 10 36 -26 (VIOLATED)
clkbuf_3_5_0_i_clk/X 10 30 -20 (VIOLATED)
clkbuf_3_6_0_i_clk/X 10 28 -18 (VIOLATED)
clkbuf_3_1_0_i_clk/X 10 24 -14 (VIOLATED)
_3853_/X 10 20 -10 (VIOLATED)
_3854_/X 10 20 -10 (VIOLATED)
_3857_/X 10 20 -10 (VIOLATED)
_3860_/X 10 20 -10 (VIOLATED)
_3861_/X 10 20 -10 (VIOLATED)
_3862_/X 10 20 -10 (VIOLATED)
_3866_/X 10 20 -10 (VIOLATED)
_3871_/X 10 20 -10 (VIOLATED)
_3872_/X 10 20 -10 (VIOLATED)
_3874_/X 10 20 -10 (VIOLATED)
_3875_/X 10 20 -10 (VIOLATED)
_3892_/X 10 20 -10 (VIOLATED)
_3912_/X 10 20 -10 (VIOLATED)
_3913_/X 10 20 -10 (VIOLATED)
_3914_/X 10 20 -10 (VIOLATED)
_3915_/X 10 20 -10 (VIOLATED)
_3916_/X 10 20 -10 (VIOLATED)
_4014_/X 10 20 -10 (VIOLATED)
_4027_/X 10 20 -10 (VIOLATED)
_4029_/X 10 20 -10 (VIOLATED)
_4030_/X 10 20 -10 (VIOLATED)
_4031_/X 10 20 -10 (VIOLATED)
_4035_/X 10 20 -10 (VIOLATED)
_4036_/X 10 20 -10 (VIOLATED)
_4058_/X 10 20 -10 (VIOLATED)
_4059_/X 10 20 -10 (VIOLATED)
_4061_/X 10 20 -10 (VIOLATED)
_4062_/X 10 20 -10 (VIOLATED)
_4064_/X 10 20 -10 (VIOLATED)
_4065_/X 10 20 -10 (VIOLATED)
_4147_/X 10 20 -10 (VIOLATED)
_4156_/X 10 20 -10 (VIOLATED)
_4162_/X 10 20 -10 (VIOLATED)
_4163_/X 10 20 -10 (VIOLATED)
_4179_/X 10 20 -10 (VIOLATED)
_4180_/X 10 20 -10 (VIOLATED)
_4192_/X 10 20 -10 (VIOLATED)
_4211_/X 10 20 -10 (VIOLATED)
_4212_/X 10 20 -10 (VIOLATED)
_4219_/X 10 20 -10 (VIOLATED)
_4220_/X 10 20 -10 (VIOLATED)
_4223_/X 10 20 -10 (VIOLATED)
_4228_/X 10 20 -10 (VIOLATED)
_4235_/X 10 20 -10 (VIOLATED)
_4239_/X 10 20 -10 (VIOLATED)
_4251_/X 10 20 -10 (VIOLATED)
_4262_/X 10 20 -10 (VIOLATED)
_4299_/X 10 20 -10 (VIOLATED)
_4301_/X 10 20 -10 (VIOLATED)
_4303_/X 10 20 -10 (VIOLATED)
_4305_/X 10 20 -10 (VIOLATED)
_4307_/X 10 20 -10 (VIOLATED)
_4311_/X 10 20 -10 (VIOLATED)
_4313_/X 10 20 -10 (VIOLATED)
_4315_/X 10 20 -10 (VIOLATED)
_4317_/X 10 20 -10 (VIOLATED)
_4460_/X 10 20 -10 (VIOLATED)
_4539_/X 10 20 -10 (VIOLATED)
_4542_/X 10 20 -10 (VIOLATED)
_4543_/X 10 20 -10 (VIOLATED)
_4556_/X 10 20 -10 (VIOLATED)
_4563_/X 10 20 -10 (VIOLATED)
_4573_/X 10 20 -10 (VIOLATED)
_4608_/X 10 20 -10 (VIOLATED)
_4616_/X 10 20 -10 (VIOLATED)
_4617_/X 10 20 -10 (VIOLATED)
_4627_/X 10 20 -10 (VIOLATED)
_4628_/X 10 20 -10 (VIOLATED)
_4629_/X 10 20 -10 (VIOLATED)
_4632_/X 10 20 -10 (VIOLATED)
_4645_/X 10 20 -10 (VIOLATED)
_4653_/X 10 20 -10 (VIOLATED)
_4668_/X 10 20 -10 (VIOLATED)
_4689_/X 10 20 -10 (VIOLATED)
_4715_/X 10 20 -10 (VIOLATED)
_4797_/X 10 20 -10 (VIOLATED)
_4847_/X 10 20 -10 (VIOLATED)
_4848_/Y 10 20 -10 (VIOLATED)
_4850_/X 10 20 -10 (VIOLATED)
_4853_/X 10 20 -10 (VIOLATED)
_4854_/X 10 20 -10 (VIOLATED)
_4856_/X 10 20 -10 (VIOLATED)
_4860_/X 10 20 -10 (VIOLATED)
_4862_/X 10 20 -10 (VIOLATED)
_4865_/X 10 20 -10 (VIOLATED)
_4866_/X 10 20 -10 (VIOLATED)
_4868_/Y 10 20 -10 (VIOLATED)
_4871_/X 10 20 -10 (VIOLATED)
_4875_/X 10 20 -10 (VIOLATED)
_4878_/X 10 20 -10 (VIOLATED)
_4882_/X 10 20 -10 (VIOLATED)
_4883_/X 10 20 -10 (VIOLATED)
_4884_/X 10 20 -10 (VIOLATED)
_4888_/X 10 20 -10 (VIOLATED)
_4890_/X 10 20 -10 (VIOLATED)
_4891_/X 10 20 -10 (VIOLATED)
_4892_/X 10 20 -10 (VIOLATED)
_4896_/X 10 20 -10 (VIOLATED)
_4898_/X 10 20 -10 (VIOLATED)
_4899_/X 10 20 -10 (VIOLATED)
_4902_/X 10 20 -10 (VIOLATED)
_4904_/X 10 20 -10 (VIOLATED)
_4905_/X 10 20 -10 (VIOLATED)
_4910_/X 10 20 -10 (VIOLATED)
_4912_/X 10 20 -10 (VIOLATED)
_4920_/X 10 20 -10 (VIOLATED)
_4922_/X 10 20 -10 (VIOLATED)
_4926_/X 10 20 -10 (VIOLATED)
_4930_/X 10 20 -10 (VIOLATED)
_4932_/X 10 20 -10 (VIOLATED)
_4937_/X 10 20 -10 (VIOLATED)
_4938_/X 10 20 -10 (VIOLATED)
_4944_/X 10 20 -10 (VIOLATED)
_4946_/X 10 20 -10 (VIOLATED)
_4949_/X 10 20 -10 (VIOLATED)
_4953_/X 10 20 -10 (VIOLATED)
_4963_/X 10 20 -10 (VIOLATED)
_4964_/X 10 20 -10 (VIOLATED)
_4979_/X 10 20 -10 (VIOLATED)
_4986_/X 10 20 -10 (VIOLATED)
_4992_/X 10 20 -10 (VIOLATED)
_5004_/X 10 20 -10 (VIOLATED)
_5012_/X 10 20 -10 (VIOLATED)
_5023_/X 10 20 -10 (VIOLATED)
_5033_/X 10 20 -10 (VIOLATED)
_5043_/X 10 20 -10 (VIOLATED)
_5052_/X 10 20 -10 (VIOLATED)
_5059_/X 10 20 -10 (VIOLATED)
_5060_/X 10 20 -10 (VIOLATED)
_5082_/X 10 20 -10 (VIOLATED)
_5087_/X 10 20 -10 (VIOLATED)
_5089_/X 10 20 -10 (VIOLATED)
_5090_/X 10 20 -10 (VIOLATED)
_5102_/X 10 20 -10 (VIOLATED)
_5106_/X 10 20 -10 (VIOLATED)
_5110_/X 10 20 -10 (VIOLATED)
_5125_/X 10 20 -10 (VIOLATED)
_5126_/X 10 20 -10 (VIOLATED)
_5138_/X 10 20 -10 (VIOLATED)
_5156_/X 10 20 -10 (VIOLATED)
_5163_/X 10 20 -10 (VIOLATED)
_5169_/X 10 20 -10 (VIOLATED)
_5173_/X 10 20 -10 (VIOLATED)
_5175_/X 10 20 -10 (VIOLATED)
_5177_/Y 10 20 -10 (VIOLATED)
_5180_/X 10 20 -10 (VIOLATED)
_5198_/X 10 20 -10 (VIOLATED)
_5211_/X 10 20 -10 (VIOLATED)
_5299_/X 10 20 -10 (VIOLATED)
_5343_/X 10 20 -10 (VIOLATED)
_5421_/X 10 20 -10 (VIOLATED)
_5433_/X 10 20 -10 (VIOLATED)
_5440_/X 10 20 -10 (VIOLATED)
_5445_/X 10 20 -10 (VIOLATED)
_5448_/X 10 20 -10 (VIOLATED)
_5449_/X 10 20 -10 (VIOLATED)
_5458_/X 10 20 -10 (VIOLATED)
_5459_/X 10 20 -10 (VIOLATED)
_5504_/X 10 20 -10 (VIOLATED)
_5508_/X 10 20 -10 (VIOLATED)
_5549_/X 10 20 -10 (VIOLATED)
_5550_/X 10 20 -10 (VIOLATED)
_5584_/X 10 20 -10 (VIOLATED)
_5586_/X 10 20 -10 (VIOLATED)
_5625_/Y 10 20 -10 (VIOLATED)
_5703_/X 10 20 -10 (VIOLATED)
_5776_/X 10 20 -10 (VIOLATED)
_5955_/X 10 20 -10 (VIOLATED)
_6203_/X 10 20 -10 (VIOLATED)
_6700_/X 10 20 -10 (VIOLATED)
_6703_/X 10 20 -10 (VIOLATED)
_6765_/X 10 20 -10 (VIOLATED)
_6874_/X 10 20 -10 (VIOLATED)
_6875_/X 10 20 -10 (VIOLATED)
_7043_/X 10 20 -10 (VIOLATED)
_7044_/Y 10 20 -10 (VIOLATED)
_7049_/X 10 20 -10 (VIOLATED)
_7087_/X 10 20 -10 (VIOLATED)
_7097_/X 10 20 -10 (VIOLATED)
_7125_/X 10 20 -10 (VIOLATED)
_7133_/X 10 20 -10 (VIOLATED)
_7143_/X 10 20 -10 (VIOLATED)
_7155_/X 10 20 -10 (VIOLATED)
_7163_/X 10 20 -10 (VIOLATED)
_7179_/X 10 20 -10 (VIOLATED)
_7181_/X 10 20 -10 (VIOLATED)
_7200_/X 10 20 -10 (VIOLATED)
_7204_/X 10 20 -10 (VIOLATED)
_7218_/X 10 20 -10 (VIOLATED)
_7226_/X 10 20 -10 (VIOLATED)
_7238_/X 10 20 -10 (VIOLATED)
_7250_/X 10 20 -10 (VIOLATED)
_7256_/X 10 20 -10 (VIOLATED)
_7272_/X 10 20 -10 (VIOLATED)
_7276_/X 10 20 -10 (VIOLATED)
_7293_/X 10 20 -10 (VIOLATED)
_7297_/X 10 20 -10 (VIOLATED)
_7313_/X 10 20 -10 (VIOLATED)
_7321_/X 10 20 -10 (VIOLATED)
_7343_/X 10 20 -10 (VIOLATED)
_7351_/X 10 20 -10 (VIOLATED)
_7367_/X 10 20 -10 (VIOLATED)
_7389_/Y 10 20 -10 (VIOLATED)
_7390_/X 10 20 -10 (VIOLATED)
_7407_/X 10 20 -10 (VIOLATED)
_7467_/Y 10 20 -10 (VIOLATED)
_7471_/X 10 20 -10 (VIOLATED)
_7500_/X 10 20 -10 (VIOLATED)
_7543_/X 10 20 -10 (VIOLATED)
_7719_/X 10 20 -10 (VIOLATED)
_7885_/Q 10 20 -10 (VIOLATED)
_7928_/Q 10 20 -10 (VIOLATED)
_8008_/Q 10 20 -10 (VIOLATED)
_8009_/Q 10 20 -10 (VIOLATED)
_8011_/Q 10 20 -10 (VIOLATED)
_8014_/Q 10 20 -10 (VIOLATED)
_8017_/Q 10 20 -10 (VIOLATED)
_8021_/Q 10 20 -10 (VIOLATED)
clkbuf_3_4_0_i_clk/X 10 20 -10 (VIOLATED)
fanout251/X 10 20 -10 (VIOLATED)
fanout252/X 10 20 -10 (VIOLATED)
input41/X 10 20 -10 (VIOLATED)
_3868_/X 10 18 -8 (VIOLATED)
_3940_/Y 10 18 -8 (VIOLATED)
_4069_/X 10 18 -8 (VIOLATED)
_4072_/Y 10 18 -8 (VIOLATED)
_4146_/Y 10 18 -8 (VIOLATED)
_4547_/X 10 18 -8 (VIOLATED)
_4605_/X 10 18 -8 (VIOLATED)
_4855_/X 10 18 -8 (VIOLATED)
_4870_/Y 10 18 -8 (VIOLATED)
_5159_/Y 10 18 -8 (VIOLATED)
_5196_/X 10 18 -8 (VIOLATED)
_5348_/Y 10 18 -8 (VIOLATED)
_5614_/Y 10 18 -8 (VIOLATED)
_6171_/Y 10 18 -8 (VIOLATED)
_7886_/Q 10 18 -8 (VIOLATED)
_7922_/Q 10 18 -8 (VIOLATED)
_8010_/Q 10 18 -8 (VIOLATED)
_8012_/Q 10 18 -8 (VIOLATED)
_8013_/Q 10 18 -8 (VIOLATED)
_8015_/Q 10 18 -8 (VIOLATED)
_8016_/Q 10 18 -8 (VIOLATED)
_8018_/Q 10 18 -8 (VIOLATED)
_8019_/Q 10 18 -8 (VIOLATED)
clkbuf_3_0_0_i_clk/X 10 18 -8 (VIOLATED)
clkbuf_3_3_0_i_clk/X 10 18 -8 (VIOLATED)
input1/X 10 18 -8 (VIOLATED)
input2/X 10 18 -8 (VIOLATED)
input3/X 10 18 -8 (VIOLATED)
_3989_/Y 10 16 -6 (VIOLATED)
_3997_/Y 10 16 -6 (VIOLATED)
_4099_/X 10 16 -6 (VIOLATED)
_4160_/X 10 16 -6 (VIOLATED)
_4164_/Y 10 16 -6 (VIOLATED)
_4178_/Y 10 16 -6 (VIOLATED)
_4601_/Y 10 16 -6 (VIOLATED)
_4901_/X 10 16 -6 (VIOLATED)
_5096_/Y 10 16 -6 (VIOLATED)
_5168_/X 10 16 -6 (VIOLATED)
_6174_/X 10 16 -6 (VIOLATED)
_6853_/Y 10 16 -6 (VIOLATED)
_7000_/X 10 16 -6 (VIOLATED)
_7047_/X 10 16 -6 (VIOLATED)
_7054_/X 10 16 -6 (VIOLATED)
_7059_/X 10 16 -6 (VIOLATED)
_7064_/X 10 16 -6 (VIOLATED)
_7069_/X 10 16 -6 (VIOLATED)
_7074_/X 10 16 -6 (VIOLATED)
_7079_/X 10 16 -6 (VIOLATED)
_7084_/X 10 16 -6 (VIOLATED)
_7091_/X 10 16 -6 (VIOLATED)
_7095_/X 10 16 -6 (VIOLATED)
_7100_/X 10 16 -6 (VIOLATED)
_7104_/X 10 16 -6 (VIOLATED)
_7108_/X 10 16 -6 (VIOLATED)
_7112_/X 10 16 -6 (VIOLATED)
_7116_/X 10 16 -6 (VIOLATED)
_7120_/X 10 16 -6 (VIOLATED)
_7123_/Y 10 16 -6 (VIOLATED)
_7161_/Y 10 16 -6 (VIOLATED)
_7198_/Y 10 16 -6 (VIOLATED)
_7236_/Y 10 16 -6 (VIOLATED)
_7274_/Y 10 16 -6 (VIOLATED)
_7311_/Y 10 16 -6 (VIOLATED)
_7349_/Y 10 16 -6 (VIOLATED)
_7796_/Q 10 16 -6 (VIOLATED)
_7904_/Q 10 16 -6 (VIOLATED)
_7905_/Q 10 16 -6 (VIOLATED)
_8022_/Q 10 16 -6 (VIOLATED)
clkbuf_3_2_0_i_clk/X 10 16 -6 (VIOLATED)
_3921_/Y 10 14 -4 (VIOLATED)
_3960_/Y 10 14 -4 (VIOLATED)
_3970_/X 10 14 -4 (VIOLATED)
_3980_/X 10 14 -4 (VIOLATED)
_4005_/Y 10 14 -4 (VIOLATED)
_4144_/Y 10 14 -4 (VIOLATED)
_4310_/X 10 14 -4 (VIOLATED)
_4923_/Y 10 14 -4 (VIOLATED)
_4972_/Y 10 14 -4 (VIOLATED)
_5011_/Y 10 14 -4 (VIOLATED)
_5022_/Y 10 14 -4 (VIOLATED)
_5051_/Y 10 14 -4 (VIOLATED)
_5109_/X 10 14 -4 (VIOLATED)
_5162_/Y 10 14 -4 (VIOLATED)
_5174_/X 10 14 -4 (VIOLATED)
_5444_/X 10 14 -4 (VIOLATED)
_5648_/X 10 14 -4 (VIOLATED)
_7042_/X 10 14 -4 (VIOLATED)
_7452_/X 10 14 -4 (VIOLATED)
_7455_/X 10 14 -4 (VIOLATED)
_7881_/Q 10 14 -4 (VIOLATED)
_7888_/Q 10 14 -4 (VIOLATED)
_7906_/Q 10 14 -4 (VIOLATED)
_7930_/Q 10 14 -4 (VIOLATED)
_7952_/Q 10 14 -4 (VIOLATED)
_7983_/Q 10 14 -4 (VIOLATED)
_8004_/Q 10 14 -4 (VIOLATED)
input75/X 10 14 -4 (VIOLATED)
_3869_/Y 10 12 -2 (VIOLATED)
_3888_/Y 10 12 -2 (VIOLATED)
_3898_/Y 10 12 -2 (VIOLATED)
_3907_/Y 10 12 -2 (VIOLATED)
_3931_/Y 10 12 -2 (VIOLATED)
_3951_/X 10 12 -2 (VIOLATED)
_4004_/Y 10 12 -2 (VIOLATED)
_4025_/Y 10 12 -2 (VIOLATED)
_4214_/X 10 12 -2 (VIOLATED)
_4451_/Y 10 12 -2 (VIOLATED)
_4599_/Y 10 12 -2 (VIOLATED)
_4773_/X 10 12 -2 (VIOLATED)
_4864_/Y 10 12 -2 (VIOLATED)
_4903_/X 10 12 -2 (VIOLATED)
_4924_/X 10 12 -2 (VIOLATED)
_4971_/Y 10 12 -2 (VIOLATED)
_4985_/X 10 12 -2 (VIOLATED)
_5003_/Y 10 12 -2 (VIOLATED)
_5058_/X 10 12 -2 (VIOLATED)
_5098_/X 10 12 -2 (VIOLATED)
_5105_/Y 10 12 -2 (VIOLATED)
_5107_/X 10 12 -2 (VIOLATED)
_5124_/Y 10 12 -2 (VIOLATED)
_5151_/X 10 12 -2 (VIOLATED)
_5600_/X 10 12 -2 (VIOLATED)
_5714_/X 10 12 -2 (VIOLATED)
_6667_/X 10 12 -2 (VIOLATED)
_7124_/X 10 12 -2 (VIOLATED)
_7162_/X 10 12 -2 (VIOLATED)
_7199_/X 10 12 -2 (VIOLATED)
_7237_/X 10 12 -2 (VIOLATED)
_7275_/X 10 12 -2 (VIOLATED)
_7312_/X 10 12 -2 (VIOLATED)
_7350_/X 10 12 -2 (VIOLATED)
_7474_/X 10 12 -2 (VIOLATED)
_7883_/Q 10 12 -2 (VIOLATED)
_8003_/Q 10 12 -2 (VIOLATED)
_8060_/Q 10 12 -2 (VIOLATED)
_8061_/Q 10 12 -2 (VIOLATED)
_8062_/Q 10 12 -2 (VIOLATED)
_8063_/Q 10 12 -2 (VIOLATED)
_8067_/Q 10 12 -2 (VIOLATED)
_8068_/Q 10 12 -2 (VIOLATED)
_8069_/Q 10 12 -2 (VIOLATED)
_8071_/Q 10 12 -2 (VIOLATED)
_8190_/Q 10 12 -2 (VIOLATED)
_8194_/Q 10 12 -2 (VIOLATED)
_8195_/Q 10 12 -2 (VIOLATED)
_8196_/Q 10 12 -2 (VIOLATED)
_8197_/Q 10 12 -2 (VIOLATED)
_8198_/Q 10 12 -2 (VIOLATED)
_8199_/Q 10 12 -2 (VIOLATED)
_8200_/Q 10 12 -2 (VIOLATED)
===========================================================================
max slew violation count 0
max fanout violation count 376
max cap violation count 0
============================================================================
check_slew_end
tns_report
===========================================================================
report_tns
============================================================================
tns 0.00
tns_report_end
wns_report
===========================================================================
report_wns
============================================================================
wns 0.00
wns_report_end
worst_slack
===========================================================================
report_worst_slack -max (Setup)
============================================================================
worst slack 0.85
===========================================================================
report_worst_slack -min (Hold)
============================================================================
worst slack 0.17
worst_slack_end
clock_skew
===========================================================================
report_clock_skew
============================================================================
Clock i_clk
Latency CRPR Skew
_8248_/CLK ^
1.27
_7911_/CLK ^
0.82 -0.03 0.42
clock_skew_end
power_report
===========================================================================
report_power
============================================================================
Group Internal Switching Leakage Total
Power Power Power Power (Watts)
----------------------------------------------------------------
Sequential 2.16e-03 2.30e-04 4.26e-09 2.39e-03 27.4%
Combinational 3.18e-03 3.15e-03 5.22e-08 6.33e-03 72.6%
Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
----------------------------------------------------------------
Total 5.34e-03 3.38e-03 5.65e-08 8.72e-03 100.0%
61.2% 38.8% 0.0%
power_report_end
area_report
===========================================================================
report_design_area
============================================================================
Design area 61951 u^2 27% utilization.
area_report_end
Setting global connections for newly added cells...
Writing OpenROAD database to /home/piotro/caravel_user_project/openlane/core/runs/22_12_26_19_07/tmp/routing/16-global.odb...
Writing layout to /home/piotro/caravel_user_project/openlane/core/runs/22_12_26_19_07/tmp/routing/16-global.def...
Writing routing guides to /home/piotro/caravel_user_project/openlane/core/runs/22_12_26_19_07/tmp/routing/16-global.guide...