blob: 040ef823bb1be39a71fd1777d5b32c8d73de1059 [file] [log] [blame]
===========================================================================
report_checks -path_delay min (Hold)
============================================================================
Startpoint: _7892_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _7840_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.31 0.21 0.21 ^ i_clk (in)
2 0.07 i_clk (net)
0.31 0.00 0.21 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.21 0.42 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.04 clknet_0_i_clk (net)
0.06 0.00 0.42 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.08 0.16 0.58 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_1_1_0_i_clk (net)
0.08 0.00 0.58 ^ clkbuf_2_2_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.07 0.15 0.73 ^ clkbuf_2_2_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_2_2_0_i_clk (net)
0.07 0.00 0.73 ^ clkbuf_3_4_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.25 0.27 1.00 ^ clkbuf_3_4_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
20 0.14 clknet_3_4_0_i_clk (net)
0.25 0.00 1.01 ^ clkbuf_leaf_50_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.18 1.19 ^ clkbuf_leaf_50_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
5 0.02 clknet_leaf_50_i_clk (net)
0.05 0.00 1.19 ^ _7892_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.17 0.37 1.56 ^ _7892_/Q (sky130_fd_sc_hd__dfxtp_1)
3 0.02 decode.input_valid (net)
0.17 0.00 1.56 ^ _4778_/A1 (sky130_fd_sc_hd__o211a_1)
0.05 0.17 1.73 ^ _4778_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _0084_ (net)
0.05 0.00 1.73 ^ _7840_/D (sky130_fd_sc_hd__dfxtp_2)
1.73 data arrival time
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.31 0.23 0.23 ^ i_clk (in)
2 0.07 i_clk (net)
0.31 0.00 0.23 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.23 0.47 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.04 clknet_0_i_clk (net)
0.06 0.00 0.47 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.08 0.17 0.64 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_1_1_0_i_clk (net)
0.08 0.00 0.64 ^ clkbuf_2_2_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.07 0.17 0.81 ^ clkbuf_2_2_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_2_2_0_i_clk (net)
0.07 0.00 0.81 ^ clkbuf_3_5_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.35 0.37 1.18 ^ clkbuf_3_5_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.20 clknet_3_5_0_i_clk (net)
0.35 0.01 1.19 ^ clkbuf_leaf_51_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 1.41 ^ clkbuf_leaf_51_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
5 0.02 clknet_leaf_51_i_clk (net)
0.05 0.00 1.41 ^ _7840_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.25 1.66 clock uncertainty
-0.08 1.58 clock reconvergence pessimism
-0.03 1.55 library hold time
1.55 data required time
-----------------------------------------------------------------------------
1.55 data required time
-1.73 data arrival time
-----------------------------------------------------------------------------
0.18 slack (MET)
Startpoint: _7986_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _7983_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.31 0.21 0.21 ^ i_clk (in)
2 0.07 i_clk (net)
0.31 0.00 0.21 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.21 0.42 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.04 clknet_0_i_clk (net)
0.06 0.00 0.42 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.08 0.16 0.58 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_1_1_0_i_clk (net)
0.08 0.00 0.58 ^ clkbuf_2_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.07 0.16 0.74 ^ clkbuf_2_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_2_3_0_i_clk (net)
0.07 0.00 0.74 ^ clkbuf_3_7_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.40 0.37 1.11 ^ clkbuf_3_7_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
36 0.24 clknet_3_7_0_i_clk (net)
0.40 0.02 1.12 ^ clkbuf_leaf_25_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 1.34 ^ clkbuf_leaf_25_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
7 0.02 clknet_leaf_25_i_clk (net)
0.05 0.00 1.34 ^ _7986_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.04 0.29 1.63 v _7986_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 execute.sreg_jtr_buff.o_d[2] (net)
0.04 0.00 1.63 v _5491_/A (sky130_fd_sc_hd__nand2_1)
0.04 0.05 1.69 ^ _5491_/Y (sky130_fd_sc_hd__nand2_1)
1 0.00 _1852_ (net)
0.04 0.00 1.69 ^ _5492_/A2 (sky130_fd_sc_hd__a21oi_1)
0.03 0.05 1.74 v _5492_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.00 _0214_ (net)
0.03 0.00 1.74 v _7983_/D (sky130_fd_sc_hd__dfxtp_4)
1.74 data arrival time
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.31 0.23 0.23 ^ i_clk (in)
2 0.07 i_clk (net)
0.31 0.00 0.23 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.23 0.47 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.04 clknet_0_i_clk (net)
0.06 0.00 0.47 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.08 0.17 0.64 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_1_1_0_i_clk (net)
0.08 0.00 0.64 ^ clkbuf_2_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.07 0.17 0.81 ^ clkbuf_2_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_2_3_0_i_clk (net)
0.07 0.00 0.81 ^ clkbuf_3_7_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.40 0.41 1.22 ^ clkbuf_3_7_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
36 0.24 clknet_3_7_0_i_clk (net)
0.40 0.02 1.24 ^ clkbuf_leaf_25_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.24 1.48 ^ clkbuf_leaf_25_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
7 0.02 clknet_leaf_25_i_clk (net)
0.05 0.00 1.48 ^ _7983_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.25 1.73 clock uncertainty
-0.14 1.59 clock reconvergence pessimism
-0.04 1.55 library hold time
1.55 data required time
-----------------------------------------------------------------------------
1.55 data required time
-1.74 data arrival time
-----------------------------------------------------------------------------
0.19 slack (MET)
Startpoint: _7979_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _7979_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.31 0.21 0.21 ^ i_clk (in)
2 0.07 i_clk (net)
0.31 0.00 0.21 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.21 0.42 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.04 clknet_0_i_clk (net)
0.06 0.00 0.42 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.08 0.16 0.58 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_1_1_0_i_clk (net)
0.08 0.00 0.58 ^ clkbuf_2_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.07 0.16 0.74 ^ clkbuf_2_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_2_3_0_i_clk (net)
0.07 0.00 0.74 ^ clkbuf_3_7_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.40 0.37 1.11 ^ clkbuf_3_7_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
36 0.24 clknet_3_7_0_i_clk (net)
0.40 0.01 1.12 ^ clkbuf_leaf_41_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.21 1.32 ^ clkbuf_leaf_41_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
5 0.02 clknet_leaf_41_i_clk (net)
0.05 0.00 1.33 ^ _7979_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.07 0.31 1.63 ^ _7979_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 execute.sreg_scratch.o_d[14] (net)
0.07 0.00 1.63 ^ _5481_/A1 (sky130_fd_sc_hd__o21a_1)
0.04 0.11 1.74 ^ _5481_/X (sky130_fd_sc_hd__o21a_1)
1 0.00 _0210_ (net)
0.04 0.00 1.74 ^ _7979_/D (sky130_fd_sc_hd__dfxtp_1)
1.74 data arrival time
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.31 0.23 0.23 ^ i_clk (in)
2 0.07 i_clk (net)
0.31 0.00 0.23 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.23 0.47 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.04 clknet_0_i_clk (net)
0.06 0.00 0.47 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.08 0.17 0.64 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_1_1_0_i_clk (net)
0.08 0.00 0.64 ^ clkbuf_2_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.07 0.17 0.81 ^ clkbuf_2_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_2_3_0_i_clk (net)
0.07 0.00 0.81 ^ clkbuf_3_7_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.40 0.41 1.22 ^ clkbuf_3_7_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
36 0.24 clknet_3_7_0_i_clk (net)
0.40 0.01 1.23 ^ clkbuf_leaf_41_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 1.46 ^ clkbuf_leaf_41_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
5 0.02 clknet_leaf_41_i_clk (net)
0.05 0.00 1.46 ^ _7979_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 1.71 clock uncertainty
-0.14 1.58 clock reconvergence pessimism
-0.03 1.55 library hold time
1.55 data required time
-----------------------------------------------------------------------------
1.55 data required time
-1.74 data arrival time
-----------------------------------------------------------------------------
0.19 slack (MET)
Startpoint: _7797_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _7914_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.31 0.21 0.21 ^ i_clk (in)
2 0.07 i_clk (net)
0.31 0.00 0.21 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.21 0.42 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.04 clknet_0_i_clk (net)
0.06 0.00 0.42 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.08 0.16 0.58 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_1_1_0_i_clk (net)
0.08 0.00 0.58 ^ clkbuf_2_2_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.07 0.15 0.73 ^ clkbuf_2_2_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_2_2_0_i_clk (net)
0.07 0.00 0.73 ^ clkbuf_3_4_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.25 0.27 1.00 ^ clkbuf_3_4_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
20 0.14 clknet_3_4_0_i_clk (net)
0.25 0.00 1.01 ^ clkbuf_leaf_49_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.18 1.19 ^ clkbuf_leaf_49_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
5 0.02 clknet_leaf_49_i_clk (net)
0.05 0.00 1.19 ^ _7797_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.19 0.40 1.59 ^ _7797_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.04 decode.i_instr_l[8] (net)
0.19 0.00 1.59 ^ _5282_/B2 (sky130_fd_sc_hd__a22o_1)
0.04 0.14 1.74 ^ _5282_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 _0145_ (net)
0.04 0.00 1.74 ^ _7914_/D (sky130_fd_sc_hd__dfxtp_1)
1.74 data arrival time
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.31 0.23 0.23 ^ i_clk (in)
2 0.07 i_clk (net)
0.31 0.00 0.23 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.23 0.47 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.04 clknet_0_i_clk (net)
0.06 0.00 0.47 ^ clkbuf_1_0_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.08 0.17 0.64 ^ clkbuf_1_0_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_1_0_0_i_clk (net)
0.08 0.00 0.64 ^ clkbuf_2_0_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.07 0.17 0.81 ^ clkbuf_2_0_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_2_0_0_i_clk (net)
0.07 0.00 0.81 ^ clkbuf_3_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.29 0.33 1.14 ^ clkbuf_3_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
24 0.17 clknet_3_1_0_i_clk (net)
0.29 0.01 1.15 ^ clkbuf_leaf_76_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 1.36 ^ clkbuf_leaf_76_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
6 0.02 clknet_leaf_76_i_clk (net)
0.05 0.00 1.36 ^ _7914_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 1.61 clock uncertainty
-0.04 1.57 clock reconvergence pessimism
-0.03 1.54 library hold time
1.54 data required time
-----------------------------------------------------------------------------
1.54 data required time
-1.74 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
Startpoint: _8244_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _7962_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.31 0.21 0.21 ^ i_clk (in)
2 0.07 i_clk (net)
0.31 0.00 0.21 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.21 0.42 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.04 clknet_0_i_clk (net)
0.06 0.00 0.42 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.08 0.16 0.58 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_1_1_0_i_clk (net)
0.08 0.00 0.58 ^ clkbuf_2_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.07 0.16 0.74 ^ clkbuf_2_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_2_3_0_i_clk (net)
0.07 0.00 0.74 ^ clkbuf_3_6_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.35 0.34 1.08 ^ clkbuf_3_6_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.21 clknet_3_6_0_i_clk (net)
0.35 0.01 1.08 ^ clkbuf_leaf_17_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.20 1.28 ^ clkbuf_leaf_17_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_leaf_17_i_clk (net)
0.04 0.00 1.28 ^ _8244_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.08 0.31 1.60 ^ _8244_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 execute.sreg_irq_flags.i_d[2] (net)
0.08 0.00 1.60 ^ _5439_/A1 (sky130_fd_sc_hd__a22o_1)
0.04 0.12 1.72 ^ _5439_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 _0193_ (net)
0.04 0.00 1.72 ^ _7962_/D (sky130_fd_sc_hd__dfxtp_1)
1.72 data arrival time
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.31 0.23 0.23 ^ i_clk (in)
2 0.07 i_clk (net)
0.31 0.00 0.23 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.23 0.47 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.04 clknet_0_i_clk (net)
0.06 0.00 0.47 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.08 0.17 0.64 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.04 clknet_1_1_0_i_clk (net)
0.08 0.00 0.64 ^ clkbuf_2_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.07 0.17 0.81 ^ clkbuf_2_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_2_3_0_i_clk (net)
0.07 0.00 0.82 ^ clkbuf_3_6_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.35 0.37 1.19 ^ clkbuf_3_6_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.21 clknet_3_6_0_i_clk (net)
0.35 0.00 1.19 ^ clkbuf_leaf_16_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 1.41 ^ clkbuf_leaf_16_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
5 0.02 clknet_leaf_16_i_clk (net)
0.05 0.00 1.41 ^ _7962_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 1.66 clock uncertainty
-0.11 1.55 clock reconvergence pessimism
-0.03 1.52 library hold time
1.52 data required time
-----------------------------------------------------------------------------
1.52 data required time
-1.72 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)