blob: 41fd538365f92e095c0b7ac1e468902ad45c323f [file] [log] [blame]
===========================================================================
report_checks -unconstrained
============================================================================
Startpoint: _7910_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _8232_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.21 0.16 0.16 ^ i_clk (in)
2 0.04 i_clk (net)
0.21 0.00 0.16 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.19 0.35 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.05 0.00 0.35 ^ clkbuf_1_0_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.15 0.50 ^ clkbuf_1_0_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_1_0_0_i_clk (net)
0.06 0.00 0.50 ^ clkbuf_2_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.05 0.15 0.65 ^ clkbuf_2_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.02 clknet_2_1_0_i_clk (net)
0.05 0.00 0.65 ^ clkbuf_3_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.18 0.25 0.90 ^ clkbuf_3_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.10 clknet_3_3_0_i_clk (net)
0.18 0.00 0.90 ^ clkbuf_leaf_7_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.18 1.08 ^ clkbuf_leaf_7_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
7 0.02 clknet_leaf_7_i_clk (net)
0.04 0.00 1.08 ^ _7910_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.08 0.35 1.43 ^ _7910_/Q (sky130_fd_sc_hd__dfxtp_1)
3 0.01 decode.oc_r_reg_sel[1] (net)
0.08 0.00 1.43 ^ _3850_/A (sky130_fd_sc_hd__buf_2)
0.15 0.20 1.64 ^ _3850_/X (sky130_fd_sc_hd__buf_2)
10 0.03 _0507_ (net)
0.15 0.00 1.64 ^ _3869_/C_N (sky130_fd_sc_hd__nor3b_4)
0.91 0.82 2.46 ^ _3869_/Y (sky130_fd_sc_hd__nor3b_4)
20 0.09 _0526_ (net)
0.91 0.00 2.46 ^ _3950_/A2 (sky130_fd_sc_hd__a2111oi_2)
0.17 0.22 2.68 v _3950_/Y (sky130_fd_sc_hd__a2111oi_2)
2 0.01 _0600_ (net)
0.17 0.00 2.68 v _4874_/B2 (sky130_fd_sc_hd__a221o_2)
0.12 0.52 3.19 v _4874_/X (sky130_fd_sc_hd__a221o_2)
6 0.03 _1331_ (net)
0.12 0.00 3.20 v _4875_/B (sky130_fd_sc_hd__and2_4)
0.08 0.26 3.46 v _4875_/X (sky130_fd_sc_hd__and2_4)
20 0.06 _1332_ (net)
0.09 0.01 3.47 v _5654_/B (sky130_fd_sc_hd__or3_1)
0.10 0.42 3.89 v _5654_/X (sky130_fd_sc_hd__or3_1)
3 0.01 _1995_ (net)
0.10 0.00 3.89 v _5655_/B2 (sky130_fd_sc_hd__a22o_1)
0.05 0.24 4.13 v _5655_/X (sky130_fd_sc_hd__a22o_1)
2 0.01 _1996_ (net)
0.05 0.00 4.13 v _5659_/A1 (sky130_fd_sc_hd__a21o_1)
0.06 0.19 4.32 v _5659_/X (sky130_fd_sc_hd__a21o_1)
3 0.01 _2000_ (net)
0.06 0.00 4.32 v _5660_/C (sky130_fd_sc_hd__and3_1)
0.05 0.20 4.52 v _5660_/X (sky130_fd_sc_hd__and3_1)
2 0.00 _2001_ (net)
0.05 0.00 4.52 v _5667_/A (sky130_fd_sc_hd__or3_1)
0.08 0.40 4.92 v _5667_/X (sky130_fd_sc_hd__or3_1)
1 0.00 _2008_ (net)
0.08 0.00 4.92 v _5668_/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.10 0.21 5.13 v _5668_/X (sky130_fd_sc_hd__dlymetal6s2s_1)
5 0.02 _2009_ (net)
0.10 0.00 5.13 v _5675_/A (sky130_fd_sc_hd__and3_1)
0.05 0.18 5.31 v _5675_/X (sky130_fd_sc_hd__and3_1)
2 0.01 _2016_ (net)
0.05 0.00 5.31 v _5678_/B (sky130_fd_sc_hd__or4_2)
0.15 0.75 6.07 v _5678_/X (sky130_fd_sc_hd__or4_2)
4 0.02 _2019_ (net)
0.15 0.00 6.07 v _5837_/A1 (sky130_fd_sc_hd__a211oi_1)
0.33 0.37 6.43 ^ _5837_/Y (sky130_fd_sc_hd__a211oi_1)
2 0.01 _2178_ (net)
0.33 0.00 6.43 ^ _5838_/C (sky130_fd_sc_hd__nor3_2)
0.09 0.12 6.55 v _5838_/Y (sky130_fd_sc_hd__nor3_2)
4 0.01 _2179_ (net)
0.09 0.00 6.55 v _5888_/B1 (sky130_fd_sc_hd__a211oi_1)
0.36 0.38 6.93 ^ _5888_/Y (sky130_fd_sc_hd__a211oi_1)
3 0.01 _2229_ (net)
0.36 0.00 6.93 ^ _5891_/A1 (sky130_fd_sc_hd__o211ai_1)
0.11 0.18 7.12 v _5891_/Y (sky130_fd_sc_hd__o211ai_1)
2 0.01 _2232_ (net)
0.11 0.00 7.12 v _5926_/B (sky130_fd_sc_hd__and3_1)
0.07 0.23 7.35 v _5926_/X (sky130_fd_sc_hd__and3_1)
4 0.01 _2267_ (net)
0.07 0.00 7.35 v _5928_/A (sky130_fd_sc_hd__nor2_1)
0.30 0.28 7.64 ^ _5928_/Y (sky130_fd_sc_hd__nor2_1)
3 0.02 _2269_ (net)
0.30 0.00 7.64 ^ _6121_/A1 (sky130_fd_sc_hd__a41o_1)
0.23 0.37 8.00 ^ _6121_/X (sky130_fd_sc_hd__a41o_1)
8 0.02 _2462_ (net)
0.23 0.00 8.01 ^ _6279_/A2 (sky130_fd_sc_hd__a21oi_1)
0.09 0.12 8.12 v _6279_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.00 _2620_ (net)
0.09 0.00 8.12 v _6339_/A1 (sky130_fd_sc_hd__o311a_2)
0.12 0.51 8.64 v _6339_/X (sky130_fd_sc_hd__o311a_2)
4 0.03 _2680_ (net)
0.12 0.00 8.64 v _6383_/B1 (sky130_fd_sc_hd__a211o_4)
0.10 0.41 9.05 v _6383_/X (sky130_fd_sc_hd__a211o_4)
10 0.04 _2724_ (net)
0.10 0.00 9.05 v _6829_/B1 (sky130_fd_sc_hd__a22o_4)
0.09 0.30 9.35 v _6829_/X (sky130_fd_sc_hd__a22o_4)
6 0.04 _3151_ (net)
0.09 0.01 9.36 v _7644_/B2 (sky130_fd_sc_hd__a2bb2o_1)
0.05 0.27 9.63 v _7644_/X (sky130_fd_sc_hd__a2bb2o_1)
1 0.00 _3756_ (net)
0.05 0.00 9.63 v _7645_/A1 (sky130_fd_sc_hd__mux2_1)
0.09 0.36 9.99 v _7645_/X (sky130_fd_sc_hd__mux2_1)
2 0.01 _3757_ (net)
0.09 0.00 9.99 v _7646_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.31 10.29 v _7646_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _3758_ (net)
0.05 0.00 10.29 v _7647_/A (sky130_fd_sc_hd__clkbuf_1)
0.03 0.10 10.39 v _7647_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 _0462_ (net)
0.03 0.00 10.39 v _8232_/D (sky130_fd_sc_hd__dfxtp_1)
10.39 data arrival time
10.00 10.00 clock i_clk (rise edge)
0.00 10.00 clock source latency
0.21 0.14 10.14 ^ i_clk (in)
2 0.04 i_clk (net)
0.21 0.00 10.14 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.18 10.32 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_i_clk (net)
0.05 0.00 10.32 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.13 10.45 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.03 clknet_1_1_0_i_clk (net)
0.06 0.00 10.45 ^ clkbuf_2_2_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.05 0.13 10.58 ^ clkbuf_2_2_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.02 clknet_2_2_0_i_clk (net)
0.05 0.00 10.59 ^ clkbuf_3_5_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.30 0.30 10.89 ^ clkbuf_3_5_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.18 clknet_3_5_0_i_clk (net)
0.30 0.00 10.89 ^ clkbuf_leaf_58_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.19 11.08 ^ clkbuf_leaf_58_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
6 0.02 clknet_leaf_58_i_clk (net)
0.04 0.00 11.08 ^ _8232_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 10.83 clock uncertainty
0.03 10.87 clock reconvergence pessimism
-0.10 10.76 library setup time
10.76 data required time
-----------------------------------------------------------------------------
10.76 data required time
-10.39 data arrival time
-----------------------------------------------------------------------------
0.37 slack (MET)
===========================================================================
report_checks --slack_max -0.01
============================================================================
No paths found.