blob: ab8871edc7a7528494107eef392142c115a320b8 [file] [log] [blame]
OpenROAD 7f00621cb612fd94e15b35790afe744c89d433a7
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
[INFO]: Setting signal min routing layer to: met1 and clock min routing layer to met1.
[INFO]: Setting signal max routing layer to: met4 and clock max routing layer to met4.
-congestion_iterations 50 -verbose
[INFO GRT-0020] Min routing layer: met1
[INFO GRT-0021] Max routing layer: met4
[INFO GRT-0022] Global adjustment: 30%
[INFO GRT-0023] Grid origin: (0, 0)
[INFO GRT-0043] No OR_DEFAULT vias defined.
[INFO GRT-0088] Layer li1 Track-Pitch = 0.4600 line-2-Via Pitch: 0.3400
[INFO GRT-0088] Layer met1 Track-Pitch = 0.3400 line-2-Via Pitch: 0.3400
[INFO GRT-0088] Layer met2 Track-Pitch = 0.4600 line-2-Via Pitch: 0.3500
[INFO GRT-0088] Layer met3 Track-Pitch = 0.6800 line-2-Via Pitch: 0.6150
[INFO GRT-0088] Layer met4 Track-Pitch = 0.9200 line-2-Via Pitch: 0.6150
[INFO GRT-0019] Found 107 clock nets.
[INFO GRT-0001] Minimum degree: 2
[INFO GRT-0002] Maximum degree: 19
[INFO GRT-0003] Macros: 0
[INFO GRT-0004] Blockages: 7682
[INFO GRT-0053] Routing resources analysis:
Routing Original Derated Resource
Layer Direction Resources Resources Reduction (%)
---------------------------------------------------------------
li1 Vertical 0 0 0.00%
met1 Horizontal 103680 60279 41.86%
met2 Vertical 77760 51765 33.43%
met3 Horizontal 51840 36210 30.15%
met4 Vertical 36288 20874 42.48%
---------------------------------------------------------------
[INFO GRT-0197] Via related to pin nodes: 22607
[INFO GRT-0198] Via related Steiner nodes: 875
[INFO GRT-0199] Via filling finished.
[INFO GRT-0111] Final number of vias: 26888
[INFO GRT-0112] Final usage 3D: 119670
[INFO GRT-0096] Final congestion report:
Layer Resource Demand Usage (%) Max H / Max V / Total Overflow
---------------------------------------------------------------------------------------
li1 0 0 0.00% 0 / 0 / 0
met1 60279 19302 32.02% 0 / 0 / 0
met2 51765 18408 35.56% 0 / 0 / 0
met3 36210 512 1.41% 0 / 0 / 0
met4 20874 784 3.76% 0 / 0 / 0
---------------------------------------------------------------------------------------
Total 169128 39006 23.06% 0 / 0 / 0
[INFO GRT-0018] Total wirelength: 372689 um
[INFO GRT-0014] Routed nets: 4863
[INFO]: Setting RC values...
[INFO RSZ-0033] No hold violations found.
Placement Analysis
---------------------------------
total displacement 0.0 u
average displacement 0.0 u
max displacement 0.0 u
original HPWL 232593.9 u
legalized HPWL 236561.8 u
delta HPWL 2 %
[INFO DPL-0020] Mirrored 1891 instances
[INFO DPL-0021] HPWL before 236561.8 u
[INFO DPL-0022] HPWL after 232593.9 u
[INFO DPL-0023] HPWL delta -1.7 %
Setting global connections for newly added cells...
Writing OpenROAD database to /home/piotro/caravel_user_project/openlane/core/runs/22_12_26_18_52/tmp/12-core.odb...
Writing netlist to /home/piotro/caravel_user_project/openlane/core/runs/22_12_26_18_52/tmp/12-core.nl.v...
Writing powered netlist to /home/piotro/caravel_user_project/openlane/core/runs/22_12_26_18_52/tmp/12-core.pnl.v...
Writing layout to /home/piotro/caravel_user_project/openlane/core/runs/22_12_26_18_52/tmp/12-core.def...
Writing timing constraints to /home/piotro/caravel_user_project/openlane/core/runs/22_12_26_18_52/tmp/12-core.sdc...
min_report
===========================================================================
report_checks -path_delay min (Hold)
============================================================================
Startpoint: _8190_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _7840_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.20 0.14 0.14 ^ i_clk (in)
1 0.04 i_clk (net)
0.20 0.00 0.14 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.17 0.31 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_i_clk (net)
0.05 0.00 0.31 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.13 0.44 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.02 clknet_1_1_0_i_clk (net)
0.06 0.00 0.44 ^ clkbuf_2_2_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.05 0.13 0.56 ^ clkbuf_2_2_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.02 clknet_2_2_0_i_clk (net)
0.05 0.00 0.57 ^ clkbuf_3_4_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.19 0.22 0.79 ^ clkbuf_3_4_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
10 0.11 clknet_3_4_0_i_clk (net)
0.19 0.00 0.79 ^ _8190_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.14 0.44 1.23 ^ _8190_/Q (sky130_fd_sc_hd__dfxtp_4)
6 0.05 decode.i_flush (net)
0.14 0.00 1.24 ^ _4157_/A (sky130_fd_sc_hd__nor2_4)
0.06 0.08 1.32 v _4157_/Y (sky130_fd_sc_hd__nor2_4)
4 0.03 _0788_ (net)
0.06 0.00 1.32 v _4778_/B1 (sky130_fd_sc_hd__o211a_1)
0.03 0.12 1.44 v _4778_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _0084_ (net)
0.03 0.00 1.45 v _7840_/D (sky130_fd_sc_hd__dfxtp_2)
1.45 data arrival time
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.20 0.15 0.15 ^ i_clk (in)
1 0.04 i_clk (net)
0.20 0.00 0.15 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.19 0.34 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_i_clk (net)
0.05 0.00 0.34 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.14 0.48 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.02 clknet_1_1_0_i_clk (net)
0.06 0.00 0.48 ^ clkbuf_2_2_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.05 0.14 0.62 ^ clkbuf_2_2_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.02 clknet_2_2_0_i_clk (net)
0.05 0.00 0.62 ^ clkbuf_3_5_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.27 0.31 0.93 ^ clkbuf_3_5_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
15 0.16 clknet_3_5_0_i_clk (net)
0.27 0.01 0.94 ^ clkbuf_leaf_51_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.20 1.14 ^ clkbuf_leaf_51_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
5 0.02 clknet_leaf_51_i_clk (net)
0.04 0.00 1.14 ^ _7840_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.25 1.39 clock uncertainty
-0.06 1.33 clock reconvergence pessimism
-0.04 1.29 library hold time
1.29 data required time
-----------------------------------------------------------------------------
1.29 data required time
-1.45 data arrival time
-----------------------------------------------------------------------------
0.15 slack (MET)
Startpoint: _8055_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _8039_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.20 0.14 0.14 ^ i_clk (in)
1 0.04 i_clk (net)
0.20 0.00 0.14 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.17 0.31 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_i_clk (net)
0.05 0.00 0.31 ^ clkbuf_1_0_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.13 0.44 ^ clkbuf_1_0_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.03 clknet_1_0_0_i_clk (net)
0.06 0.00 0.44 ^ clkbuf_2_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.05 0.13 0.57 ^ clkbuf_2_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.02 clknet_2_1_0_i_clk (net)
0.05 0.00 0.57 ^ clkbuf_3_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.16 0.21 0.78 ^ clkbuf_3_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
9 0.09 clknet_3_3_0_i_clk (net)
0.16 0.00 0.78 ^ clkbuf_leaf_8_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.16 0.94 ^ clkbuf_leaf_8_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
6 0.02 clknet_leaf_8_i_clk (net)
0.04 0.00 0.94 ^ _8055_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.10 0.33 1.27 ^ _8055_/Q (sky130_fd_sc_hd__dfxtp_1)
3 0.02 execute.alu_mul_div.mul_res[15] (net)
0.10 0.00 1.27 ^ _6997_/A (sky130_fd_sc_hd__xnor2_1)
0.04 0.08 1.35 v _6997_/Y (sky130_fd_sc_hd__xnor2_1)
1 0.00 _0270_ (net)
0.04 0.00 1.35 v _8039_/D (sky130_fd_sc_hd__dfxtp_1)
1.35 data arrival time
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.20 0.15 0.15 ^ i_clk (in)
1 0.04 i_clk (net)
0.20 0.00 0.15 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.19 0.34 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_i_clk (net)
0.05 0.00 0.34 ^ clkbuf_1_0_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.15 0.48 ^ clkbuf_1_0_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.03 clknet_1_0_0_i_clk (net)
0.06 0.00 0.49 ^ clkbuf_2_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.05 0.14 0.63 ^ clkbuf_2_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.02 clknet_2_1_0_i_clk (net)
0.05 0.00 0.63 ^ clkbuf_3_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.16 0.23 0.86 ^ clkbuf_3_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
9 0.09 clknet_3_3_0_i_clk (net)
0.16 0.00 0.86 ^ clkbuf_leaf_5_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.18 1.04 ^ clkbuf_leaf_5_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 clknet_leaf_5_i_clk (net)
0.05 0.00 1.04 ^ _8039_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 1.29 clock uncertainty
-0.08 1.21 clock reconvergence pessimism
-0.05 1.16 library hold time
1.16 data required time
-----------------------------------------------------------------------------
1.16 data required time
-1.35 data arrival time
-----------------------------------------------------------------------------
0.19 slack (MET)
Startpoint: _7797_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _7914_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.20 0.14 0.14 ^ i_clk (in)
1 0.04 i_clk (net)
0.20 0.00 0.14 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.17 0.31 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_i_clk (net)
0.05 0.00 0.31 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.13 0.44 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.02 clknet_1_1_0_i_clk (net)
0.06 0.00 0.44 ^ clkbuf_2_2_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.05 0.13 0.56 ^ clkbuf_2_2_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.02 clknet_2_2_0_i_clk (net)
0.05 0.00 0.57 ^ clkbuf_3_4_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.19 0.22 0.79 ^ clkbuf_3_4_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
10 0.11 clknet_3_4_0_i_clk (net)
0.19 0.00 0.79 ^ clkbuf_leaf_49_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.16 0.96 ^ clkbuf_leaf_49_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
5 0.02 clknet_leaf_49_i_clk (net)
0.04 0.00 0.96 ^ _7797_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.15 0.38 1.33 ^ _7797_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.03 decode.i_instr_l[8] (net)
0.15 0.00 1.33 ^ _5282_/B2 (sky130_fd_sc_hd__a22o_1)
0.04 0.13 1.46 ^ _5282_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 _0145_ (net)
0.04 0.00 1.46 ^ _7914_/D (sky130_fd_sc_hd__dfxtp_1)
1.46 data arrival time
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.20 0.15 0.15 ^ i_clk (in)
1 0.04 i_clk (net)
0.20 0.00 0.15 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.19 0.34 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_i_clk (net)
0.05 0.00 0.34 ^ clkbuf_1_0_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.15 0.48 ^ clkbuf_1_0_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.03 clknet_1_0_0_i_clk (net)
0.06 0.00 0.49 ^ clkbuf_2_0_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.05 0.14 0.63 ^ clkbuf_2_0_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.02 clknet_2_0_0_i_clk (net)
0.05 0.00 0.63 ^ clkbuf_3_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.21 0.27 0.89 ^ clkbuf_3_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
12 0.12 clknet_3_1_0_i_clk (net)
0.21 0.01 0.90 ^ clkbuf_leaf_76_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.19 1.09 ^ clkbuf_leaf_76_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
6 0.02 clknet_leaf_76_i_clk (net)
0.04 0.00 1.09 ^ _7914_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 1.34 clock uncertainty
-0.03 1.31 clock reconvergence pessimism
-0.03 1.28 library hold time
1.28 data required time
-----------------------------------------------------------------------------
1.28 data required time
-1.46 data arrival time
-----------------------------------------------------------------------------
0.19 slack (MET)
Startpoint: _8040_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _8024_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.20 0.14 0.14 ^ i_clk (in)
1 0.04 i_clk (net)
0.20 0.00 0.14 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.17 0.31 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_i_clk (net)
0.05 0.00 0.31 ^ clkbuf_1_0_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.13 0.44 ^ clkbuf_1_0_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.03 clknet_1_0_0_i_clk (net)
0.06 0.00 0.44 ^ clkbuf_2_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.05 0.13 0.57 ^ clkbuf_2_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.02 clknet_2_1_0_i_clk (net)
0.05 0.00 0.57 ^ clkbuf_3_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.16 0.21 0.78 ^ clkbuf_3_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
9 0.09 clknet_3_3_0_i_clk (net)
0.16 0.00 0.78 ^ clkbuf_leaf_7_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.16 0.94 ^ clkbuf_leaf_7_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
7 0.02 clknet_leaf_7_i_clk (net)
0.04 0.00 0.94 ^ _8040_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.10 0.33 1.27 ^ _8040_/Q (sky130_fd_sc_hd__dfxtp_1)
4 0.02 execute.alu_mul_div.mul_res[0] (net)
0.10 0.00 1.27 ^ _6855_/A (sky130_fd_sc_hd__xnor2_1)
0.03 0.08 1.35 v _6855_/Y (sky130_fd_sc_hd__xnor2_1)
1 0.00 _0255_ (net)
0.03 0.00 1.35 v _8024_/D (sky130_fd_sc_hd__dfxtp_1)
1.35 data arrival time
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.20 0.15 0.15 ^ i_clk (in)
1 0.04 i_clk (net)
0.20 0.00 0.15 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.19 0.34 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_i_clk (net)
0.05 0.00 0.34 ^ clkbuf_1_0_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.15 0.48 ^ clkbuf_1_0_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.03 clknet_1_0_0_i_clk (net)
0.06 0.00 0.49 ^ clkbuf_2_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.05 0.14 0.63 ^ clkbuf_2_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.02 clknet_2_1_0_i_clk (net)
0.05 0.00 0.63 ^ clkbuf_3_2_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.16 0.23 0.85 ^ clkbuf_3_2_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
8 0.09 clknet_3_2_0_i_clk (net)
0.16 0.00 0.86 ^ clkbuf_leaf_4_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.16 1.02 ^ clkbuf_leaf_4_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
3 0.01 clknet_leaf_4_i_clk (net)
0.03 0.00 1.02 ^ _8024_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 1.27 clock uncertainty
-0.06 1.21 clock reconvergence pessimism
-0.05 1.16 library hold time
1.16 data required time
-----------------------------------------------------------------------------
1.16 data required time
-1.35 data arrival time
-----------------------------------------------------------------------------
0.19 slack (MET)
Startpoint: _7986_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _7983_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.20 0.14 0.14 ^ i_clk (in)
1 0.04 i_clk (net)
0.20 0.00 0.14 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.17 0.31 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_i_clk (net)
0.05 0.00 0.31 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.13 0.44 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.02 clknet_1_1_0_i_clk (net)
0.06 0.00 0.44 ^ clkbuf_2_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.05 0.13 0.57 ^ clkbuf_2_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.02 clknet_2_3_0_i_clk (net)
0.05 0.00 0.57 ^ clkbuf_3_7_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.32 0.31 0.88 ^ clkbuf_3_7_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.19 clknet_3_7_0_i_clk (net)
0.32 0.01 0.89 ^ clkbuf_leaf_25_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 1.09 ^ clkbuf_leaf_25_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
7 0.02 clknet_leaf_25_i_clk (net)
0.05 0.00 1.09 ^ _7986_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.04 0.29 1.38 v _7986_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 execute.sreg_jtr_buff.o_d[2] (net)
0.04 0.00 1.38 v _5491_/A (sky130_fd_sc_hd__nand2_1)
0.05 0.06 1.44 ^ _5491_/Y (sky130_fd_sc_hd__nand2_1)
1 0.00 _1852_ (net)
0.05 0.00 1.44 ^ _5492_/A2 (sky130_fd_sc_hd__a21oi_1)
0.04 0.05 1.49 v _5492_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.00 _0214_ (net)
0.04 0.00 1.49 v _7983_/D (sky130_fd_sc_hd__dfxtp_4)
1.49 data arrival time
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.20 0.15 0.15 ^ i_clk (in)
1 0.04 i_clk (net)
0.20 0.00 0.15 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.19 0.34 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_i_clk (net)
0.05 0.00 0.34 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.14 0.48 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.02 clknet_1_1_0_i_clk (net)
0.06 0.00 0.48 ^ clkbuf_2_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.05 0.15 0.63 ^ clkbuf_2_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.02 clknet_2_3_0_i_clk (net)
0.05 0.00 0.63 ^ clkbuf_3_7_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.32 0.34 0.98 ^ clkbuf_3_7_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.19 clknet_3_7_0_i_clk (net)
0.32 0.01 0.98 ^ clkbuf_leaf_25_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 1.20 ^ clkbuf_leaf_25_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
7 0.02 clknet_leaf_25_i_clk (net)
0.05 0.00 1.20 ^ _7983_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.25 1.45 clock uncertainty
-0.11 1.34 clock reconvergence pessimism
-0.04 1.30 library hold time
1.30 data required time
-----------------------------------------------------------------------------
1.30 data required time
-1.49 data arrival time
-----------------------------------------------------------------------------
0.19 slack (MET)
min_report_end
max_report
===========================================================================
report_checks -path_delay max (Setup)
============================================================================
Startpoint: _7909_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _8232_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.20 0.15 0.15 ^ i_clk (in)
1 0.04 i_clk (net)
0.20 0.00 0.15 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.19 0.34 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_i_clk (net)
0.05 0.00 0.34 ^ clkbuf_1_0_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.15 0.48 ^ clkbuf_1_0_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.03 clknet_1_0_0_i_clk (net)
0.06 0.00 0.49 ^ clkbuf_2_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.05 0.14 0.63 ^ clkbuf_2_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.02 clknet_2_1_0_i_clk (net)
0.05 0.00 0.63 ^ clkbuf_3_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.16 0.23 0.86 ^ clkbuf_3_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
9 0.09 clknet_3_3_0_i_clk (net)
0.16 0.00 0.86 ^ clkbuf_leaf_7_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.17 1.04 ^ clkbuf_leaf_7_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
7 0.02 clknet_leaf_7_i_clk (net)
0.04 0.00 1.04 ^ _7909_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.11 0.37 1.40 ^ _7909_/Q (sky130_fd_sc_hd__dfxtp_1)
3 0.01 decode.oc_r_reg_sel[0] (net)
0.11 0.00 1.40 ^ _3848_/A (sky130_fd_sc_hd__buf_2)
0.17 0.23 1.63 ^ _3848_/X (sky130_fd_sc_hd__buf_2)
10 0.03 _0505_ (net)
0.17 0.00 1.63 ^ _3986_/A_N (sky130_fd_sc_hd__and4b_1)
0.04 0.22 1.86 v _3986_/X (sky130_fd_sc_hd__and4b_1)
1 0.00 _0632_ (net)
0.04 0.00 1.86 v _3988_/C1 (sky130_fd_sc_hd__a2111o_1)
0.09 0.40 2.26 v _3988_/X (sky130_fd_sc_hd__a2111o_1)
1 0.01 _0634_ (net)
0.09 0.00 2.26 v _3989_/A3 (sky130_fd_sc_hd__o32ai_4)
1.00 0.86 3.12 ^ _3989_/Y (sky130_fd_sc_hd__o32ai_4)
8 0.10 _0635_ (net)
1.00 0.02 3.14 ^ _3990_/A (sky130_fd_sc_hd__clkinv_4)
0.25 0.28 3.43 v _3990_/Y (sky130_fd_sc_hd__clkinv_4)
4 0.05 net244 (net)
0.25 0.00 3.43 v _5097_/A1 (sky130_fd_sc_hd__mux2_2)
0.09 0.42 3.84 v _5097_/X (sky130_fd_sc_hd__mux2_2)
3 0.02 _1550_ (net)
0.09 0.00 3.85 v _5098_/A (sky130_fd_sc_hd__buf_4)
0.08 0.22 4.06 v _5098_/X (sky130_fd_sc_hd__buf_4)
10 0.06 _1551_ (net)
0.08 0.00 4.07 v _5618_/A2 (sky130_fd_sc_hd__a31o_1)
0.06 0.25 4.31 v _5618_/X (sky130_fd_sc_hd__a31o_1)
2 0.01 _1959_ (net)
0.06 0.00 4.32 v _5620_/B1 (sky130_fd_sc_hd__a21o_1)
0.04 0.17 4.49 v _5620_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _1961_ (net)
0.04 0.00 4.49 v _5622_/A2 (sky130_fd_sc_hd__a21o_1)
0.05 0.20 4.69 v _5622_/X (sky130_fd_sc_hd__a21o_1)
3 0.01 _1963_ (net)
0.05 0.00 4.69 v _5636_/A2 (sky130_fd_sc_hd__a21o_1)
0.06 0.21 4.90 v _5636_/X (sky130_fd_sc_hd__a21o_1)
3 0.01 _1977_ (net)
0.06 0.00 4.90 v _5644_/C (sky130_fd_sc_hd__and3_1)
0.06 0.22 5.12 v _5644_/X (sky130_fd_sc_hd__and3_1)
3 0.01 _1985_ (net)
0.06 0.00 5.12 v _5678_/A (sky130_fd_sc_hd__or4_2)
0.15 0.77 5.90 v _5678_/X (sky130_fd_sc_hd__or4_2)
4 0.02 _2019_ (net)
0.15 0.00 5.90 v _5837_/A1 (sky130_fd_sc_hd__a211oi_1)
0.33 0.37 6.26 ^ _5837_/Y (sky130_fd_sc_hd__a211oi_1)
2 0.01 _2178_ (net)
0.33 0.00 6.26 ^ _5838_/C (sky130_fd_sc_hd__nor3_2)
0.09 0.12 6.39 v _5838_/Y (sky130_fd_sc_hd__nor3_2)
4 0.01 _2179_ (net)
0.09 0.00 6.39 v _5888_/B1 (sky130_fd_sc_hd__a211oi_1)
0.36 0.38 6.77 ^ _5888_/Y (sky130_fd_sc_hd__a211oi_1)
3 0.01 _2229_ (net)
0.36 0.00 6.77 ^ _5891_/A1 (sky130_fd_sc_hd__o211ai_1)
0.11 0.18 6.95 v _5891_/Y (sky130_fd_sc_hd__o211ai_1)
2 0.01 _2232_ (net)
0.11 0.00 6.95 v _5926_/B (sky130_fd_sc_hd__and3_1)
0.07 0.23 7.18 v _5926_/X (sky130_fd_sc_hd__and3_1)
4 0.01 _2267_ (net)
0.07 0.00 7.18 v _5928_/A (sky130_fd_sc_hd__nor2_1)
0.30 0.28 7.47 ^ _5928_/Y (sky130_fd_sc_hd__nor2_1)
3 0.02 _2269_ (net)
0.30 0.00 7.47 ^ _6121_/A1 (sky130_fd_sc_hd__a41o_1)
0.19 0.33 7.80 ^ _6121_/X (sky130_fd_sc_hd__a41o_1)
4 0.02 _2462_ (net)
0.19 0.00 7.80 ^ _6279_/A2 (sky130_fd_sc_hd__a21oi_1)
0.09 0.11 7.91 v _6279_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.00 _2620_ (net)
0.09 0.00 7.91 v _6339_/A1 (sky130_fd_sc_hd__o311a_2)
0.11 0.50 8.41 v _6339_/X (sky130_fd_sc_hd__o311a_2)
2 0.03 _2680_ (net)
0.11 0.00 8.42 v _6383_/B1 (sky130_fd_sc_hd__a211o_4)
0.09 0.40 8.81 v _6383_/X (sky130_fd_sc_hd__a211o_4)
5 0.03 _2724_ (net)
0.09 0.00 8.81 v _6829_/B1 (sky130_fd_sc_hd__a22o_4)
0.08 0.29 9.10 v _6829_/X (sky130_fd_sc_hd__a22o_4)
3 0.04 _3151_ (net)
0.08 0.01 9.11 v _7644_/B2 (sky130_fd_sc_hd__a2bb2o_1)
0.05 0.27 9.38 v _7644_/X (sky130_fd_sc_hd__a2bb2o_1)
1 0.00 _3756_ (net)
0.05 0.00 9.38 v _7645_/A1 (sky130_fd_sc_hd__mux2_1)
0.08 0.35 9.73 v _7645_/X (sky130_fd_sc_hd__mux2_1)
1 0.01 _3757_ (net)
0.08 0.00 9.73 v _7646_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.30 10.03 v _7646_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _3758_ (net)
0.05 0.00 10.03 v _7647_/A (sky130_fd_sc_hd__clkbuf_1)
0.03 0.10 10.13 v _7647_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 _0462_ (net)
0.03 0.00 10.13 v _8232_/D (sky130_fd_sc_hd__dfxtp_1)
10.13 data arrival time
10.00 10.00 clock i_clk (rise edge)
0.00 10.00 clock source latency
0.20 0.14 10.14 ^ i_clk (in)
1 0.04 i_clk (net)
0.20 0.00 10.14 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.17 10.31 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_i_clk (net)
0.05 0.00 10.31 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.13 10.44 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.02 clknet_1_1_0_i_clk (net)
0.06 0.00 10.44 ^ clkbuf_2_2_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.05 0.13 10.56 ^ clkbuf_2_2_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.02 clknet_2_2_0_i_clk (net)
0.05 0.00 10.57 ^ clkbuf_3_5_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.27 0.28 10.84 ^ clkbuf_3_5_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
15 0.16 clknet_3_5_0_i_clk (net)
0.27 0.00 10.85 ^ clkbuf_leaf_58_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.19 11.03 ^ clkbuf_leaf_58_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
6 0.02 clknet_leaf_58_i_clk (net)
0.04 0.00 11.03 ^ _8232_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 10.78 clock uncertainty
0.03 10.82 clock reconvergence pessimism
-0.10 10.71 library setup time
10.71 data required time
-----------------------------------------------------------------------------
10.71 data required time
-10.13 data arrival time
-----------------------------------------------------------------------------
0.58 slack (MET)
Startpoint: _7909_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _8240_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.20 0.15 0.15 ^ i_clk (in)
1 0.04 i_clk (net)
0.20 0.00 0.15 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.19 0.34 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_i_clk (net)
0.05 0.00 0.34 ^ clkbuf_1_0_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.15 0.48 ^ clkbuf_1_0_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.03 clknet_1_0_0_i_clk (net)
0.06 0.00 0.49 ^ clkbuf_2_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.05 0.14 0.63 ^ clkbuf_2_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.02 clknet_2_1_0_i_clk (net)
0.05 0.00 0.63 ^ clkbuf_3_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.16 0.23 0.86 ^ clkbuf_3_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
9 0.09 clknet_3_3_0_i_clk (net)
0.16 0.00 0.86 ^ clkbuf_leaf_7_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.17 1.04 ^ clkbuf_leaf_7_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
7 0.02 clknet_leaf_7_i_clk (net)
0.04 0.00 1.04 ^ _7909_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.11 0.37 1.40 ^ _7909_/Q (sky130_fd_sc_hd__dfxtp_1)
3 0.01 decode.oc_r_reg_sel[0] (net)
0.11 0.00 1.40 ^ _3848_/A (sky130_fd_sc_hd__buf_2)
0.17 0.23 1.63 ^ _3848_/X (sky130_fd_sc_hd__buf_2)
10 0.03 _0505_ (net)
0.17 0.00 1.63 ^ _3870_/A (sky130_fd_sc_hd__buf_2)
0.15 0.24 1.87 ^ _3870_/X (sky130_fd_sc_hd__buf_2)
10 0.03 _0527_ (net)
0.15 0.00 1.87 ^ _3871_/A (sky130_fd_sc_hd__buf_2)
0.16 0.24 2.11 ^ _3871_/X (sky130_fd_sc_hd__buf_2)
10 0.03 _0528_ (net)
0.16 0.00 2.12 ^ _3872_/A (sky130_fd_sc_hd__clkbuf_4)
0.13 0.25 2.37 ^ _3872_/X (sky130_fd_sc_hd__clkbuf_4)
10 0.04 _0529_ (net)
0.13 0.00 2.37 ^ _3993_/A_N (sky130_fd_sc_hd__and4b_1)
0.06 0.24 2.60 v _3993_/X (sky130_fd_sc_hd__and4b_1)
1 0.01 _0638_ (net)
0.06 0.00 2.60 v _3996_/A (sky130_fd_sc_hd__or3_1)
0.10 0.43 3.03 v _3996_/X (sky130_fd_sc_hd__or3_1)
1 0.01 _0641_ (net)
0.10 0.00 3.03 v _3997_/B2 (sky130_fd_sc_hd__o22ai_4)
0.59 0.46 3.49 ^ _3997_/Y (sky130_fd_sc_hd__o22ai_4)
8 0.08 _0642_ (net)
0.59 0.02 3.51 ^ _3998_/A (sky130_fd_sc_hd__inv_2)
0.17 0.20 3.72 v _3998_/Y (sky130_fd_sc_hd__inv_2)
4 0.03 net243 (net)
0.17 0.00 3.72 v _5109_/A1 (sky130_fd_sc_hd__mux2_8)
0.09 0.41 4.13 v _5109_/X (sky130_fd_sc_hd__mux2_8)
7 0.05 _1562_ (net)
0.09 0.01 4.14 v _6044_/B (sky130_fd_sc_hd__nand2_1)
0.10 0.13 4.27 ^ _6044_/Y (sky130_fd_sc_hd__nand2_1)
2 0.01 _2385_ (net)
0.10 0.00 4.27 ^ _6247_/A (sky130_fd_sc_hd__and2_1)
0.17 0.23 4.51 ^ _6247_/X (sky130_fd_sc_hd__and2_1)
5 0.02 _2588_ (net)
0.17 0.00 4.51 ^ _6248_/B1 (sky130_fd_sc_hd__a21oi_1)
0.07 0.09 4.59 v _6248_/Y (sky130_fd_sc_hd__a21oi_1)
2 0.01 _2589_ (net)
0.07 0.00 4.59 v _6249_/B1 (sky130_fd_sc_hd__a21o_1)
0.07 0.21 4.80 v _6249_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _2590_ (net)
0.07 0.00 4.80 v _6251_/A2 (sky130_fd_sc_hd__a21oi_2)
0.20 0.24 5.04 ^ _6251_/Y (sky130_fd_sc_hd__a21oi_2)
3 0.01 _2592_ (net)
0.20 0.00 5.04 ^ _6253_/A2 (sky130_fd_sc_hd__o211ai_2)
0.11 0.14 5.18 v _6253_/Y (sky130_fd_sc_hd__o211ai_2)
4 0.01 _2594_ (net)
0.11 0.00 5.18 v _6257_/A3 (sky130_fd_sc_hd__a311o_2)
0.11 0.51 5.68 v _6257_/X (sky130_fd_sc_hd__a311o_2)
5 0.03 _2598_ (net)
0.11 0.00 5.68 v _6264_/A3 (sky130_fd_sc_hd__a311oi_4)
0.27 0.37 6.06 ^ _6264_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.01 _2605_ (net)
0.27 0.00 6.06 ^ _6266_/A2 (sky130_fd_sc_hd__o211ai_2)
0.09 0.14 6.19 v _6266_/Y (sky130_fd_sc_hd__o211ai_2)
3 0.01 _2607_ (net)
0.09 0.00 6.19 v _6269_/A2 (sky130_fd_sc_hd__a21oi_1)
0.28 0.31 6.50 ^ _6269_/Y (sky130_fd_sc_hd__a21oi_1)
3 0.01 _2610_ (net)
0.28 0.00 6.50 ^ _6271_/A2 (sky130_fd_sc_hd__o21ai_2)
0.09 0.12 6.62 v _6271_/Y (sky130_fd_sc_hd__o21ai_2)
3 0.01 _2612_ (net)
0.09 0.00 6.62 v _6272_/A2 (sky130_fd_sc_hd__a21o_1)
0.06 0.23 6.85 v _6272_/X (sky130_fd_sc_hd__a21o_1)
3 0.01 _2613_ (net)
0.06 0.00 6.85 v _6353_/A2 (sky130_fd_sc_hd__a21oi_1)
0.17 0.20 7.05 ^ _6353_/Y (sky130_fd_sc_hd__a21oi_1)
2 0.01 _2694_ (net)
0.17 0.00 7.05 ^ _6658_/A2_N (sky130_fd_sc_hd__a2bb2o_1)
0.06 0.32 7.37 v _6658_/X (sky130_fd_sc_hd__a2bb2o_1)
1 0.01 _2998_ (net)
0.06 0.00 7.37 v _6667_/A2 (sky130_fd_sc_hd__a211o_4)
0.12 0.44 7.81 v _6667_/X (sky130_fd_sc_hd__a211o_4)
6 0.05 _3007_ (net)
0.12 0.01 7.82 v _7669_/B (sky130_fd_sc_hd__or4_1)
0.11 0.58 8.41 v _7669_/X (sky130_fd_sc_hd__or4_1)
2 0.01 _3777_ (net)
0.11 0.00 8.41 v _7678_/A (sky130_fd_sc_hd__or2_1)
0.06 0.28 8.68 v _7678_/X (sky130_fd_sc_hd__or2_1)
2 0.01 _3785_ (net)
0.06 0.00 8.68 v _7685_/A0 (sky130_fd_sc_hd__mux2_1)
0.09 0.35 9.03 v _7685_/X (sky130_fd_sc_hd__mux2_1)
3 0.01 _3791_ (net)
0.09 0.00 9.03 v _7692_/B1 (sky130_fd_sc_hd__a21o_1)
0.05 0.19 9.22 v _7692_/X (sky130_fd_sc_hd__a21o_1)
1 0.01 _3797_ (net)
0.05 0.00 9.22 v _7693_/B (sky130_fd_sc_hd__xnor2_1)
0.05 0.12 9.35 v _7693_/Y (sky130_fd_sc_hd__xnor2_1)
1 0.00 _3798_ (net)
0.05 0.00 9.35 v _7694_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.29 9.64 v _7694_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _3799_ (net)
0.05 0.00 9.64 v _7695_/A (sky130_fd_sc_hd__clkbuf_1)
0.03 0.09 9.73 v _7695_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 _0470_ (net)
0.03 0.00 9.73 v _8240_/D (sky130_fd_sc_hd__dfxtp_1)
9.73 data arrival time
10.00 10.00 clock i_clk (rise edge)
0.00 10.00 clock source latency
0.20 0.14 10.14 ^ i_clk (in)
1 0.04 i_clk (net)
0.20 0.00 10.14 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.17 10.31 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_i_clk (net)
0.05 0.00 10.31 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.13 10.44 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.02 clknet_1_1_0_i_clk (net)
0.06 0.00 10.44 ^ clkbuf_2_2_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.05 0.13 10.56 ^ clkbuf_2_2_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.02 clknet_2_2_0_i_clk (net)
0.05 0.00 10.57 ^ clkbuf_3_5_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.27 0.28 10.84 ^ clkbuf_3_5_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
15 0.16 clknet_3_5_0_i_clk (net)
0.27 0.01 10.85 ^ clkbuf_leaf_62_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.19 11.04 ^ clkbuf_leaf_62_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
8 0.02 clknet_leaf_62_i_clk (net)
0.05 0.00 11.05 ^ _8240_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 10.80 clock uncertainty
0.03 10.83 clock reconvergence pessimism
-0.10 10.73 library setup time
10.73 data required time
-----------------------------------------------------------------------------
10.73 data required time
-9.73 data arrival time
-----------------------------------------------------------------------------
1.00 slack (MET)
Startpoint: _7909_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _8241_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.20 0.15 0.15 ^ i_clk (in)
1 0.04 i_clk (net)
0.20 0.00 0.15 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.19 0.34 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_i_clk (net)
0.05 0.00 0.34 ^ clkbuf_1_0_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.15 0.48 ^ clkbuf_1_0_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.03 clknet_1_0_0_i_clk (net)
0.06 0.00 0.49 ^ clkbuf_2_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.05 0.14 0.63 ^ clkbuf_2_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.02 clknet_2_1_0_i_clk (net)
0.05 0.00 0.63 ^ clkbuf_3_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.16 0.23 0.86 ^ clkbuf_3_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
9 0.09 clknet_3_3_0_i_clk (net)
0.16 0.00 0.86 ^ clkbuf_leaf_7_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.17 1.04 ^ clkbuf_leaf_7_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
7 0.02 clknet_leaf_7_i_clk (net)
0.04 0.00 1.04 ^ _7909_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.11 0.37 1.40 ^ _7909_/Q (sky130_fd_sc_hd__dfxtp_1)
3 0.01 decode.oc_r_reg_sel[0] (net)
0.11 0.00 1.40 ^ _3848_/A (sky130_fd_sc_hd__buf_2)
0.17 0.23 1.63 ^ _3848_/X (sky130_fd_sc_hd__buf_2)
10 0.03 _0505_ (net)
0.17 0.00 1.63 ^ _3870_/A (sky130_fd_sc_hd__buf_2)
0.15 0.24 1.87 ^ _3870_/X (sky130_fd_sc_hd__buf_2)
10 0.03 _0527_ (net)
0.15 0.00 1.87 ^ _3871_/A (sky130_fd_sc_hd__buf_2)
0.16 0.24 2.11 ^ _3871_/X (sky130_fd_sc_hd__buf_2)
10 0.03 _0528_ (net)
0.16 0.00 2.12 ^ _3872_/A (sky130_fd_sc_hd__clkbuf_4)
0.13 0.25 2.37 ^ _3872_/X (sky130_fd_sc_hd__clkbuf_4)
10 0.04 _0529_ (net)
0.13 0.00 2.37 ^ _3993_/A_N (sky130_fd_sc_hd__and4b_1)
0.06 0.24 2.60 v _3993_/X (sky130_fd_sc_hd__and4b_1)
1 0.01 _0638_ (net)
0.06 0.00 2.60 v _3996_/A (sky130_fd_sc_hd__or3_1)
0.10 0.43 3.03 v _3996_/X (sky130_fd_sc_hd__or3_1)
1 0.01 _0641_ (net)
0.10 0.00 3.03 v _3997_/B2 (sky130_fd_sc_hd__o22ai_4)
0.59 0.46 3.49 ^ _3997_/Y (sky130_fd_sc_hd__o22ai_4)
8 0.08 _0642_ (net)
0.59 0.02 3.51 ^ _3998_/A (sky130_fd_sc_hd__inv_2)
0.17 0.20 3.72 v _3998_/Y (sky130_fd_sc_hd__inv_2)
4 0.03 net243 (net)
0.17 0.00 3.72 v _5109_/A1 (sky130_fd_sc_hd__mux2_8)
0.09 0.41 4.13 v _5109_/X (sky130_fd_sc_hd__mux2_8)
7 0.05 _1562_ (net)
0.09 0.01 4.14 v _6044_/B (sky130_fd_sc_hd__nand2_1)
0.10 0.13 4.27 ^ _6044_/Y (sky130_fd_sc_hd__nand2_1)
2 0.01 _2385_ (net)
0.10 0.00 4.27 ^ _6247_/A (sky130_fd_sc_hd__and2_1)
0.17 0.23 4.51 ^ _6247_/X (sky130_fd_sc_hd__and2_1)
5 0.02 _2588_ (net)
0.17 0.00 4.51 ^ _6248_/B1 (sky130_fd_sc_hd__a21oi_1)
0.07 0.09 4.59 v _6248_/Y (sky130_fd_sc_hd__a21oi_1)
2 0.01 _2589_ (net)
0.07 0.00 4.59 v _6249_/B1 (sky130_fd_sc_hd__a21o_1)
0.07 0.21 4.80 v _6249_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _2590_ (net)
0.07 0.00 4.80 v _6251_/A2 (sky130_fd_sc_hd__a21oi_2)
0.20 0.24 5.04 ^ _6251_/Y (sky130_fd_sc_hd__a21oi_2)
3 0.01 _2592_ (net)
0.20 0.00 5.04 ^ _6253_/A2 (sky130_fd_sc_hd__o211ai_2)
0.11 0.14 5.18 v _6253_/Y (sky130_fd_sc_hd__o211ai_2)
4 0.01 _2594_ (net)
0.11 0.00 5.18 v _6257_/A3 (sky130_fd_sc_hd__a311o_2)
0.11 0.51 5.68 v _6257_/X (sky130_fd_sc_hd__a311o_2)
5 0.03 _2598_ (net)
0.11 0.00 5.68 v _6264_/A3 (sky130_fd_sc_hd__a311oi_4)
0.27 0.37 6.06 ^ _6264_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.01 _2605_ (net)
0.27 0.00 6.06 ^ _6266_/A2 (sky130_fd_sc_hd__o211ai_2)
0.09 0.14 6.19 v _6266_/Y (sky130_fd_sc_hd__o211ai_2)
3 0.01 _2607_ (net)
0.09 0.00 6.19 v _6269_/A2 (sky130_fd_sc_hd__a21oi_1)
0.28 0.31 6.50 ^ _6269_/Y (sky130_fd_sc_hd__a21oi_1)
3 0.01 _2610_ (net)
0.28 0.00 6.50 ^ _6271_/A2 (sky130_fd_sc_hd__o21ai_2)
0.09 0.12 6.62 v _6271_/Y (sky130_fd_sc_hd__o21ai_2)
3 0.01 _2612_ (net)
0.09 0.00 6.62 v _6272_/A2 (sky130_fd_sc_hd__a21o_1)
0.06 0.23 6.85 v _6272_/X (sky130_fd_sc_hd__a21o_1)
3 0.01 _2613_ (net)
0.06 0.00 6.85 v _6353_/A2 (sky130_fd_sc_hd__a21oi_1)
0.17 0.20 7.05 ^ _6353_/Y (sky130_fd_sc_hd__a21oi_1)
2 0.01 _2694_ (net)
0.17 0.00 7.05 ^ _6658_/A2_N (sky130_fd_sc_hd__a2bb2o_1)
0.06 0.32 7.37 v _6658_/X (sky130_fd_sc_hd__a2bb2o_1)
1 0.01 _2998_ (net)
0.06 0.00 7.37 v _6667_/A2 (sky130_fd_sc_hd__a211o_4)
0.12 0.44 7.81 v _6667_/X (sky130_fd_sc_hd__a211o_4)
6 0.05 _3007_ (net)
0.12 0.01 7.82 v _7669_/B (sky130_fd_sc_hd__or4_1)
0.11 0.58 8.41 v _7669_/X (sky130_fd_sc_hd__or4_1)
2 0.01 _3777_ (net)
0.11 0.00 8.41 v _7678_/A (sky130_fd_sc_hd__or2_1)
0.06 0.28 8.68 v _7678_/X (sky130_fd_sc_hd__or2_1)
2 0.01 _3785_ (net)
0.06 0.00 8.68 v _7685_/A0 (sky130_fd_sc_hd__mux2_1)
0.09 0.35 9.03 v _7685_/X (sky130_fd_sc_hd__mux2_1)
3 0.01 _3791_ (net)
0.09 0.00 9.03 v _7698_/A (sky130_fd_sc_hd__nor2_1)
0.14 0.17 9.20 ^ _7698_/Y (sky130_fd_sc_hd__nor2_1)
1 0.01 _3802_ (net)
0.14 0.00 9.20 ^ _7699_/B (sky130_fd_sc_hd__xnor2_1)
0.06 0.09 9.29 v _7699_/Y (sky130_fd_sc_hd__xnor2_1)
1 0.00 _3803_ (net)
0.06 0.00 9.29 v _7700_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.30 9.58 v _7700_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _3804_ (net)
0.05 0.00 9.58 v _7701_/A (sky130_fd_sc_hd__clkbuf_1)
0.03 0.10 9.68 v _7701_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 _0471_ (net)
0.03 0.00 9.68 v _8241_/D (sky130_fd_sc_hd__dfxtp_1)
9.68 data arrival time
10.00 10.00 clock i_clk (rise edge)
0.00 10.00 clock source latency
0.20 0.14 10.14 ^ i_clk (in)
1 0.04 i_clk (net)
0.20 0.00 10.14 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.17 10.31 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_i_clk (net)
0.05 0.00 10.31 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.13 10.44 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.02 clknet_1_1_0_i_clk (net)
0.06 0.00 10.44 ^ clkbuf_2_2_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.05 0.13 10.56 ^ clkbuf_2_2_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.02 clknet_2_2_0_i_clk (net)
0.05 0.00 10.57 ^ clkbuf_3_5_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.27 0.28 10.84 ^ clkbuf_3_5_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
15 0.16 clknet_3_5_0_i_clk (net)
0.27 0.01 10.85 ^ clkbuf_leaf_63_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.18 11.04 ^ clkbuf_leaf_63_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
5 0.02 clknet_leaf_63_i_clk (net)
0.04 0.00 11.04 ^ _8241_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 10.79 clock uncertainty
0.03 10.82 clock reconvergence pessimism
-0.10 10.72 library setup time
10.72 data required time
-----------------------------------------------------------------------------
10.72 data required time
-9.68 data arrival time
-----------------------------------------------------------------------------
1.04 slack (MET)
Startpoint: _7909_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _8022_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.20 0.15 0.15 ^ i_clk (in)
1 0.04 i_clk (net)
0.20 0.00 0.15 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.19 0.34 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_i_clk (net)
0.05 0.00 0.34 ^ clkbuf_1_0_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.15 0.48 ^ clkbuf_1_0_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.03 clknet_1_0_0_i_clk (net)
0.06 0.00 0.49 ^ clkbuf_2_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.05 0.14 0.63 ^ clkbuf_2_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.02 clknet_2_1_0_i_clk (net)
0.05 0.00 0.63 ^ clkbuf_3_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.16 0.23 0.86 ^ clkbuf_3_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
9 0.09 clknet_3_3_0_i_clk (net)
0.16 0.00 0.86 ^ clkbuf_leaf_7_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.17 1.04 ^ clkbuf_leaf_7_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
7 0.02 clknet_leaf_7_i_clk (net)
0.04 0.00 1.04 ^ _7909_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.11 0.37 1.40 ^ _7909_/Q (sky130_fd_sc_hd__dfxtp_1)
3 0.01 decode.oc_r_reg_sel[0] (net)
0.11 0.00 1.40 ^ _3848_/A (sky130_fd_sc_hd__buf_2)
0.17 0.23 1.63 ^ _3848_/X (sky130_fd_sc_hd__buf_2)
10 0.03 _0505_ (net)
0.17 0.00 1.63 ^ _3986_/A_N (sky130_fd_sc_hd__and4b_1)
0.04 0.22 1.86 v _3986_/X (sky130_fd_sc_hd__and4b_1)
1 0.00 _0632_ (net)
0.04 0.00 1.86 v _3988_/C1 (sky130_fd_sc_hd__a2111o_1)
0.09 0.40 2.26 v _3988_/X (sky130_fd_sc_hd__a2111o_1)
1 0.01 _0634_ (net)
0.09 0.00 2.26 v _3989_/A3 (sky130_fd_sc_hd__o32ai_4)
1.00 0.86 3.12 ^ _3989_/Y (sky130_fd_sc_hd__o32ai_4)
8 0.10 _0635_ (net)
1.00 0.02 3.14 ^ _3990_/A (sky130_fd_sc_hd__clkinv_4)
0.25 0.28 3.43 v _3990_/Y (sky130_fd_sc_hd__clkinv_4)
4 0.05 net244 (net)
0.25 0.00 3.43 v _5097_/A1 (sky130_fd_sc_hd__mux2_2)
0.09 0.42 3.84 v _5097_/X (sky130_fd_sc_hd__mux2_2)
3 0.02 _1550_ (net)
0.09 0.00 3.85 v _5098_/A (sky130_fd_sc_hd__buf_4)
0.08 0.22 4.06 v _5098_/X (sky130_fd_sc_hd__buf_4)
10 0.06 _1551_ (net)
0.08 0.00 4.07 v _5618_/A2 (sky130_fd_sc_hd__a31o_1)
0.06 0.25 4.31 v _5618_/X (sky130_fd_sc_hd__a31o_1)
2 0.01 _1959_ (net)
0.06 0.00 4.32 v _5620_/B1 (sky130_fd_sc_hd__a21o_1)
0.04 0.17 4.49 v _5620_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _1961_ (net)
0.04 0.00 4.49 v _5622_/A2 (sky130_fd_sc_hd__a21o_1)
0.05 0.20 4.69 v _5622_/X (sky130_fd_sc_hd__a21o_1)
3 0.01 _1963_ (net)
0.05 0.00 4.69 v _5636_/A2 (sky130_fd_sc_hd__a21o_1)
0.06 0.21 4.90 v _5636_/X (sky130_fd_sc_hd__a21o_1)
3 0.01 _1977_ (net)
0.06 0.00 4.90 v _5644_/C (sky130_fd_sc_hd__and3_1)
0.06 0.22 5.12 v _5644_/X (sky130_fd_sc_hd__and3_1)
3 0.01 _1985_ (net)
0.06 0.00 5.12 v _5678_/A (sky130_fd_sc_hd__or4_2)
0.15 0.77 5.90 v _5678_/X (sky130_fd_sc_hd__or4_2)
4 0.02 _2019_ (net)
0.15 0.00 5.90 v _5837_/A1 (sky130_fd_sc_hd__a211oi_1)
0.33 0.37 6.26 ^ _5837_/Y (sky130_fd_sc_hd__a211oi_1)
2 0.01 _2178_ (net)
0.33 0.00 6.26 ^ _5838_/C (sky130_fd_sc_hd__nor3_2)
0.09 0.12 6.39 v _5838_/Y (sky130_fd_sc_hd__nor3_2)
4 0.01 _2179_ (net)
0.09 0.00 6.39 v _5888_/B1 (sky130_fd_sc_hd__a211oi_1)
0.36 0.38 6.77 ^ _5888_/Y (sky130_fd_sc_hd__a211oi_1)
3 0.01 _2229_ (net)
0.36 0.00 6.77 ^ _5891_/A1 (sky130_fd_sc_hd__o211ai_1)
0.11 0.18 6.95 v _5891_/Y (sky130_fd_sc_hd__o211ai_1)
2 0.01 _2232_ (net)
0.11 0.00 6.95 v _5926_/B (sky130_fd_sc_hd__and3_1)
0.07 0.23 7.18 v _5926_/X (sky130_fd_sc_hd__and3_1)
4 0.01 _2267_ (net)
0.07 0.00 7.18 v _5928_/A (sky130_fd_sc_hd__nor2_1)
0.30 0.28 7.47 ^ _5928_/Y (sky130_fd_sc_hd__nor2_1)
3 0.02 _2269_ (net)
0.30 0.00 7.47 ^ _6121_/A1 (sky130_fd_sc_hd__a41o_1)
0.19 0.33 7.80 ^ _6121_/X (sky130_fd_sc_hd__a41o_1)
4 0.02 _2462_ (net)
0.19 0.00 7.80 ^ _6279_/A2 (sky130_fd_sc_hd__a21oi_1)
0.09 0.11 7.91 v _6279_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.00 _2620_ (net)
0.09 0.00 7.91 v _6339_/A1 (sky130_fd_sc_hd__o311a_2)
0.11 0.50 8.41 v _6339_/X (sky130_fd_sc_hd__o311a_2)
2 0.03 _2680_ (net)
0.11 0.00 8.42 v _6383_/B1 (sky130_fd_sc_hd__a211o_4)
0.09 0.40 8.81 v _6383_/X (sky130_fd_sc_hd__a211o_4)
5 0.03 _2724_ (net)
0.09 0.00 8.81 v _6829_/B1 (sky130_fd_sc_hd__a22o_4)
0.08 0.29 9.10 v _6829_/X (sky130_fd_sc_hd__a22o_4)
3 0.04 _3151_ (net)
0.08 0.01 9.11 v _6830_/B (sky130_fd_sc_hd__nand2_1)
0.05 0.09 9.20 ^ _6830_/Y (sky130_fd_sc_hd__nand2_1)
1 0.00 _3152_ (net)
0.05 0.00 9.20 ^ _6834_/A2 (sky130_fd_sc_hd__a31oi_1)
0.07 0.09 9.29 v _6834_/Y (sky130_fd_sc_hd__a31oi_1)
1 0.00 _3156_ (net)
0.07 0.00 9.29 v _6836_/A2 (sky130_fd_sc_hd__o211a_1)
0.04 0.22 9.51 v _6836_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _0253_ (net)
0.04 0.00 9.51 v _8022_/D (sky130_fd_sc_hd__dfxtp_4)
9.51 data arrival time
10.00 10.00 clock i_clk (rise edge)
0.00 10.00 clock source latency
0.20 0.14 10.14 ^ i_clk (in)
1 0.04 i_clk (net)
0.20 0.00 10.14 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.17 10.31 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_i_clk (net)
0.05 0.00 10.31 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.13 10.44 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.02 clknet_1_1_0_i_clk (net)
0.06 0.00 10.44 ^ clkbuf_2_2_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.05 0.13 10.56 ^ clkbuf_2_2_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.02 clknet_2_2_0_i_clk (net)
0.05 0.00 10.57 ^ clkbuf_3_4_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.19 0.22 10.79 ^ clkbuf_3_4_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
10 0.11 clknet_3_4_0_i_clk (net)
0.19 0.00 10.79 ^ clkbuf_leaf_57_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.17 10.96 ^ clkbuf_leaf_57_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
7 0.02 clknet_leaf_57_i_clk (net)
0.05 0.00 10.96 ^ _8022_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.25 10.71 clock uncertainty
0.03 10.74 clock reconvergence pessimism
-0.11 10.63 library setup time
10.63 data required time
-----------------------------------------------------------------------------
10.63 data required time
-9.51 data arrival time
-----------------------------------------------------------------------------
1.12 slack (MET)
Startpoint: _7909_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _8003_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.20 0.15 0.15 ^ i_clk (in)
1 0.04 i_clk (net)
0.20 0.00 0.15 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.19 0.34 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_i_clk (net)
0.05 0.00 0.34 ^ clkbuf_1_0_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.15 0.48 ^ clkbuf_1_0_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.03 clknet_1_0_0_i_clk (net)
0.06 0.00 0.49 ^ clkbuf_2_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.05 0.14 0.63 ^ clkbuf_2_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.02 clknet_2_1_0_i_clk (net)
0.05 0.00 0.63 ^ clkbuf_3_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.16 0.23 0.86 ^ clkbuf_3_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
9 0.09 clknet_3_3_0_i_clk (net)
0.16 0.00 0.86 ^ clkbuf_leaf_7_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.17 1.04 ^ clkbuf_leaf_7_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
7 0.02 clknet_leaf_7_i_clk (net)
0.04 0.00 1.04 ^ _7909_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.11 0.37 1.40 ^ _7909_/Q (sky130_fd_sc_hd__dfxtp_1)
3 0.01 decode.oc_r_reg_sel[0] (net)
0.11 0.00 1.40 ^ _3848_/A (sky130_fd_sc_hd__buf_2)
0.17 0.23 1.63 ^ _3848_/X (sky130_fd_sc_hd__buf_2)
10 0.03 _0505_ (net)
0.17 0.00 1.63 ^ _3986_/A_N (sky130_fd_sc_hd__and4b_1)
0.04 0.22 1.86 v _3986_/X (sky130_fd_sc_hd__and4b_1)
1 0.00 _0632_ (net)
0.04 0.00 1.86 v _3988_/C1 (sky130_fd_sc_hd__a2111o_1)
0.09 0.40 2.26 v _3988_/X (sky130_fd_sc_hd__a2111o_1)
1 0.01 _0634_ (net)
0.09 0.00 2.26 v _3989_/A3 (sky130_fd_sc_hd__o32ai_4)
1.00 0.86 3.12 ^ _3989_/Y (sky130_fd_sc_hd__o32ai_4)
8 0.10 _0635_ (net)
1.00 0.02 3.14 ^ _3990_/A (sky130_fd_sc_hd__clkinv_4)
0.25 0.28 3.43 v _3990_/Y (sky130_fd_sc_hd__clkinv_4)
4 0.05 net244 (net)
0.25 0.00 3.43 v _5097_/A1 (sky130_fd_sc_hd__mux2_2)
0.09 0.42 3.84 v _5097_/X (sky130_fd_sc_hd__mux2_2)
3 0.02 _1550_ (net)
0.09 0.00 3.85 v _5098_/A (sky130_fd_sc_hd__buf_4)
0.08 0.22 4.06 v _5098_/X (sky130_fd_sc_hd__buf_4)
10 0.06 _1551_ (net)
0.08 0.00 4.07 v _5618_/A2 (sky130_fd_sc_hd__a31o_1)
0.06 0.25 4.31 v _5618_/X (sky130_fd_sc_hd__a31o_1)
2 0.01 _1959_ (net)
0.06 0.00 4.32 v _5620_/B1 (sky130_fd_sc_hd__a21o_1)
0.04 0.17 4.49 v _5620_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _1961_ (net)
0.04 0.00 4.49 v _5622_/A2 (sky130_fd_sc_hd__a21o_1)
0.05 0.20 4.69 v _5622_/X (sky130_fd_sc_hd__a21o_1)
3 0.01 _1963_ (net)
0.05 0.00 4.69 v _5636_/A2 (sky130_fd_sc_hd__a21o_1)
0.06 0.21 4.90 v _5636_/X (sky130_fd_sc_hd__a21o_1)
3 0.01 _1977_ (net)
0.06 0.00 4.90 v _5644_/C (sky130_fd_sc_hd__and3_1)
0.06 0.22 5.12 v _5644_/X (sky130_fd_sc_hd__and3_1)
3 0.01 _1985_ (net)
0.06 0.00 5.12 v _5678_/A (sky130_fd_sc_hd__or4_2)
0.15 0.77 5.90 v _5678_/X (sky130_fd_sc_hd__or4_2)
4 0.02 _2019_ (net)
0.15 0.00 5.90 v _5837_/A1 (sky130_fd_sc_hd__a211oi_1)
0.33 0.37 6.26 ^ _5837_/Y (sky130_fd_sc_hd__a211oi_1)
2 0.01 _2178_ (net)
0.33 0.00 6.26 ^ _5838_/C (sky130_fd_sc_hd__nor3_2)
0.09 0.12 6.39 v _5838_/Y (sky130_fd_sc_hd__nor3_2)
4 0.01 _2179_ (net)
0.09 0.00 6.39 v _5888_/B1 (sky130_fd_sc_hd__a211oi_1)
0.36 0.38 6.77 ^ _5888_/Y (sky130_fd_sc_hd__a211oi_1)
3 0.01 _2229_ (net)
0.36 0.00 6.77 ^ _5891_/A1 (sky130_fd_sc_hd__o211ai_1)
0.11 0.18 6.95 v _5891_/Y (sky130_fd_sc_hd__o211ai_1)
2 0.01 _2232_ (net)
0.11 0.00 6.95 v _5926_/B (sky130_fd_sc_hd__and3_1)
0.07 0.23 7.18 v _5926_/X (sky130_fd_sc_hd__and3_1)
4 0.01 _2267_ (net)
0.07 0.00 7.18 v _5928_/A (sky130_fd_sc_hd__nor2_1)
0.30 0.28 7.47 ^ _5928_/Y (sky130_fd_sc_hd__nor2_1)
3 0.02 _2269_ (net)
0.30 0.00 7.47 ^ _6121_/A1 (sky130_fd_sc_hd__a41o_1)
0.19 0.33 7.80 ^ _6121_/X (sky130_fd_sc_hd__a41o_1)
4 0.02 _2462_ (net)
0.19 0.00 7.80 ^ _6279_/A2 (sky130_fd_sc_hd__a21oi_1)
0.09 0.11 7.91 v _6279_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.00 _2620_ (net)
0.09 0.00 7.91 v _6339_/A1 (sky130_fd_sc_hd__o311a_2)
0.11 0.50 8.41 v _6339_/X (sky130_fd_sc_hd__o311a_2)
2 0.03 _2680_ (net)
0.11 0.00 8.42 v _6383_/B1 (sky130_fd_sc_hd__a211o_4)
0.09 0.40 8.81 v _6383_/X (sky130_fd_sc_hd__a211o_4)
5 0.03 _2724_ (net)
0.09 0.00 8.81 v _6654_/B (sky130_fd_sc_hd__or4_1)
0.09 0.55 9.36 v _6654_/X (sky130_fd_sc_hd__or4_1)
1 0.00 _2995_ (net)
0.09 0.00 9.36 v _6655_/C1 (sky130_fd_sc_hd__o211ai_1)
0.15 0.11 9.47 ^ _6655_/Y (sky130_fd_sc_hd__o211ai_1)
1 0.00 _2996_ (net)
0.15 0.00 9.47 ^ _6656_/B1 (sky130_fd_sc_hd__o211a_1)
0.04 0.17 9.64 ^ _6656_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _0234_ (net)
0.04 0.00 9.64 ^ _8003_/D (sky130_fd_sc_hd__dfxtp_2)
9.64 data arrival time
10.00 10.00 clock i_clk (rise edge)
0.00 10.00 clock source latency
0.20 0.14 10.14 ^ i_clk (in)
1 0.04 i_clk (net)
0.20 0.00 10.14 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.17 10.31 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_i_clk (net)
0.05 0.00 10.31 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.13 10.44 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.02 clknet_1_1_0_i_clk (net)
0.06 0.00 10.44 ^ clkbuf_2_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.05 0.13 10.57 ^ clkbuf_2_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.02 clknet_2_3_0_i_clk (net)
0.05 0.00 10.57 ^ clkbuf_3_6_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.27 0.28 10.85 ^ clkbuf_3_6_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
14 0.16 clknet_3_6_0_i_clk (net)
0.27 0.00 10.85 ^ clkbuf_leaf_18_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.19 11.04 ^ clkbuf_leaf_18_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
7 0.02 clknet_leaf_18_i_clk (net)
0.05 0.00 11.04 ^ _8003_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.25 10.79 clock uncertainty
0.03 10.83 clock reconvergence pessimism
-0.06 10.77 library setup time
10.77 data required time
-----------------------------------------------------------------------------
10.77 data required time
-9.64 data arrival time
-----------------------------------------------------------------------------
1.12 slack (MET)
max_report_end
check_report
===========================================================================
report_checks -unconstrained
============================================================================
Startpoint: _7909_ (rising edge-triggered flip-flop clocked by i_clk)
Endpoint: _8232_ (rising edge-triggered flip-flop clocked by i_clk)
Path Group: i_clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock i_clk (rise edge)
0.00 0.00 clock source latency
0.20 0.15 0.15 ^ i_clk (in)
1 0.04 i_clk (net)
0.20 0.00 0.15 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.19 0.34 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_i_clk (net)
0.05 0.00 0.34 ^ clkbuf_1_0_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.15 0.48 ^ clkbuf_1_0_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.03 clknet_1_0_0_i_clk (net)
0.06 0.00 0.49 ^ clkbuf_2_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.05 0.14 0.63 ^ clkbuf_2_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.02 clknet_2_1_0_i_clk (net)
0.05 0.00 0.63 ^ clkbuf_3_3_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.16 0.23 0.86 ^ clkbuf_3_3_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
9 0.09 clknet_3_3_0_i_clk (net)
0.16 0.00 0.86 ^ clkbuf_leaf_7_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.17 1.04 ^ clkbuf_leaf_7_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
7 0.02 clknet_leaf_7_i_clk (net)
0.04 0.00 1.04 ^ _7909_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.11 0.37 1.40 ^ _7909_/Q (sky130_fd_sc_hd__dfxtp_1)
3 0.01 decode.oc_r_reg_sel[0] (net)
0.11 0.00 1.40 ^ _3848_/A (sky130_fd_sc_hd__buf_2)
0.17 0.23 1.63 ^ _3848_/X (sky130_fd_sc_hd__buf_2)
10 0.03 _0505_ (net)
0.17 0.00 1.63 ^ _3986_/A_N (sky130_fd_sc_hd__and4b_1)
0.04 0.22 1.86 v _3986_/X (sky130_fd_sc_hd__and4b_1)
1 0.00 _0632_ (net)
0.04 0.00 1.86 v _3988_/C1 (sky130_fd_sc_hd__a2111o_1)
0.09 0.40 2.26 v _3988_/X (sky130_fd_sc_hd__a2111o_1)
1 0.01 _0634_ (net)
0.09 0.00 2.26 v _3989_/A3 (sky130_fd_sc_hd__o32ai_4)
1.00 0.86 3.12 ^ _3989_/Y (sky130_fd_sc_hd__o32ai_4)
8 0.10 _0635_ (net)
1.00 0.02 3.14 ^ _3990_/A (sky130_fd_sc_hd__clkinv_4)
0.25 0.28 3.43 v _3990_/Y (sky130_fd_sc_hd__clkinv_4)
4 0.05 net244 (net)
0.25 0.00 3.43 v _5097_/A1 (sky130_fd_sc_hd__mux2_2)
0.09 0.42 3.84 v _5097_/X (sky130_fd_sc_hd__mux2_2)
3 0.02 _1550_ (net)
0.09 0.00 3.85 v _5098_/A (sky130_fd_sc_hd__buf_4)
0.08 0.22 4.06 v _5098_/X (sky130_fd_sc_hd__buf_4)
10 0.06 _1551_ (net)
0.08 0.00 4.07 v _5618_/A2 (sky130_fd_sc_hd__a31o_1)
0.06 0.25 4.31 v _5618_/X (sky130_fd_sc_hd__a31o_1)
2 0.01 _1959_ (net)
0.06 0.00 4.32 v _5620_/B1 (sky130_fd_sc_hd__a21o_1)
0.04 0.17 4.49 v _5620_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _1961_ (net)
0.04 0.00 4.49 v _5622_/A2 (sky130_fd_sc_hd__a21o_1)
0.05 0.20 4.69 v _5622_/X (sky130_fd_sc_hd__a21o_1)
3 0.01 _1963_ (net)
0.05 0.00 4.69 v _5636_/A2 (sky130_fd_sc_hd__a21o_1)
0.06 0.21 4.90 v _5636_/X (sky130_fd_sc_hd__a21o_1)
3 0.01 _1977_ (net)
0.06 0.00 4.90 v _5644_/C (sky130_fd_sc_hd__and3_1)
0.06 0.22 5.12 v _5644_/X (sky130_fd_sc_hd__and3_1)
3 0.01 _1985_ (net)
0.06 0.00 5.12 v _5678_/A (sky130_fd_sc_hd__or4_2)
0.15 0.77 5.90 v _5678_/X (sky130_fd_sc_hd__or4_2)
4 0.02 _2019_ (net)
0.15 0.00 5.90 v _5837_/A1 (sky130_fd_sc_hd__a211oi_1)
0.33 0.37 6.26 ^ _5837_/Y (sky130_fd_sc_hd__a211oi_1)
2 0.01 _2178_ (net)
0.33 0.00 6.26 ^ _5838_/C (sky130_fd_sc_hd__nor3_2)
0.09 0.12 6.39 v _5838_/Y (sky130_fd_sc_hd__nor3_2)
4 0.01 _2179_ (net)
0.09 0.00 6.39 v _5888_/B1 (sky130_fd_sc_hd__a211oi_1)
0.36 0.38 6.77 ^ _5888_/Y (sky130_fd_sc_hd__a211oi_1)
3 0.01 _2229_ (net)
0.36 0.00 6.77 ^ _5891_/A1 (sky130_fd_sc_hd__o211ai_1)
0.11 0.18 6.95 v _5891_/Y (sky130_fd_sc_hd__o211ai_1)
2 0.01 _2232_ (net)
0.11 0.00 6.95 v _5926_/B (sky130_fd_sc_hd__and3_1)
0.07 0.23 7.18 v _5926_/X (sky130_fd_sc_hd__and3_1)
4 0.01 _2267_ (net)
0.07 0.00 7.18 v _5928_/A (sky130_fd_sc_hd__nor2_1)
0.30 0.28 7.47 ^ _5928_/Y (sky130_fd_sc_hd__nor2_1)
3 0.02 _2269_ (net)
0.30 0.00 7.47 ^ _6121_/A1 (sky130_fd_sc_hd__a41o_1)
0.19 0.33 7.80 ^ _6121_/X (sky130_fd_sc_hd__a41o_1)
4 0.02 _2462_ (net)
0.19 0.00 7.80 ^ _6279_/A2 (sky130_fd_sc_hd__a21oi_1)
0.09 0.11 7.91 v _6279_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.00 _2620_ (net)
0.09 0.00 7.91 v _6339_/A1 (sky130_fd_sc_hd__o311a_2)
0.11 0.50 8.41 v _6339_/X (sky130_fd_sc_hd__o311a_2)
2 0.03 _2680_ (net)
0.11 0.00 8.42 v _6383_/B1 (sky130_fd_sc_hd__a211o_4)
0.09 0.40 8.81 v _6383_/X (sky130_fd_sc_hd__a211o_4)
5 0.03 _2724_ (net)
0.09 0.00 8.81 v _6829_/B1 (sky130_fd_sc_hd__a22o_4)
0.08 0.29 9.10 v _6829_/X (sky130_fd_sc_hd__a22o_4)
3 0.04 _3151_ (net)
0.08 0.01 9.11 v _7644_/B2 (sky130_fd_sc_hd__a2bb2o_1)
0.05 0.27 9.38 v _7644_/X (sky130_fd_sc_hd__a2bb2o_1)
1 0.00 _3756_ (net)
0.05 0.00 9.38 v _7645_/A1 (sky130_fd_sc_hd__mux2_1)
0.08 0.35 9.73 v _7645_/X (sky130_fd_sc_hd__mux2_1)
1 0.01 _3757_ (net)
0.08 0.00 9.73 v _7646_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.30 10.03 v _7646_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _3758_ (net)
0.05 0.00 10.03 v _7647_/A (sky130_fd_sc_hd__clkbuf_1)
0.03 0.10 10.13 v _7647_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 _0462_ (net)
0.03 0.00 10.13 v _8232_/D (sky130_fd_sc_hd__dfxtp_1)
10.13 data arrival time
10.00 10.00 clock i_clk (rise edge)
0.00 10.00 clock source latency
0.20 0.14 10.14 ^ i_clk (in)
1 0.04 i_clk (net)
0.20 0.00 10.14 ^ clkbuf_0_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.17 10.31 ^ clkbuf_0_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_i_clk (net)
0.05 0.00 10.31 ^ clkbuf_1_1_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.13 10.44 ^ clkbuf_1_1_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.02 clknet_1_1_0_i_clk (net)
0.06 0.00 10.44 ^ clkbuf_2_2_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.05 0.13 10.56 ^ clkbuf_2_2_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.02 clknet_2_2_0_i_clk (net)
0.05 0.00 10.57 ^ clkbuf_3_5_0_i_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.27 0.28 10.84 ^ clkbuf_3_5_0_i_clk/X (sky130_fd_sc_hd__clkbuf_8)
15 0.16 clknet_3_5_0_i_clk (net)
0.27 0.00 10.85 ^ clkbuf_leaf_58_i_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.19 11.03 ^ clkbuf_leaf_58_i_clk/X (sky130_fd_sc_hd__clkbuf_16)
6 0.02 clknet_leaf_58_i_clk (net)
0.04 0.00 11.03 ^ _8232_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 10.78 clock uncertainty
0.03 10.82 clock reconvergence pessimism
-0.10 10.71 library setup time
10.71 data required time
-----------------------------------------------------------------------------
10.71 data required time
-10.13 data arrival time
-----------------------------------------------------------------------------
0.58 slack (MET)
===========================================================================
report_checks --slack_max -0.01
============================================================================
No paths found.
check_report_end
check_slew
===========================================================================
report_check_types -max_slew -max_cap -max_fanout -violators
============================================================================
max fanout
Pin Limit Fanout Slack
---------------------------------------------------------
clkbuf_3_7_0_i_clk/X 10 18 -8 (VIOLATED)
clkbuf_3_5_0_i_clk/X 10 15 -5 (VIOLATED)
clkbuf_3_6_0_i_clk/X 10 14 -4 (VIOLATED)
clkbuf_3_1_0_i_clk/X 10 12 -2 (VIOLATED)
===========================================================================
max slew violation count 0
max fanout violation count 4
max cap violation count 0
============================================================================
check_slew_end
tns_report
===========================================================================
report_tns
============================================================================
tns 0.00
tns_report_end
wns_report
===========================================================================
report_wns
============================================================================
wns 0.00
wns_report_end
worst_slack
===========================================================================
report_worst_slack -max (Setup)
============================================================================
worst slack 0.58
===========================================================================
report_worst_slack -min (Hold)
============================================================================
worst slack 0.15
worst_slack_end
clock_skew
===========================================================================
report_clock_skew
============================================================================
Clock i_clk
Latency CRPR Skew
_8248_/CLK ^
1.21
_7911_/CLK ^
0.78 -0.03 0.39
clock_skew_end
power_report
===========================================================================
report_power
============================================================================
Group Internal Switching Leakage Total
Power Power Power Power (Watts)
----------------------------------------------------------------
Sequential 2.16e-03 2.00e-04 4.26e-09 2.36e-03 27.9%
Combinational 3.15e-03 2.93e-03 1.80e-08 6.08e-03 72.1%
Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
----------------------------------------------------------------
Total 5.31e-03 3.13e-03 2.22e-08 8.44e-03 100.0%
62.9% 37.1% 0.0%
power_report_end
area_report
===========================================================================
report_design_area
============================================================================
Design area 49776 u^2 21% utilization.
area_report_end
Setting global connections for newly added cells...
Writing OpenROAD database to /home/piotro/caravel_user_project/openlane/core/runs/22_12_26_18_52/tmp/12-core.odb...
Writing netlist to /home/piotro/caravel_user_project/openlane/core/runs/22_12_26_18_52/tmp/12-core.nl.v...
Writing powered netlist to /home/piotro/caravel_user_project/openlane/core/runs/22_12_26_18_52/tmp/12-core.pnl.v...
Writing layout to /home/piotro/caravel_user_project/openlane/core/runs/22_12_26_18_52/tmp/12-core.def...
Writing timing constraints to /home/piotro/caravel_user_project/openlane/core/runs/22_12_26_18_52/tmp/12-core.sdc...