blob: 847ad3c09e782ba9f70a6a582c3f820fdaafa9af [file] [log] [blame]
*SPEF "ieee 1481-1999"
*DESIGN "divider"
*DATE "11:11:11 Fri 11 11, 1111"
*VENDOR "OpenRCX"
*PROGRAM "Parallel Extraction"
*VERSION "1.0"
*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE"
*DIVIDER /
*DELIMITER :
*BUS_DELIMITER []
*T_UNIT 1 NS
*C_UNIT 1 PF
*R_UNIT 1 OHM
*L_UNIT 1 HENRY
*NAME_MAP
*1 clk
*2 cout1
*3 cout10
*4 cout2
*5 cout3
*6 cout4
*7 cout5
*8 cout6
*9 cout7
*10 cout8
*11 cout9
*14 _0000_
*15 _0001_
*16 _0002_
*17 _0003_
*18 _0004_
*19 _0005_
*20 _0006_
*21 _0007_
*22 _0008_
*23 _0009_
*24 _0010_
*25 _0011_
*26 _0012_
*27 _0013_
*28 _0014_
*29 _0015_
*30 _0037_
*31 _0038_
*32 _0039_
*33 _0040_
*34 _0041_
*35 _0042_
*36 _0065_
*37 _0066_
*38 _0067_
*39 _0068_
*40 _0069_
*41 _0093_
*42 _0094_
*43 _0095_
*44 _0096_
*45 _0121_
*46 _0122_
*47 _0123_
*48 _0149_
*49 _0150_
*50 _0177_
*51 _0205_
*52 _0206_
*53 _0207_
*54 _0208_
*55 _0209_
*56 _0210_
*57 _0211_
*58 _0212_
*59 _0213_
*60 _0214_
*61 _0233_
*62 _0234_
*63 _0235_
*64 _0236_
*65 _0237_
*66 _0238_
*67 _0239_
*68 _0240_
*69 _0241_
*70 _0261_
*71 _0262_
*72 _0263_
*73 _0264_
*74 _0265_
*75 _0266_
*76 _0267_
*77 _0268_
*78 _0289_
*79 _0290_
*80 _0291_
*81 _0292_
*82 _0293_
*83 _0294_
*84 _0295_
*85 _0296_
*86 _0297_
*87 _0298_
*88 _0299_
*89 _0300_
*90 _0301_
*91 _0302_
*92 _0303_
*93 _0304_
*94 _0305_
*95 _0306_
*96 _0307_
*97 _0308_
*98 _0309_
*99 _0310_
*100 _0311_
*101 _0312_
*102 _0313_
*103 _0314_
*104 _0315_
*105 _0316_
*106 _0317_
*107 _0318_
*108 _0319_
*109 _0320_
*110 _0321_
*111 _0322_
*112 _0323_
*113 _0324_
*114 _0325_
*115 _0326_
*116 _0327_
*117 _0328_
*118 _0329_
*119 _0330_
*120 _0331_
*121 _0332_
*122 _0333_
*123 _0334_
*124 _0335_
*125 _0336_
*126 _0337_
*127 _0338_
*128 _0339_
*129 _0340_
*130 _0341_
*131 _0342_
*132 _0343_
*133 _0344_
*134 _0345_
*135 _0346_
*136 _0347_
*137 _0348_
*138 _0349_
*139 _0350_
*140 _0351_
*141 _0352_
*142 _0353_
*143 _0354_
*144 _0355_
*145 _0356_
*146 _0357_
*147 _0358_
*148 _0359_
*149 _0360_
*150 _0361_
*151 _0362_
*152 _0363_
*153 _0364_
*154 _0365_
*155 _0366_
*156 _0367_
*157 _0368_
*158 _0369_
*159 _0370_
*160 _0371_
*161 _0372_
*162 _0373_
*163 _0374_
*164 _0375_
*165 _0376_
*166 _0377_
*167 _0378_
*168 _0379_
*169 _0380_
*170 _0381_
*171 _0382_
*172 _0383_
*173 _0384_
*174 _0385_
*175 _0386_
*176 _0387_
*177 _0388_
*178 _0389_
*179 _0390_
*180 _0391_
*181 _0392_
*182 _0393_
*183 _0394_
*184 _0395_
*185 _0396_
*186 _0397_
*187 _0398_
*188 _0399_
*189 _0400_
*190 _0401_
*191 _0402_
*192 _0403_
*193 _0404_
*194 _0405_
*195 _0406_
*196 _0407_
*197 _0408_
*198 _0409_
*199 _0410_
*200 _0411_
*201 _0412_
*202 _0413_
*203 _0414_
*204 _0415_
*205 _0416_
*206 _0417_
*207 _0418_
*208 _0419_
*209 _0420_
*210 _0421_
*211 _0422_
*212 _0423_
*213 _0424_
*214 _0425_
*215 _0426_
*216 _0427_
*217 _0428_
*218 _0429_
*219 _0430_
*220 _0431_
*221 _0432_
*222 _0433_
*223 _0434_
*224 _0435_
*225 _0436_
*226 _0437_
*227 _0438_
*228 _0439_
*229 _0440_
*230 _0441_
*231 _0442_
*232 _0443_
*233 _0444_
*234 _0445_
*235 _0446_
*236 _0447_
*237 _0448_
*238 _0449_
*239 _0450_
*240 _0451_
*241 _0452_
*242 _0453_
*243 _0454_
*244 _0455_
*245 _0456_
*246 _0457_
*247 _0458_
*248 _0459_
*249 _0460_
*250 _0461_
*251 _0462_
*252 _0463_
*253 _0464_
*254 _0465_
*255 _0466_
*256 _0467_
*257 _0468_
*258 _0469_
*259 _0470_
*260 _0471_
*261 _0472_
*262 _0473_
*263 _0474_
*264 _0475_
*265 _0476_
*266 _0477_
*267 _0478_
*268 _0479_
*269 _0480_
*270 _0481_
*271 _0482_
*272 _0483_
*273 _0484_
*274 _0485_
*275 _0486_
*276 _0487_
*277 _0488_
*278 _0489_
*279 _0490_
*280 _0491_
*281 _0492_
*282 _0493_
*283 _0494_
*284 _0495_
*285 _0496_
*286 _0497_
*287 _0498_
*288 _0499_
*289 _0500_
*290 clknet_0_clk
*291 clknet_1_0__leaf_clk
*292 clknet_1_1__leaf_clk
*293 clknet_leaf_0_clk
*294 clknet_leaf_10_clk
*295 clknet_leaf_11_clk
*296 clknet_leaf_12_clk
*297 clknet_leaf_13_clk
*298 clknet_leaf_14_clk
*299 clknet_leaf_15_clk
*300 clknet_leaf_16_clk
*301 clknet_leaf_17_clk
*302 clknet_leaf_18_clk
*303 clknet_leaf_19_clk
*304 clknet_leaf_1_clk
*305 clknet_leaf_20_clk
*306 clknet_leaf_21_clk
*307 clknet_leaf_22_clk
*308 clknet_leaf_23_clk
*309 clknet_leaf_24_clk
*310 clknet_leaf_25_clk
*311 clknet_leaf_26_clk
*312 clknet_leaf_27_clk
*313 clknet_leaf_2_clk
*314 clknet_leaf_3_clk
*315 clknet_leaf_4_clk
*316 clknet_leaf_5_clk
*317 clknet_leaf_6_clk
*318 clknet_leaf_7_clk
*319 clknet_leaf_8_clk
*320 clknet_leaf_9_clk
*321 clknet_opt_1_0_clk
*322 clknet_opt_2_0_clk
*323 clknet_opt_3_0_clk
*324 counter10\[0\]
*325 counter10\[10\]
*326 counter10\[11\]
*327 counter10\[12\]
*328 counter10\[13\]
*329 counter10\[14\]
*330 counter10\[15\]
*331 counter10\[16\]
*332 counter10\[17\]
*333 counter10\[18\]
*334 counter10\[19\]
*335 counter10\[1\]
*336 counter10\[20\]
*337 counter10\[21\]
*338 counter10\[22\]
*339 counter10\[23\]
*340 counter10\[24\]
*341 counter10\[25\]
*342 counter10\[26\]
*343 counter10\[27\]
*344 counter10\[2\]
*345 counter10\[3\]
*346 counter10\[4\]
*347 counter10\[5\]
*348 counter10\[6\]
*349 counter10\[7\]
*350 counter10\[8\]
*351 counter10\[9\]
*352 counter2\[0\]
*353 counter2\[10\]
*354 counter2\[11\]
*355 counter2\[12\]
*356 counter2\[13\]
*357 counter2\[14\]
*358 counter2\[15\]
*359 counter2\[16\]
*360 counter2\[17\]
*361 counter2\[18\]
*362 counter2\[19\]
*363 counter2\[1\]
*364 counter2\[20\]
*365 counter2\[21\]
*366 counter2\[22\]
*367 counter2\[23\]
*368 counter2\[24\]
*369 counter2\[25\]
*370 counter2\[26\]
*371 counter2\[27\]
*372 counter2\[2\]
*373 counter2\[3\]
*374 counter2\[4\]
*375 counter2\[5\]
*376 counter2\[6\]
*377 counter2\[7\]
*378 counter2\[8\]
*379 counter2\[9\]
*380 counter3\[0\]
*381 counter3\[10\]
*382 counter3\[11\]
*383 counter3\[12\]
*384 counter3\[13\]
*385 counter3\[14\]
*386 counter3\[15\]
*387 counter3\[16\]
*388 counter3\[17\]
*389 counter3\[18\]
*390 counter3\[19\]
*391 counter3\[1\]
*392 counter3\[20\]
*393 counter3\[21\]
*394 counter3\[22\]
*395 counter3\[23\]
*396 counter3\[24\]
*397 counter3\[25\]
*398 counter3\[26\]
*399 counter3\[27\]
*400 counter3\[2\]
*401 counter3\[3\]
*402 counter3\[4\]
*403 counter3\[5\]
*404 counter3\[6\]
*405 counter3\[7\]
*406 counter3\[8\]
*407 counter3\[9\]
*408 counter4\[0\]
*409 counter4\[10\]
*410 counter4\[11\]
*411 counter4\[12\]
*412 counter4\[13\]
*413 counter4\[14\]
*414 counter4\[15\]
*415 counter4\[16\]
*416 counter4\[17\]
*417 counter4\[18\]
*418 counter4\[19\]
*419 counter4\[1\]
*420 counter4\[20\]
*421 counter4\[21\]
*422 counter4\[22\]
*423 counter4\[23\]
*424 counter4\[24\]
*425 counter4\[25\]
*426 counter4\[26\]
*427 counter4\[27\]
*428 counter4\[2\]
*429 counter4\[3\]
*430 counter4\[4\]
*431 counter4\[5\]
*432 counter4\[6\]
*433 counter4\[7\]
*434 counter4\[8\]
*435 counter4\[9\]
*436 counter5\[0\]
*437 counter5\[10\]
*438 counter5\[11\]
*439 counter5\[12\]
*440 counter5\[13\]
*441 counter5\[14\]
*442 counter5\[15\]
*443 counter5\[16\]
*444 counter5\[17\]
*445 counter5\[18\]
*446 counter5\[19\]
*447 counter5\[1\]
*448 counter5\[20\]
*449 counter5\[21\]
*450 counter5\[22\]
*451 counter5\[23\]
*452 counter5\[24\]
*453 counter5\[25\]
*454 counter5\[26\]
*455 counter5\[27\]
*456 counter5\[2\]
*457 counter5\[3\]
*458 counter5\[4\]
*459 counter5\[5\]
*460 counter5\[6\]
*461 counter5\[7\]
*462 counter5\[8\]
*463 counter5\[9\]
*464 counter6\[0\]
*465 counter6\[10\]
*466 counter6\[11\]
*467 counter6\[12\]
*468 counter6\[13\]
*469 counter6\[14\]
*470 counter6\[15\]
*471 counter6\[16\]
*472 counter6\[17\]
*473 counter6\[18\]
*474 counter6\[19\]
*475 counter6\[1\]
*476 counter6\[20\]
*477 counter6\[21\]
*478 counter6\[22\]
*479 counter6\[23\]
*480 counter6\[24\]
*481 counter6\[25\]
*482 counter6\[26\]
*483 counter6\[27\]
*484 counter6\[2\]
*485 counter6\[3\]
*486 counter6\[4\]
*487 counter6\[5\]
*488 counter6\[6\]
*489 counter6\[7\]
*490 counter6\[8\]
*491 counter6\[9\]
*492 counter7\[0\]
*493 counter7\[10\]
*494 counter7\[11\]
*495 counter7\[12\]
*496 counter7\[13\]
*497 counter7\[14\]
*498 counter7\[15\]
*499 counter7\[16\]
*500 counter7\[17\]
*501 counter7\[18\]
*502 counter7\[19\]
*503 counter7\[1\]
*504 counter7\[20\]
*505 counter7\[21\]
*506 counter7\[22\]
*507 counter7\[23\]
*508 counter7\[24\]
*509 counter7\[25\]
*510 counter7\[26\]
*511 counter7\[27\]
*512 counter7\[2\]
*513 counter7\[3\]
*514 counter7\[4\]
*515 counter7\[5\]
*516 counter7\[6\]
*517 counter7\[7\]
*518 counter7\[8\]
*519 counter7\[9\]
*520 counter8\[0\]
*521 counter8\[10\]
*522 counter8\[11\]
*523 counter8\[12\]
*524 counter8\[13\]
*525 counter8\[14\]
*526 counter8\[15\]
*527 counter8\[16\]
*528 counter8\[17\]
*529 counter8\[18\]
*530 counter8\[19\]
*531 counter8\[1\]
*532 counter8\[20\]
*533 counter8\[21\]
*534 counter8\[22\]
*535 counter8\[23\]
*536 counter8\[24\]
*537 counter8\[25\]
*538 counter8\[26\]
*539 counter8\[27\]
*540 counter8\[2\]
*541 counter8\[3\]
*542 counter8\[4\]
*543 counter8\[5\]
*544 counter8\[6\]
*545 counter8\[7\]
*546 counter8\[8\]
*547 counter8\[9\]
*548 counter9\[0\]
*549 counter9\[10\]
*550 counter9\[11\]
*551 counter9\[12\]
*552 counter9\[13\]
*553 counter9\[14\]
*554 counter9\[15\]
*555 counter9\[16\]
*556 counter9\[17\]
*557 counter9\[18\]
*558 counter9\[19\]
*559 counter9\[1\]
*560 counter9\[20\]
*561 counter9\[21\]
*562 counter9\[22\]
*563 counter9\[23\]
*564 counter9\[24\]
*565 counter9\[25\]
*566 counter9\[26\]
*567 counter9\[27\]
*568 counter9\[2\]
*569 counter9\[3\]
*570 counter9\[4\]
*571 counter9\[5\]
*572 counter9\[6\]
*573 counter9\[7\]
*574 counter9\[8\]
*575 counter9\[9\]
*576 counter\[0\]
*577 counter\[10\]
*578 counter\[11\]
*579 counter\[12\]
*580 counter\[13\]
*581 counter\[14\]
*582 counter\[15\]
*583 counter\[16\]
*584 counter\[17\]
*585 counter\[18\]
*586 counter\[19\]
*587 counter\[1\]
*588 counter\[20\]
*589 counter\[21\]
*590 counter\[22\]
*591 counter\[23\]
*592 counter\[24\]
*593 counter\[25\]
*594 counter\[26\]
*595 counter\[27\]
*596 counter\[2\]
*597 counter\[3\]
*598 counter\[4\]
*599 counter\[5\]
*600 counter\[6\]
*601 counter\[7\]
*602 counter\[8\]
*603 counter\[9\]
*604 net1
*605 net10
*606 net100
*607 net101
*608 net102
*609 net103
*610 net104
*611 net105
*612 net106
*613 net107
*614 net108
*615 net109
*616 net11
*617 net110
*618 net111
*619 net112
*620 net113
*621 net114
*622 net115
*623 net116
*624 net117
*625 net118
*626 net119
*627 net12
*628 net120
*629 net121
*630 net122
*631 net123
*632 net124
*633 net125
*634 net126
*635 net127
*636 net128
*637 net129
*638 net13
*639 net130
*640 net131
*641 net132
*642 net133
*643 net134
*644 net135
*645 net136
*646 net137
*647 net138
*648 net139
*649 net14
*650 net140
*651 net141
*652 net142
*653 net143
*654 net144
*655 net145
*656 net146
*657 net147
*658 net148
*659 net149
*660 net15
*661 net150
*662 net151
*663 net152
*664 net153
*665 net154
*666 net155
*667 net156
*668 net157
*669 net158
*670 net159
*671 net16
*672 net160
*673 net161
*674 net162
*675 net163
*676 net164
*677 net165
*678 net166
*679 net167
*680 net168
*681 net169
*682 net17
*683 net170
*684 net171
*685 net172
*686 net173
*687 net174
*688 net175
*689 net176
*690 net177
*691 net178
*692 net179
*693 net18
*694 net180
*695 net181
*696 net182
*697 net183
*698 net184
*699 net185
*700 net186
*701 net187
*702 net188
*703 net189
*704 net19
*705 net190
*706 net191
*707 net192
*708 net193
*709 net194
*710 net195
*711 net196
*712 net197
*713 net198
*714 net199
*715 net2
*716 net20
*717 net200
*718 net201
*719 net202
*720 net203
*721 net204
*722 net205
*723 net206
*724 net207
*725 net208
*726 net209
*727 net21
*728 net210
*729 net211
*730 net212
*731 net213
*732 net214
*733 net215
*734 net216
*735 net217
*736 net218
*737 net219
*738 net22
*739 net220
*740 net221
*741 net222
*742 net223
*743 net224
*744 net225
*745 net226
*746 net227
*747 net228
*748 net229
*749 net23
*750 net230
*751 net231
*752 net232
*753 net233
*754 net234
*755 net235
*756 net24
*757 net25
*758 net26
*759 net27
*760 net28
*761 net29
*762 net3
*763 net30
*764 net31
*765 net32
*766 net33
*767 net34
*768 net35
*769 net36
*770 net37
*771 net38
*772 net39
*773 net4
*774 net40
*775 net41
*776 net42
*777 net43
*778 net44
*779 net45
*780 net46
*781 net47
*782 net48
*783 net49
*784 net5
*785 net50
*786 net51
*787 net52
*788 net53
*789 net54
*790 net55
*791 net56
*792 net57
*793 net58
*794 net59
*795 net6
*796 net60
*797 net61
*798 net62
*799 net63
*800 net64
*801 net65
*802 net66
*803 net67
*804 net68
*805 net69
*806 net7
*807 net70
*808 net71
*809 net72
*810 net73
*811 net74
*812 net75
*813 net76
*814 net77
*815 net78
*816 net79
*817 net8
*818 net80
*819 net81
*820 net82
*821 net83
*822 net84
*823 net85
*824 net86
*825 net87
*826 net88
*827 net89
*828 net9
*829 net90
*830 net91
*831 net92
*832 net93
*833 net94
*834 net95
*835 net96
*836 net97
*837 net98
*838 net99
*839 ANTENNA_clkbuf_0_clk_A
*840 ANTENNA_clkbuf_1_0__f_clk_A
*841 ANTENNA_clkbuf_1_1__f_clk_A
*842 ANTENNA_clkbuf_leaf_0_clk_A
*843 ANTENNA_clkbuf_leaf_10_clk_A
*844 ANTENNA_clkbuf_leaf_11_clk_A
*845 ANTENNA_clkbuf_leaf_13_clk_A
*846 ANTENNA_clkbuf_leaf_14_clk_A
*847 ANTENNA_clkbuf_leaf_15_clk_A
*848 ANTENNA_clkbuf_leaf_16_clk_A
*849 ANTENNA_clkbuf_leaf_17_clk_A
*850 ANTENNA_clkbuf_leaf_18_clk_A
*851 ANTENNA_clkbuf_leaf_19_clk_A
*852 ANTENNA_clkbuf_leaf_1_clk_A
*853 ANTENNA_clkbuf_leaf_20_clk_A
*854 ANTENNA_clkbuf_leaf_22_clk_A
*855 ANTENNA_clkbuf_leaf_23_clk_A
*856 ANTENNA_clkbuf_leaf_24_clk_A
*857 ANTENNA_clkbuf_leaf_25_clk_A
*858 ANTENNA_clkbuf_leaf_26_clk_A
*859 ANTENNA_clkbuf_leaf_27_clk_A
*860 ANTENNA_clkbuf_leaf_2_clk_A
*861 ANTENNA_clkbuf_leaf_3_clk_A
*862 ANTENNA_clkbuf_leaf_4_clk_A
*863 ANTENNA_clkbuf_leaf_6_clk_A
*864 ANTENNA_clkbuf_leaf_7_clk_A
*865 ANTENNA_clkbuf_leaf_8_clk_A
*866 ANTENNA_clkbuf_leaf_9_clk_A
*867 ANTENNA_clkbuf_opt_1_0_clk_A
*868 ANTENNA_clkbuf_opt_2_0_clk_A
*869 ANTENNA_clkbuf_opt_3_0_clk_A
*870 ANTENNA_output1_A
*871 FILLER_0_109
*872 FILLER_0_113
*873 FILLER_0_125
*874 FILLER_0_137
*875 FILLER_0_141
*876 FILLER_0_153
*877 FILLER_0_165
*878 FILLER_0_169
*879 FILLER_0_181
*880 FILLER_0_193
*881 FILLER_0_197
*882 FILLER_0_209
*883 FILLER_0_21
*884 FILLER_0_221
*885 FILLER_0_225
*886 FILLER_0_231
*887 FILLER_0_243
*888 FILLER_0_251
*889 FILLER_0_253
*890 FILLER_0_265
*891 FILLER_0_27
*892 FILLER_0_277
*893 FILLER_0_281
*894 FILLER_0_29
*895 FILLER_0_293
*896 FILLER_0_3
*897 FILLER_0_305
*898 FILLER_0_309
*899 FILLER_0_321
*900 FILLER_0_333
*901 FILLER_0_337
*902 FILLER_0_349
*903 FILLER_0_361
*904 FILLER_0_365
*905 FILLER_0_377
*906 FILLER_0_389
*907 FILLER_0_393
*908 FILLER_0_405
*909 FILLER_0_41
*910 FILLER_0_417
*911 FILLER_0_421
*912 FILLER_0_433
*913 FILLER_0_445
*914 FILLER_0_449
*915 FILLER_0_455
*916 FILLER_0_467
*917 FILLER_0_475
*918 FILLER_0_477
*919 FILLER_0_489
*920 FILLER_0_501
*921 FILLER_0_505
*922 FILLER_0_517
*923 FILLER_0_529
*924 FILLER_0_53
*925 FILLER_0_533
*926 FILLER_0_545
*927 FILLER_0_557
*928 FILLER_0_561
*929 FILLER_0_57
*930 FILLER_0_573
*931 FILLER_0_585
*932 FILLER_0_589
*933 FILLER_0_601
*934 FILLER_0_613
*935 FILLER_0_617
*936 FILLER_0_69
*937 FILLER_0_81
*938 FILLER_0_85
*939 FILLER_0_9
*940 FILLER_0_97
*941 FILLER_100_109
*942 FILLER_100_121
*943 FILLER_100_133
*944 FILLER_100_139
*945 FILLER_100_141
*946 FILLER_100_15
*947 FILLER_100_153
*948 FILLER_100_165
*949 FILLER_100_177
*950 FILLER_100_189
*951 FILLER_100_195
*952 FILLER_100_197
*953 FILLER_100_209
*954 FILLER_100_221
*955 FILLER_100_233
*956 FILLER_100_245
*957 FILLER_100_251
*958 FILLER_100_253
*959 FILLER_100_265
*960 FILLER_100_27
*961 FILLER_100_277
*962 FILLER_100_289
*963 FILLER_100_29
*964 FILLER_100_3
*965 FILLER_100_301
*966 FILLER_100_307
*967 FILLER_100_309
*968 FILLER_100_321
*969 FILLER_100_333
*970 FILLER_100_345
*971 FILLER_100_357
*972 FILLER_100_363
*973 FILLER_100_365
*974 FILLER_100_377
*975 FILLER_100_389
*976 FILLER_100_401
*977 FILLER_100_41
*978 FILLER_100_413
*979 FILLER_100_419
*980 FILLER_100_421
*981 FILLER_100_433
*982 FILLER_100_445
*983 FILLER_100_457
*984 FILLER_100_469
*985 FILLER_100_475
*986 FILLER_100_477
*987 FILLER_100_489
*988 FILLER_100_501
*989 FILLER_100_513
*990 FILLER_100_525
*991 FILLER_100_53
*992 FILLER_100_531
*993 FILLER_100_533
*994 FILLER_100_545
*995 FILLER_100_557
*996 FILLER_100_569
*997 FILLER_100_581
*998 FILLER_100_587
*999 FILLER_100_589
*1000 FILLER_100_601
*1001 FILLER_100_613
*1002 FILLER_100_65
*1003 FILLER_100_77
*1004 FILLER_100_83
*1005 FILLER_100_85
*1006 FILLER_100_97
*1007 FILLER_101_109
*1008 FILLER_101_113
*1009 FILLER_101_125
*1010 FILLER_101_137
*1011 FILLER_101_141
*1012 FILLER_101_15
*1013 FILLER_101_153
*1014 FILLER_101_165
*1015 FILLER_101_169
*1016 FILLER_101_181
*1017 FILLER_101_193
*1018 FILLER_101_197
*1019 FILLER_101_209
*1020 FILLER_101_221
*1021 FILLER_101_225
*1022 FILLER_101_237
*1023 FILLER_101_249
*1024 FILLER_101_253
*1025 FILLER_101_265
*1026 FILLER_101_27
*1027 FILLER_101_277
*1028 FILLER_101_281
*1029 FILLER_101_29
*1030 FILLER_101_293
*1031 FILLER_101_3
*1032 FILLER_101_301
*1033 FILLER_101_307
*1034 FILLER_101_309
*1035 FILLER_101_321
*1036 FILLER_101_333
*1037 FILLER_101_337
*1038 FILLER_101_349
*1039 FILLER_101_361
*1040 FILLER_101_365
*1041 FILLER_101_377
*1042 FILLER_101_389
*1043 FILLER_101_393
*1044 FILLER_101_405
*1045 FILLER_101_41
*1046 FILLER_101_417
*1047 FILLER_101_421
*1048 FILLER_101_433
*1049 FILLER_101_445
*1050 FILLER_101_449
*1051 FILLER_101_461
*1052 FILLER_101_473
*1053 FILLER_101_477
*1054 FILLER_101_489
*1055 FILLER_101_501
*1056 FILLER_101_505
*1057 FILLER_101_517
*1058 FILLER_101_529
*1059 FILLER_101_53
*1060 FILLER_101_533
*1061 FILLER_101_539
*1062 FILLER_101_551
*1063 FILLER_101_559
*1064 FILLER_101_561
*1065 FILLER_101_57
*1066 FILLER_101_573
*1067 FILLER_101_585
*1068 FILLER_101_589
*1069 FILLER_101_601
*1070 FILLER_101_613
*1071 FILLER_101_617
*1072 FILLER_101_63
*1073 FILLER_101_75
*1074 FILLER_101_83
*1075 FILLER_101_85
*1076 FILLER_101_97
*1077 FILLER_10_109
*1078 FILLER_10_121
*1079 FILLER_10_133
*1080 FILLER_10_139
*1081 FILLER_10_141
*1082 FILLER_10_15
*1083 FILLER_10_153
*1084 FILLER_10_165
*1085 FILLER_10_177
*1086 FILLER_10_189
*1087 FILLER_10_195
*1088 FILLER_10_197
*1089 FILLER_10_209
*1090 FILLER_10_221
*1091 FILLER_10_233
*1092 FILLER_10_245
*1093 FILLER_10_251
*1094 FILLER_10_253
*1095 FILLER_10_265
*1096 FILLER_10_27
*1097 FILLER_10_277
*1098 FILLER_10_289
*1099 FILLER_10_29
*1100 FILLER_10_3
*1101 FILLER_10_301
*1102 FILLER_10_307
*1103 FILLER_10_309
*1104 FILLER_10_321
*1105 FILLER_10_333
*1106 FILLER_10_345
*1107 FILLER_10_357
*1108 FILLER_10_363
*1109 FILLER_10_365
*1110 FILLER_10_377
*1111 FILLER_10_389
*1112 FILLER_10_401
*1113 FILLER_10_41
*1114 FILLER_10_413
*1115 FILLER_10_419
*1116 FILLER_10_421
*1117 FILLER_10_433
*1118 FILLER_10_445
*1119 FILLER_10_457
*1120 FILLER_10_469
*1121 FILLER_10_475
*1122 FILLER_10_477
*1123 FILLER_10_489
*1124 FILLER_10_501
*1125 FILLER_10_513
*1126 FILLER_10_525
*1127 FILLER_10_53
*1128 FILLER_10_531
*1129 FILLER_10_533
*1130 FILLER_10_545
*1131 FILLER_10_557
*1132 FILLER_10_569
*1133 FILLER_10_581
*1134 FILLER_10_587
*1135 FILLER_10_589
*1136 FILLER_10_601
*1137 FILLER_10_613
*1138 FILLER_10_65
*1139 FILLER_10_77
*1140 FILLER_10_83
*1141 FILLER_10_85
*1142 FILLER_10_97
*1143 FILLER_11_105
*1144 FILLER_11_111
*1145 FILLER_11_113
*1146 FILLER_11_125
*1147 FILLER_11_137
*1148 FILLER_11_149
*1149 FILLER_11_15
*1150 FILLER_11_161
*1151 FILLER_11_167
*1152 FILLER_11_169
*1153 FILLER_11_181
*1154 FILLER_11_193
*1155 FILLER_11_205
*1156 FILLER_11_217
*1157 FILLER_11_223
*1158 FILLER_11_225
*1159 FILLER_11_237
*1160 FILLER_11_249
*1161 FILLER_11_261
*1162 FILLER_11_27
*1163 FILLER_11_273
*1164 FILLER_11_279
*1165 FILLER_11_281
*1166 FILLER_11_293
*1167 FILLER_11_3
*1168 FILLER_11_305
*1169 FILLER_11_317
*1170 FILLER_11_329
*1171 FILLER_11_335
*1172 FILLER_11_337
*1173 FILLER_11_349
*1174 FILLER_11_361
*1175 FILLER_11_373
*1176 FILLER_11_385
*1177 FILLER_11_39
*1178 FILLER_11_391
*1179 FILLER_11_393
*1180 FILLER_11_405
*1181 FILLER_11_417
*1182 FILLER_11_429
*1183 FILLER_11_441
*1184 FILLER_11_447
*1185 FILLER_11_449
*1186 FILLER_11_461
*1187 FILLER_11_473
*1188 FILLER_11_485
*1189 FILLER_11_497
*1190 FILLER_11_503
*1191 FILLER_11_505
*1192 FILLER_11_51
*1193 FILLER_11_517
*1194 FILLER_11_529
*1195 FILLER_11_541
*1196 FILLER_11_55
*1197 FILLER_11_553
*1198 FILLER_11_559
*1199 FILLER_11_561
*1200 FILLER_11_57
*1201 FILLER_11_573
*1202 FILLER_11_585
*1203 FILLER_11_597
*1204 FILLER_11_609
*1205 FILLER_11_615
*1206 FILLER_11_617
*1207 FILLER_11_69
*1208 FILLER_11_81
*1209 FILLER_11_93
*1210 FILLER_12_109
*1211 FILLER_12_121
*1212 FILLER_12_133
*1213 FILLER_12_139
*1214 FILLER_12_141
*1215 FILLER_12_15
*1216 FILLER_12_153
*1217 FILLER_12_165
*1218 FILLER_12_177
*1219 FILLER_12_189
*1220 FILLER_12_195
*1221 FILLER_12_197
*1222 FILLER_12_209
*1223 FILLER_12_221
*1224 FILLER_12_233
*1225 FILLER_12_245
*1226 FILLER_12_251
*1227 FILLER_12_253
*1228 FILLER_12_265
*1229 FILLER_12_27
*1230 FILLER_12_277
*1231 FILLER_12_289
*1232 FILLER_12_29
*1233 FILLER_12_3
*1234 FILLER_12_301
*1235 FILLER_12_307
*1236 FILLER_12_309
*1237 FILLER_12_321
*1238 FILLER_12_333
*1239 FILLER_12_345
*1240 FILLER_12_357
*1241 FILLER_12_363
*1242 FILLER_12_365
*1243 FILLER_12_377
*1244 FILLER_12_389
*1245 FILLER_12_401
*1246 FILLER_12_41
*1247 FILLER_12_413
*1248 FILLER_12_419
*1249 FILLER_12_421
*1250 FILLER_12_433
*1251 FILLER_12_445
*1252 FILLER_12_457
*1253 FILLER_12_469
*1254 FILLER_12_475
*1255 FILLER_12_477
*1256 FILLER_12_489
*1257 FILLER_12_501
*1258 FILLER_12_513
*1259 FILLER_12_525
*1260 FILLER_12_53
*1261 FILLER_12_531
*1262 FILLER_12_533
*1263 FILLER_12_545
*1264 FILLER_12_557
*1265 FILLER_12_569
*1266 FILLER_12_581
*1267 FILLER_12_587
*1268 FILLER_12_589
*1269 FILLER_12_601
*1270 FILLER_12_613
*1271 FILLER_12_65
*1272 FILLER_12_77
*1273 FILLER_12_83
*1274 FILLER_12_85
*1275 FILLER_12_97
*1276 FILLER_13_105
*1277 FILLER_13_111
*1278 FILLER_13_113
*1279 FILLER_13_125
*1280 FILLER_13_137
*1281 FILLER_13_149
*1282 FILLER_13_15
*1283 FILLER_13_161
*1284 FILLER_13_167
*1285 FILLER_13_169
*1286 FILLER_13_181
*1287 FILLER_13_193
*1288 FILLER_13_205
*1289 FILLER_13_21
*1290 FILLER_13_217
*1291 FILLER_13_223
*1292 FILLER_13_225
*1293 FILLER_13_237
*1294 FILLER_13_249
*1295 FILLER_13_261
*1296 FILLER_13_273
*1297 FILLER_13_279
*1298 FILLER_13_281
*1299 FILLER_13_293
*1300 FILLER_13_3
*1301 FILLER_13_305
*1302 FILLER_13_317
*1303 FILLER_13_329
*1304 FILLER_13_33
*1305 FILLER_13_335
*1306 FILLER_13_337
*1307 FILLER_13_349
*1308 FILLER_13_361
*1309 FILLER_13_373
*1310 FILLER_13_385
*1311 FILLER_13_391
*1312 FILLER_13_393
*1313 FILLER_13_405
*1314 FILLER_13_417
*1315 FILLER_13_425
*1316 FILLER_13_444
*1317 FILLER_13_449
*1318 FILLER_13_45
*1319 FILLER_13_456
*1320 FILLER_13_468
*1321 FILLER_13_480
*1322 FILLER_13_492
*1323 FILLER_13_505
*1324 FILLER_13_51
*1325 FILLER_13_517
*1326 FILLER_13_529
*1327 FILLER_13_541
*1328 FILLER_13_55
*1329 FILLER_13_553
*1330 FILLER_13_559
*1331 FILLER_13_561
*1332 FILLER_13_57
*1333 FILLER_13_573
*1334 FILLER_13_585
*1335 FILLER_13_597
*1336 FILLER_13_609
*1337 FILLER_13_615
*1338 FILLER_13_617
*1339 FILLER_13_69
*1340 FILLER_13_81
*1341 FILLER_13_93
*1342 FILLER_14_109
*1343 FILLER_14_121
*1344 FILLER_14_133
*1345 FILLER_14_139
*1346 FILLER_14_141
*1347 FILLER_14_153
*1348 FILLER_14_165
*1349 FILLER_14_177
*1350 FILLER_14_189
*1351 FILLER_14_195
*1352 FILLER_14_197
*1353 FILLER_14_21
*1354 FILLER_14_221
*1355 FILLER_14_233
*1356 FILLER_14_245
*1357 FILLER_14_251
*1358 FILLER_14_253
*1359 FILLER_14_265
*1360 FILLER_14_27
*1361 FILLER_14_277
*1362 FILLER_14_289
*1363 FILLER_14_29
*1364 FILLER_14_3
*1365 FILLER_14_301
*1366 FILLER_14_307
*1367 FILLER_14_309
*1368 FILLER_14_321
*1369 FILLER_14_333
*1370 FILLER_14_345
*1371 FILLER_14_357
*1372 FILLER_14_363
*1373 FILLER_14_365
*1374 FILLER_14_377
*1375 FILLER_14_38
*1376 FILLER_14_389
*1377 FILLER_14_401
*1378 FILLER_14_413
*1379 FILLER_14_419
*1380 FILLER_14_421
*1381 FILLER_14_433
*1382 FILLER_14_445
*1383 FILLER_14_449
*1384 FILLER_14_46
*1385 FILLER_14_466
*1386 FILLER_14_474
*1387 FILLER_14_477
*1388 FILLER_14_495
*1389 FILLER_14_507
*1390 FILLER_14_519
*1391 FILLER_14_531
*1392 FILLER_14_533
*1393 FILLER_14_545
*1394 FILLER_14_557
*1395 FILLER_14_569
*1396 FILLER_14_581
*1397 FILLER_14_587
*1398 FILLER_14_589
*1399 FILLER_14_601
*1400 FILLER_14_613
*1401 FILLER_14_63
*1402 FILLER_14_75
*1403 FILLER_14_83
*1404 FILLER_14_85
*1405 FILLER_14_97
*1406 FILLER_15_103
*1407 FILLER_15_111
*1408 FILLER_15_113
*1409 FILLER_15_118
*1410 FILLER_15_130
*1411 FILLER_15_142
*1412 FILLER_15_154
*1413 FILLER_15_166
*1414 FILLER_15_169
*1415 FILLER_15_181
*1416 FILLER_15_193
*1417 FILLER_15_205
*1418 FILLER_15_21
*1419 FILLER_15_217
*1420 FILLER_15_223
*1421 FILLER_15_225
*1422 FILLER_15_237
*1423 FILLER_15_249
*1424 FILLER_15_261
*1425 FILLER_15_273
*1426 FILLER_15_279
*1427 FILLER_15_281
*1428 FILLER_15_293
*1429 FILLER_15_3
*1430 FILLER_15_305
*1431 FILLER_15_317
*1432 FILLER_15_329
*1433 FILLER_15_335
*1434 FILLER_15_337
*1435 FILLER_15_349
*1436 FILLER_15_361
*1437 FILLER_15_373
*1438 FILLER_15_385
*1439 FILLER_15_391
*1440 FILLER_15_393
*1441 FILLER_15_405
*1442 FILLER_15_41
*1443 FILLER_15_417
*1444 FILLER_15_423
*1445 FILLER_15_427
*1446 FILLER_15_439
*1447 FILLER_15_446
*1448 FILLER_15_449
*1449 FILLER_15_467
*1450 FILLER_15_487
*1451 FILLER_15_49
*1452 FILLER_15_499
*1453 FILLER_15_503
*1454 FILLER_15_505
*1455 FILLER_15_517
*1456 FILLER_15_529
*1457 FILLER_15_53
*1458 FILLER_15_541
*1459 FILLER_15_553
*1460 FILLER_15_559
*1461 FILLER_15_561
*1462 FILLER_15_57
*1463 FILLER_15_573
*1464 FILLER_15_585
*1465 FILLER_15_597
*1466 FILLER_15_609
*1467 FILLER_15_615
*1468 FILLER_15_617
*1469 FILLER_15_65
*1470 FILLER_15_71
*1471 FILLER_15_79
*1472 FILLER_15_91
*1473 FILLER_16_109
*1474 FILLER_16_127
*1475 FILLER_16_139
*1476 FILLER_16_141
*1477 FILLER_16_153
*1478 FILLER_16_165
*1479 FILLER_16_177
*1480 FILLER_16_189
*1481 FILLER_16_195
*1482 FILLER_16_197
*1483 FILLER_16_205
*1484 FILLER_16_210
*1485 FILLER_16_222
*1486 FILLER_16_234
*1487 FILLER_16_246
*1488 FILLER_16_25
*1489 FILLER_16_253
*1490 FILLER_16_265
*1491 FILLER_16_277
*1492 FILLER_16_289
*1493 FILLER_16_29
*1494 FILLER_16_3
*1495 FILLER_16_301
*1496 FILLER_16_307
*1497 FILLER_16_309
*1498 FILLER_16_321
*1499 FILLER_16_333
*1500 FILLER_16_345
*1501 FILLER_16_357
*1502 FILLER_16_363
*1503 FILLER_16_365
*1504 FILLER_16_377
*1505 FILLER_16_389
*1506 FILLER_16_401
*1507 FILLER_16_418
*1508 FILLER_16_421
*1509 FILLER_16_439
*1510 FILLER_16_445
*1511 FILLER_16_462
*1512 FILLER_16_472
*1513 FILLER_16_477
*1514 FILLER_16_489
*1515 FILLER_16_501
*1516 FILLER_16_513
*1517 FILLER_16_525
*1518 FILLER_16_531
*1519 FILLER_16_533
*1520 FILLER_16_545
*1521 FILLER_16_55
*1522 FILLER_16_557
*1523 FILLER_16_569
*1524 FILLER_16_581
*1525 FILLER_16_587
*1526 FILLER_16_589
*1527 FILLER_16_601
*1528 FILLER_16_613
*1529 FILLER_16_75
*1530 FILLER_16_83
*1531 FILLER_16_85
*1532 FILLER_16_97
*1533 FILLER_17_107
*1534 FILLER_17_111
*1535 FILLER_17_113
*1536 FILLER_17_121
*1537 FILLER_17_13
*1538 FILLER_17_133
*1539 FILLER_17_145
*1540 FILLER_17_157
*1541 FILLER_17_165
*1542 FILLER_17_169
*1543 FILLER_17_181
*1544 FILLER_17_193
*1545 FILLER_17_205
*1546 FILLER_17_217
*1547 FILLER_17_223
*1548 FILLER_17_225
*1549 FILLER_17_237
*1550 FILLER_17_249
*1551 FILLER_17_261
*1552 FILLER_17_273
*1553 FILLER_17_279
*1554 FILLER_17_281
*1555 FILLER_17_293
*1556 FILLER_17_3
*1557 FILLER_17_305
*1558 FILLER_17_317
*1559 FILLER_17_329
*1560 FILLER_17_33
*1561 FILLER_17_335
*1562 FILLER_17_337
*1563 FILLER_17_349
*1564 FILLER_17_361
*1565 FILLER_17_37
*1566 FILLER_17_373
*1567 FILLER_17_385
*1568 FILLER_17_391
*1569 FILLER_17_393
*1570 FILLER_17_408
*1571 FILLER_17_41
*1572 FILLER_17_428
*1573 FILLER_17_438
*1574 FILLER_17_446
*1575 FILLER_17_449
*1576 FILLER_17_454
*1577 FILLER_17_461
*1578 FILLER_17_473
*1579 FILLER_17_485
*1580 FILLER_17_497
*1581 FILLER_17_503
*1582 FILLER_17_505
*1583 FILLER_17_517
*1584 FILLER_17_529
*1585 FILLER_17_53
*1586 FILLER_17_541
*1587 FILLER_17_553
*1588 FILLER_17_559
*1589 FILLER_17_561
*1590 FILLER_17_57
*1591 FILLER_17_573
*1592 FILLER_17_585
*1593 FILLER_17_597
*1594 FILLER_17_609
*1595 FILLER_17_61
*1596 FILLER_17_615
*1597 FILLER_17_617
*1598 FILLER_17_68
*1599 FILLER_17_88
*1600 FILLER_17_9
*1601 FILLER_17_95
*1602 FILLER_18_118
*1603 FILLER_18_130
*1604 FILLER_18_138
*1605 FILLER_18_141
*1606 FILLER_18_15
*1607 FILLER_18_153
*1608 FILLER_18_165
*1609 FILLER_18_177
*1610 FILLER_18_189
*1611 FILLER_18_195
*1612 FILLER_18_197
*1613 FILLER_18_209
*1614 FILLER_18_221
*1615 FILLER_18_233
*1616 FILLER_18_245
*1617 FILLER_18_251
*1618 FILLER_18_253
*1619 FILLER_18_265
*1620 FILLER_18_27
*1621 FILLER_18_277
*1622 FILLER_18_289
*1623 FILLER_18_29
*1624 FILLER_18_3
*1625 FILLER_18_301
*1626 FILLER_18_307
*1627 FILLER_18_309
*1628 FILLER_18_321
*1629 FILLER_18_333
*1630 FILLER_18_34
*1631 FILLER_18_345
*1632 FILLER_18_357
*1633 FILLER_18_363
*1634 FILLER_18_365
*1635 FILLER_18_377
*1636 FILLER_18_389
*1637 FILLER_18_401
*1638 FILLER_18_407
*1639 FILLER_18_411
*1640 FILLER_18_418
*1641 FILLER_18_421
*1642 FILLER_18_433
*1643 FILLER_18_455
*1644 FILLER_18_46
*1645 FILLER_18_467
*1646 FILLER_18_475
*1647 FILLER_18_477
*1648 FILLER_18_489
*1649 FILLER_18_501
*1650 FILLER_18_513
*1651 FILLER_18_525
*1652 FILLER_18_531
*1653 FILLER_18_533
*1654 FILLER_18_545
*1655 FILLER_18_557
*1656 FILLER_18_569
*1657 FILLER_18_58
*1658 FILLER_18_581
*1659 FILLER_18_587
*1660 FILLER_18_589
*1661 FILLER_18_601
*1662 FILLER_18_613
*1663 FILLER_18_82
*1664 FILLER_18_85
*1665 FILLER_18_94
*1666 FILLER_19_100
*1667 FILLER_19_109
*1668 FILLER_19_113
*1669 FILLER_19_122
*1670 FILLER_19_129
*1671 FILLER_19_141
*1672 FILLER_19_15
*1673 FILLER_19_153
*1674 FILLER_19_165
*1675 FILLER_19_169
*1676 FILLER_19_181
*1677 FILLER_19_193
*1678 FILLER_19_205
*1679 FILLER_19_217
*1680 FILLER_19_223
*1681 FILLER_19_225
*1682 FILLER_19_237
*1683 FILLER_19_249
*1684 FILLER_19_261
*1685 FILLER_19_27
*1686 FILLER_19_273
*1687 FILLER_19_279
*1688 FILLER_19_281
*1689 FILLER_19_293
*1690 FILLER_19_3
*1691 FILLER_19_305
*1692 FILLER_19_317
*1693 FILLER_19_329
*1694 FILLER_19_335
*1695 FILLER_19_337
*1696 FILLER_19_349
*1697 FILLER_19_35
*1698 FILLER_19_361
*1699 FILLER_19_373
*1700 FILLER_19_385
*1701 FILLER_19_391
*1702 FILLER_19_393
*1703 FILLER_19_405
*1704 FILLER_19_429
*1705 FILLER_19_441
*1706 FILLER_19_447
*1707 FILLER_19_449
*1708 FILLER_19_45
*1709 FILLER_19_460
*1710 FILLER_19_469
*1711 FILLER_19_481
*1712 FILLER_19_493
*1713 FILLER_19_501
*1714 FILLER_19_505
*1715 FILLER_19_517
*1716 FILLER_19_529
*1717 FILLER_19_53
*1718 FILLER_19_541
*1719 FILLER_19_553
*1720 FILLER_19_559
*1721 FILLER_19_561
*1722 FILLER_19_57
*1723 FILLER_19_573
*1724 FILLER_19_585
*1725 FILLER_19_597
*1726 FILLER_19_609
*1727 FILLER_19_615
*1728 FILLER_19_617
*1729 FILLER_19_65
*1730 FILLER_19_71
*1731 FILLER_19_83
*1732 FILLER_19_92
*1733 FILLER_1_105
*1734 FILLER_1_111
*1735 FILLER_1_113
*1736 FILLER_1_125
*1737 FILLER_1_137
*1738 FILLER_1_149
*1739 FILLER_1_15
*1740 FILLER_1_161
*1741 FILLER_1_167
*1742 FILLER_1_169
*1743 FILLER_1_181
*1744 FILLER_1_193
*1745 FILLER_1_205
*1746 FILLER_1_217
*1747 FILLER_1_223
*1748 FILLER_1_225
*1749 FILLER_1_237
*1750 FILLER_1_249
*1751 FILLER_1_261
*1752 FILLER_1_27
*1753 FILLER_1_273
*1754 FILLER_1_279
*1755 FILLER_1_281
*1756 FILLER_1_293
*1757 FILLER_1_3
*1758 FILLER_1_305
*1759 FILLER_1_317
*1760 FILLER_1_329
*1761 FILLER_1_335
*1762 FILLER_1_337
*1763 FILLER_1_349
*1764 FILLER_1_361
*1765 FILLER_1_373
*1766 FILLER_1_385
*1767 FILLER_1_39
*1768 FILLER_1_391
*1769 FILLER_1_393
*1770 FILLER_1_405
*1771 FILLER_1_417
*1772 FILLER_1_429
*1773 FILLER_1_441
*1774 FILLER_1_447
*1775 FILLER_1_449
*1776 FILLER_1_461
*1777 FILLER_1_473
*1778 FILLER_1_485
*1779 FILLER_1_497
*1780 FILLER_1_503
*1781 FILLER_1_505
*1782 FILLER_1_51
*1783 FILLER_1_517
*1784 FILLER_1_529
*1785 FILLER_1_541
*1786 FILLER_1_55
*1787 FILLER_1_553
*1788 FILLER_1_559
*1789 FILLER_1_561
*1790 FILLER_1_57
*1791 FILLER_1_573
*1792 FILLER_1_585
*1793 FILLER_1_597
*1794 FILLER_1_609
*1795 FILLER_1_615
*1796 FILLER_1_617
*1797 FILLER_1_69
*1798 FILLER_1_81
*1799 FILLER_1_93
*1800 FILLER_20_108
*1801 FILLER_20_112
*1802 FILLER_20_121
*1803 FILLER_20_130
*1804 FILLER_20_138
*1805 FILLER_20_141
*1806 FILLER_20_15
*1807 FILLER_20_153
*1808 FILLER_20_175
*1809 FILLER_20_187
*1810 FILLER_20_195
*1811 FILLER_20_197
*1812 FILLER_20_208
*1813 FILLER_20_220
*1814 FILLER_20_232
*1815 FILLER_20_244
*1816 FILLER_20_253
*1817 FILLER_20_265
*1818 FILLER_20_27
*1819 FILLER_20_277
*1820 FILLER_20_289
*1821 FILLER_20_29
*1822 FILLER_20_3
*1823 FILLER_20_301
*1824 FILLER_20_307
*1825 FILLER_20_309
*1826 FILLER_20_321
*1827 FILLER_20_333
*1828 FILLER_20_345
*1829 FILLER_20_35
*1830 FILLER_20_357
*1831 FILLER_20_363
*1832 FILLER_20_365
*1833 FILLER_20_377
*1834 FILLER_20_389
*1835 FILLER_20_401
*1836 FILLER_20_413
*1837 FILLER_20_419
*1838 FILLER_20_421
*1839 FILLER_20_426
*1840 FILLER_20_438
*1841 FILLER_20_450
*1842 FILLER_20_454
*1843 FILLER_20_471
*1844 FILLER_20_475
*1845 FILLER_20_477
*1846 FILLER_20_489
*1847 FILLER_20_501
*1848 FILLER_20_513
*1849 FILLER_20_525
*1850 FILLER_20_531
*1851 FILLER_20_533
*1852 FILLER_20_545
*1853 FILLER_20_557
*1854 FILLER_20_569
*1855 FILLER_20_581
*1856 FILLER_20_587
*1857 FILLER_20_589
*1858 FILLER_20_60
*1859 FILLER_20_601
*1860 FILLER_20_613
*1861 FILLER_20_72
*1862 FILLER_20_80
*1863 FILLER_20_85
*1864 FILLER_20_97
*1865 FILLER_21_105
*1866 FILLER_21_110
*1867 FILLER_21_113
*1868 FILLER_21_120
*1869 FILLER_21_140
*1870 FILLER_21_15
*1871 FILLER_21_152
*1872 FILLER_21_160
*1873 FILLER_21_165
*1874 FILLER_21_169
*1875 FILLER_21_177
*1876 FILLER_21_181
*1877 FILLER_21_205
*1878 FILLER_21_211
*1879 FILLER_21_217
*1880 FILLER_21_223
*1881 FILLER_21_225
*1882 FILLER_21_23
*1883 FILLER_21_237
*1884 FILLER_21_249
*1885 FILLER_21_261
*1886 FILLER_21_273
*1887 FILLER_21_279
*1888 FILLER_21_281
*1889 FILLER_21_293
*1890 FILLER_21_3
*1891 FILLER_21_305
*1892 FILLER_21_317
*1893 FILLER_21_329
*1894 FILLER_21_335
*1895 FILLER_21_337
*1896 FILLER_21_349
*1897 FILLER_21_361
*1898 FILLER_21_373
*1899 FILLER_21_385
*1900 FILLER_21_391
*1901 FILLER_21_393
*1902 FILLER_21_405
*1903 FILLER_21_41
*1904 FILLER_21_417
*1905 FILLER_21_439
*1906 FILLER_21_447
*1907 FILLER_21_449
*1908 FILLER_21_457
*1909 FILLER_21_476
*1910 FILLER_21_486
*1911 FILLER_21_498
*1912 FILLER_21_505
*1913 FILLER_21_51
*1914 FILLER_21_517
*1915 FILLER_21_529
*1916 FILLER_21_541
*1917 FILLER_21_55
*1918 FILLER_21_553
*1919 FILLER_21_559
*1920 FILLER_21_561
*1921 FILLER_21_57
*1922 FILLER_21_573
*1923 FILLER_21_585
*1924 FILLER_21_597
*1925 FILLER_21_609
*1926 FILLER_21_615
*1927 FILLER_21_617
*1928 FILLER_21_69
*1929 FILLER_21_73
*1930 FILLER_21_77
*1931 FILLER_21_81
*1932 FILLER_21_85
*1933 FILLER_21_97
*1934 FILLER_22_104
*1935 FILLER_22_111
*1936 FILLER_22_131
*1937 FILLER_22_138
*1938 FILLER_22_141
*1939 FILLER_22_146
*1940 FILLER_22_15
*1941 FILLER_22_157
*1942 FILLER_22_177
*1943 FILLER_22_186
*1944 FILLER_22_190
*1945 FILLER_22_194
*1946 FILLER_22_197
*1947 FILLER_22_215
*1948 FILLER_22_222
*1949 FILLER_22_231
*1950 FILLER_22_243
*1951 FILLER_22_251
*1952 FILLER_22_253
*1953 FILLER_22_26
*1954 FILLER_22_265
*1955 FILLER_22_277
*1956 FILLER_22_289
*1957 FILLER_22_29
*1958 FILLER_22_3
*1959 FILLER_22_301
*1960 FILLER_22_307
*1961 FILLER_22_309
*1962 FILLER_22_321
*1963 FILLER_22_33
*1964 FILLER_22_333
*1965 FILLER_22_345
*1966 FILLER_22_357
*1967 FILLER_22_363
*1968 FILLER_22_365
*1969 FILLER_22_377
*1970 FILLER_22_389
*1971 FILLER_22_401
*1972 FILLER_22_413
*1973 FILLER_22_419
*1974 FILLER_22_42
*1975 FILLER_22_421
*1976 FILLER_22_439
*1977 FILLER_22_451
*1978 FILLER_22_457
*1979 FILLER_22_474
*1980 FILLER_22_477
*1981 FILLER_22_482
*1982 FILLER_22_489
*1983 FILLER_22_501
*1984 FILLER_22_51
*1985 FILLER_22_513
*1986 FILLER_22_525
*1987 FILLER_22_531
*1988 FILLER_22_533
*1989 FILLER_22_545
*1990 FILLER_22_557
*1991 FILLER_22_569
*1992 FILLER_22_581
*1993 FILLER_22_587
*1994 FILLER_22_589
*1995 FILLER_22_601
*1996 FILLER_22_613
*1997 FILLER_22_63
*1998 FILLER_22_69
*1999 FILLER_22_73
*2000 FILLER_22_82
*2001 FILLER_22_85
*2002 FILLER_22_92
*2003 FILLER_23_100
*2004 FILLER_23_113
*2005 FILLER_23_120
*2006 FILLER_23_144
*2007 FILLER_23_15
*2008 FILLER_23_150
*2009 FILLER_23_162
*2010 FILLER_23_169
*2011 FILLER_23_181
*2012 FILLER_23_187
*2013 FILLER_23_207
*2014 FILLER_23_222
*2015 FILLER_23_225
*2016 FILLER_23_23
*2017 FILLER_23_243
*2018 FILLER_23_255
*2019 FILLER_23_267
*2020 FILLER_23_279
*2021 FILLER_23_281
*2022 FILLER_23_293
*2023 FILLER_23_3
*2024 FILLER_23_305
*2025 FILLER_23_317
*2026 FILLER_23_329
*2027 FILLER_23_335
*2028 FILLER_23_337
*2029 FILLER_23_349
*2030 FILLER_23_361
*2031 FILLER_23_373
*2032 FILLER_23_385
*2033 FILLER_23_391
*2034 FILLER_23_393
*2035 FILLER_23_405
*2036 FILLER_23_413
*2037 FILLER_23_42
*2038 FILLER_23_431
*2039 FILLER_23_438
*2040 FILLER_23_446
*2041 FILLER_23_449
*2042 FILLER_23_461
*2043 FILLER_23_480
*2044 FILLER_23_492
*2045 FILLER_23_505
*2046 FILLER_23_517
*2047 FILLER_23_529
*2048 FILLER_23_54
*2049 FILLER_23_541
*2050 FILLER_23_553
*2051 FILLER_23_559
*2052 FILLER_23_561
*2053 FILLER_23_57
*2054 FILLER_23_573
*2055 FILLER_23_585
*2056 FILLER_23_597
*2057 FILLER_23_609
*2058 FILLER_23_615
*2059 FILLER_23_617
*2060 FILLER_23_81
*2061 FILLER_23_91
*2062 FILLER_24_103
*2063 FILLER_24_115
*2064 FILLER_24_127
*2065 FILLER_24_139
*2066 FILLER_24_141
*2067 FILLER_24_149
*2068 FILLER_24_15
*2069 FILLER_24_168
*2070 FILLER_24_180
*2071 FILLER_24_192
*2072 FILLER_24_197
*2073 FILLER_24_207
*2074 FILLER_24_216
*2075 FILLER_24_224
*2076 FILLER_24_230
*2077 FILLER_24_237
*2078 FILLER_24_249
*2079 FILLER_24_253
*2080 FILLER_24_265
*2081 FILLER_24_27
*2082 FILLER_24_277
*2083 FILLER_24_289
*2084 FILLER_24_29
*2085 FILLER_24_3
*2086 FILLER_24_301
*2087 FILLER_24_307
*2088 FILLER_24_309
*2089 FILLER_24_321
*2090 FILLER_24_333
*2091 FILLER_24_345
*2092 FILLER_24_357
*2093 FILLER_24_363
*2094 FILLER_24_365
*2095 FILLER_24_377
*2096 FILLER_24_389
*2097 FILLER_24_401
*2098 FILLER_24_41
*2099 FILLER_24_413
*2100 FILLER_24_418
*2101 FILLER_24_421
*2102 FILLER_24_439
*2103 FILLER_24_449
*2104 FILLER_24_461
*2105 FILLER_24_466
*2106 FILLER_24_474
*2107 FILLER_24_477
*2108 FILLER_24_489
*2109 FILLER_24_501
*2110 FILLER_24_513
*2111 FILLER_24_525
*2112 FILLER_24_53
*2113 FILLER_24_531
*2114 FILLER_24_533
*2115 FILLER_24_545
*2116 FILLER_24_557
*2117 FILLER_24_569
*2118 FILLER_24_581
*2119 FILLER_24_587
*2120 FILLER_24_589
*2121 FILLER_24_601
*2122 FILLER_24_613
*2123 FILLER_24_65
*2124 FILLER_24_76
*2125 FILLER_24_85
*2126 FILLER_25_110
*2127 FILLER_25_113
*2128 FILLER_25_125
*2129 FILLER_25_137
*2130 FILLER_25_142
*2131 FILLER_25_15
*2132 FILLER_25_162
*2133 FILLER_25_169
*2134 FILLER_25_174
*2135 FILLER_25_186
*2136 FILLER_25_198
*2137 FILLER_25_203
*2138 FILLER_25_210
*2139 FILLER_25_222
*2140 FILLER_25_225
*2141 FILLER_25_237
*2142 FILLER_25_249
*2143 FILLER_25_261
*2144 FILLER_25_27
*2145 FILLER_25_273
*2146 FILLER_25_279
*2147 FILLER_25_281
*2148 FILLER_25_293
*2149 FILLER_25_3
*2150 FILLER_25_305
*2151 FILLER_25_317
*2152 FILLER_25_329
*2153 FILLER_25_335
*2154 FILLER_25_337
*2155 FILLER_25_349
*2156 FILLER_25_361
*2157 FILLER_25_373
*2158 FILLER_25_385
*2159 FILLER_25_39
*2160 FILLER_25_391
*2161 FILLER_25_393
*2162 FILLER_25_405
*2163 FILLER_25_417
*2164 FILLER_25_421
*2165 FILLER_25_425
*2166 FILLER_25_432
*2167 FILLER_25_438
*2168 FILLER_25_446
*2169 FILLER_25_449
*2170 FILLER_25_461
*2171 FILLER_25_483
*2172 FILLER_25_495
*2173 FILLER_25_503
*2174 FILLER_25_505
*2175 FILLER_25_51
*2176 FILLER_25_517
*2177 FILLER_25_529
*2178 FILLER_25_541
*2179 FILLER_25_55
*2180 FILLER_25_553
*2181 FILLER_25_559
*2182 FILLER_25_561
*2183 FILLER_25_57
*2184 FILLER_25_573
*2185 FILLER_25_585
*2186 FILLER_25_597
*2187 FILLER_25_609
*2188 FILLER_25_615
*2189 FILLER_25_617
*2190 FILLER_25_69
*2191 FILLER_25_81
*2192 FILLER_25_86
*2193 FILLER_25_98
*2194 FILLER_26_109
*2195 FILLER_26_121
*2196 FILLER_26_133
*2197 FILLER_26_138
*2198 FILLER_26_141
*2199 FILLER_26_15
*2200 FILLER_26_159
*2201 FILLER_26_169
*2202 FILLER_26_181
*2203 FILLER_26_193
*2204 FILLER_26_197
*2205 FILLER_26_209
*2206 FILLER_26_216
*2207 FILLER_26_224
*2208 FILLER_26_236
*2209 FILLER_26_248
*2210 FILLER_26_253
*2211 FILLER_26_265
*2212 FILLER_26_27
*2213 FILLER_26_277
*2214 FILLER_26_289
*2215 FILLER_26_29
*2216 FILLER_26_3
*2217 FILLER_26_301
*2218 FILLER_26_307
*2219 FILLER_26_309
*2220 FILLER_26_321
*2221 FILLER_26_333
*2222 FILLER_26_34
*2223 FILLER_26_345
*2224 FILLER_26_357
*2225 FILLER_26_363
*2226 FILLER_26_365
*2227 FILLER_26_377
*2228 FILLER_26_389
*2229 FILLER_26_401
*2230 FILLER_26_413
*2231 FILLER_26_419
*2232 FILLER_26_421
*2233 FILLER_26_429
*2234 FILLER_26_434
*2235 FILLER_26_458
*2236 FILLER_26_46
*2237 FILLER_26_470
*2238 FILLER_26_474
*2239 FILLER_26_477
*2240 FILLER_26_495
*2241 FILLER_26_507
*2242 FILLER_26_519
*2243 FILLER_26_531
*2244 FILLER_26_533
*2245 FILLER_26_545
*2246 FILLER_26_557
*2247 FILLER_26_569
*2248 FILLER_26_58
*2249 FILLER_26_581
*2250 FILLER_26_587
*2251 FILLER_26_589
*2252 FILLER_26_601
*2253 FILLER_26_613
*2254 FILLER_26_70
*2255 FILLER_26_82
*2256 FILLER_26_85
*2257 FILLER_26_97
*2258 FILLER_27_109
*2259 FILLER_27_113
*2260 FILLER_27_12
*2261 FILLER_27_125
*2262 FILLER_27_137
*2263 FILLER_27_143
*2264 FILLER_27_160
*2265 FILLER_27_169
*2266 FILLER_27_189
*2267 FILLER_27_201
*2268 FILLER_27_213
*2269 FILLER_27_221
*2270 FILLER_27_225
*2271 FILLER_27_232
*2272 FILLER_27_244
*2273 FILLER_27_256
*2274 FILLER_27_268
*2275 FILLER_27_281
*2276 FILLER_27_293
*2277 FILLER_27_3
*2278 FILLER_27_305
*2279 FILLER_27_317
*2280 FILLER_27_32
*2281 FILLER_27_329
*2282 FILLER_27_335
*2283 FILLER_27_337
*2284 FILLER_27_349
*2285 FILLER_27_361
*2286 FILLER_27_373
*2287 FILLER_27_385
*2288 FILLER_27_391
*2289 FILLER_27_393
*2290 FILLER_27_411
*2291 FILLER_27_423
*2292 FILLER_27_441
*2293 FILLER_27_447
*2294 FILLER_27_449
*2295 FILLER_27_467
*2296 FILLER_27_487
*2297 FILLER_27_497
*2298 FILLER_27_503
*2299 FILLER_27_505
*2300 FILLER_27_517
*2301 FILLER_27_529
*2302 FILLER_27_54
*2303 FILLER_27_541
*2304 FILLER_27_553
*2305 FILLER_27_559
*2306 FILLER_27_561
*2307 FILLER_27_57
*2308 FILLER_27_573
*2309 FILLER_27_585
*2310 FILLER_27_597
*2311 FILLER_27_609
*2312 FILLER_27_61
*2313 FILLER_27_615
*2314 FILLER_27_617
*2315 FILLER_27_73
*2316 FILLER_27_85
*2317 FILLER_27_97
*2318 FILLER_28_109
*2319 FILLER_28_121
*2320 FILLER_28_133
*2321 FILLER_28_139
*2322 FILLER_28_141
*2323 FILLER_28_150
*2324 FILLER_28_162
*2325 FILLER_28_170
*2326 FILLER_28_174
*2327 FILLER_28_194
*2328 FILLER_28_197
*2329 FILLER_28_201
*2330 FILLER_28_218
*2331 FILLER_28_238
*2332 FILLER_28_250
*2333 FILLER_28_253
*2334 FILLER_28_26
*2335 FILLER_28_265
*2336 FILLER_28_277
*2337 FILLER_28_289
*2338 FILLER_28_29
*2339 FILLER_28_3
*2340 FILLER_28_301
*2341 FILLER_28_307
*2342 FILLER_28_309
*2343 FILLER_28_321
*2344 FILLER_28_333
*2345 FILLER_28_345
*2346 FILLER_28_357
*2347 FILLER_28_363
*2348 FILLER_28_365
*2349 FILLER_28_377
*2350 FILLER_28_385
*2351 FILLER_28_402
*2352 FILLER_28_414
*2353 FILLER_28_421
*2354 FILLER_28_431
*2355 FILLER_28_455
*2356 FILLER_28_465
*2357 FILLER_28_474
*2358 FILLER_28_477
*2359 FILLER_28_482
*2360 FILLER_28_494
*2361 FILLER_28_506
*2362 FILLER_28_518
*2363 FILLER_28_53
*2364 FILLER_28_530
*2365 FILLER_28_533
*2366 FILLER_28_545
*2367 FILLER_28_557
*2368 FILLER_28_569
*2369 FILLER_28_581
*2370 FILLER_28_587
*2371 FILLER_28_589
*2372 FILLER_28_601
*2373 FILLER_28_613
*2374 FILLER_28_75
*2375 FILLER_28_83
*2376 FILLER_28_85
*2377 FILLER_28_9
*2378 FILLER_28_97
*2379 FILLER_29_106
*2380 FILLER_29_113
*2381 FILLER_29_125
*2382 FILLER_29_137
*2383 FILLER_29_149
*2384 FILLER_29_161
*2385 FILLER_29_166
*2386 FILLER_29_169
*2387 FILLER_29_188
*2388 FILLER_29_198
*2389 FILLER_29_222
*2390 FILLER_29_225
*2391 FILLER_29_231
*2392 FILLER_29_243
*2393 FILLER_29_255
*2394 FILLER_29_267
*2395 FILLER_29_27
*2396 FILLER_29_279
*2397 FILLER_29_281
*2398 FILLER_29_293
*2399 FILLER_29_3
*2400 FILLER_29_305
*2401 FILLER_29_317
*2402 FILLER_29_329
*2403 FILLER_29_335
*2404 FILLER_29_337
*2405 FILLER_29_34
*2406 FILLER_29_349
*2407 FILLER_29_361
*2408 FILLER_29_373
*2409 FILLER_29_385
*2410 FILLER_29_390
*2411 FILLER_29_393
*2412 FILLER_29_411
*2413 FILLER_29_418
*2414 FILLER_29_422
*2415 FILLER_29_426
*2416 FILLER_29_44
*2417 FILLER_29_446
*2418 FILLER_29_449
*2419 FILLER_29_457
*2420 FILLER_29_465
*2421 FILLER_29_484
*2422 FILLER_29_496
*2423 FILLER_29_50
*2424 FILLER_29_505
*2425 FILLER_29_517
*2426 FILLER_29_529
*2427 FILLER_29_54
*2428 FILLER_29_541
*2429 FILLER_29_553
*2430 FILLER_29_559
*2431 FILLER_29_561
*2432 FILLER_29_57
*2433 FILLER_29_573
*2434 FILLER_29_585
*2435 FILLER_29_597
*2436 FILLER_29_609
*2437 FILLER_29_615
*2438 FILLER_29_617
*2439 FILLER_29_75
*2440 FILLER_29_82
*2441 FILLER_29_94
*2442 FILLER_2_109
*2443 FILLER_2_121
*2444 FILLER_2_133
*2445 FILLER_2_139
*2446 FILLER_2_141
*2447 FILLER_2_15
*2448 FILLER_2_153
*2449 FILLER_2_165
*2450 FILLER_2_177
*2451 FILLER_2_189
*2452 FILLER_2_195
*2453 FILLER_2_197
*2454 FILLER_2_209
*2455 FILLER_2_221
*2456 FILLER_2_233
*2457 FILLER_2_245
*2458 FILLER_2_251
*2459 FILLER_2_253
*2460 FILLER_2_265
*2461 FILLER_2_27
*2462 FILLER_2_277
*2463 FILLER_2_289
*2464 FILLER_2_29
*2465 FILLER_2_3
*2466 FILLER_2_301
*2467 FILLER_2_307
*2468 FILLER_2_309
*2469 FILLER_2_321
*2470 FILLER_2_333
*2471 FILLER_2_345
*2472 FILLER_2_357
*2473 FILLER_2_363
*2474 FILLER_2_365
*2475 FILLER_2_377
*2476 FILLER_2_389
*2477 FILLER_2_401
*2478 FILLER_2_41
*2479 FILLER_2_413
*2480 FILLER_2_419
*2481 FILLER_2_421
*2482 FILLER_2_433
*2483 FILLER_2_445
*2484 FILLER_2_457
*2485 FILLER_2_469
*2486 FILLER_2_475
*2487 FILLER_2_477
*2488 FILLER_2_489
*2489 FILLER_2_501
*2490 FILLER_2_513
*2491 FILLER_2_525
*2492 FILLER_2_53
*2493 FILLER_2_531
*2494 FILLER_2_533
*2495 FILLER_2_545
*2496 FILLER_2_557
*2497 FILLER_2_569
*2498 FILLER_2_581
*2499 FILLER_2_587
*2500 FILLER_2_589
*2501 FILLER_2_601
*2502 FILLER_2_615
*2503 FILLER_2_623
*2504 FILLER_2_65
*2505 FILLER_2_77
*2506 FILLER_2_83
*2507 FILLER_2_85
*2508 FILLER_2_97
*2509 FILLER_30_109
*2510 FILLER_30_121
*2511 FILLER_30_133
*2512 FILLER_30_139
*2513 FILLER_30_141
*2514 FILLER_30_146
*2515 FILLER_30_158
*2516 FILLER_30_186
*2517 FILLER_30_193
*2518 FILLER_30_197
*2519 FILLER_30_209
*2520 FILLER_30_218
*2521 FILLER_30_230
*2522 FILLER_30_242
*2523 FILLER_30_250
*2524 FILLER_30_253
*2525 FILLER_30_26
*2526 FILLER_30_265
*2527 FILLER_30_277
*2528 FILLER_30_289
*2529 FILLER_30_29
*2530 FILLER_30_3
*2531 FILLER_30_301
*2532 FILLER_30_307
*2533 FILLER_30_309
*2534 FILLER_30_321
*2535 FILLER_30_333
*2536 FILLER_30_345
*2537 FILLER_30_357
*2538 FILLER_30_363
*2539 FILLER_30_365
*2540 FILLER_30_37
*2541 FILLER_30_377
*2542 FILLER_30_392
*2543 FILLER_30_412
*2544 FILLER_30_421
*2545 FILLER_30_429
*2546 FILLER_30_446
*2547 FILLER_30_458
*2548 FILLER_30_470
*2549 FILLER_30_474
*2550 FILLER_30_477
*2551 FILLER_30_489
*2552 FILLER_30_501
*2553 FILLER_30_513
*2554 FILLER_30_52
*2555 FILLER_30_525
*2556 FILLER_30_531
*2557 FILLER_30_533
*2558 FILLER_30_545
*2559 FILLER_30_557
*2560 FILLER_30_569
*2561 FILLER_30_581
*2562 FILLER_30_587
*2563 FILLER_30_589
*2564 FILLER_30_60
*2565 FILLER_30_601
*2566 FILLER_30_613
*2567 FILLER_30_69
*2568 FILLER_30_81
*2569 FILLER_30_85
*2570 FILLER_30_9
*2571 FILLER_30_97
*2572 FILLER_31_111
*2573 FILLER_31_113
*2574 FILLER_31_125
*2575 FILLER_31_149
*2576 FILLER_31_161
*2577 FILLER_31_167
*2578 FILLER_31_169
*2579 FILLER_31_18
*2580 FILLER_31_180
*2581 FILLER_31_188
*2582 FILLER_31_209
*2583 FILLER_31_221
*2584 FILLER_31_225
*2585 FILLER_31_237
*2586 FILLER_31_249
*2587 FILLER_31_261
*2588 FILLER_31_273
*2589 FILLER_31_279
*2590 FILLER_31_281
*2591 FILLER_31_293
*2592 FILLER_31_3
*2593 FILLER_31_30
*2594 FILLER_31_305
*2595 FILLER_31_317
*2596 FILLER_31_329
*2597 FILLER_31_335
*2598 FILLER_31_337
*2599 FILLER_31_355
*2600 FILLER_31_367
*2601 FILLER_31_379
*2602 FILLER_31_391
*2603 FILLER_31_393
*2604 FILLER_31_402
*2605 FILLER_31_414
*2606 FILLER_31_42
*2607 FILLER_31_426
*2608 FILLER_31_432
*2609 FILLER_31_436
*2610 FILLER_31_443
*2611 FILLER_31_447
*2612 FILLER_31_449
*2613 FILLER_31_461
*2614 FILLER_31_473
*2615 FILLER_31_485
*2616 FILLER_31_497
*2617 FILLER_31_50
*2618 FILLER_31_503
*2619 FILLER_31_505
*2620 FILLER_31_517
*2621 FILLER_31_529
*2622 FILLER_31_54
*2623 FILLER_31_541
*2624 FILLER_31_553
*2625 FILLER_31_559
*2626 FILLER_31_561
*2627 FILLER_31_57
*2628 FILLER_31_573
*2629 FILLER_31_585
*2630 FILLER_31_597
*2631 FILLER_31_609
*2632 FILLER_31_615
*2633 FILLER_31_617
*2634 FILLER_31_75
*2635 FILLER_31_87
*2636 FILLER_31_99
*2637 FILLER_32_109
*2638 FILLER_32_121
*2639 FILLER_32_138
*2640 FILLER_32_141
*2641 FILLER_32_159
*2642 FILLER_32_167
*2643 FILLER_32_184
*2644 FILLER_32_190
*2645 FILLER_32_197
*2646 FILLER_32_205
*2647 FILLER_32_223
*2648 FILLER_32_235
*2649 FILLER_32_247
*2650 FILLER_32_251
*2651 FILLER_32_253
*2652 FILLER_32_26
*2653 FILLER_32_265
*2654 FILLER_32_277
*2655 FILLER_32_289
*2656 FILLER_32_29
*2657 FILLER_32_298
*2658 FILLER_32_3
*2659 FILLER_32_306
*2660 FILLER_32_309
*2661 FILLER_32_315
*2662 FILLER_32_322
*2663 FILLER_32_334
*2664 FILLER_32_338
*2665 FILLER_32_346
*2666 FILLER_32_355
*2667 FILLER_32_363
*2668 FILLER_32_365
*2669 FILLER_32_370
*2670 FILLER_32_382
*2671 FILLER_32_394
*2672 FILLER_32_406
*2673 FILLER_32_41
*2674 FILLER_32_418
*2675 FILLER_32_421
*2676 FILLER_32_433
*2677 FILLER_32_445
*2678 FILLER_32_457
*2679 FILLER_32_469
*2680 FILLER_32_475
*2681 FILLER_32_477
*2682 FILLER_32_489
*2683 FILLER_32_501
*2684 FILLER_32_513
*2685 FILLER_32_525
*2686 FILLER_32_53
*2687 FILLER_32_531
*2688 FILLER_32_533
*2689 FILLER_32_545
*2690 FILLER_32_557
*2691 FILLER_32_569
*2692 FILLER_32_581
*2693 FILLER_32_587
*2694 FILLER_32_589
*2695 FILLER_32_601
*2696 FILLER_32_613
*2697 FILLER_32_65
*2698 FILLER_32_77
*2699 FILLER_32_83
*2700 FILLER_32_85
*2701 FILLER_32_9
*2702 FILLER_32_97
*2703 FILLER_33_105
*2704 FILLER_33_111
*2705 FILLER_33_113
*2706 FILLER_33_12
*2707 FILLER_33_125
*2708 FILLER_33_129
*2709 FILLER_33_149
*2710 FILLER_33_159
*2711 FILLER_33_167
*2712 FILLER_33_169
*2713 FILLER_33_187
*2714 FILLER_33_191
*2715 FILLER_33_198
*2716 FILLER_33_222
*2717 FILLER_33_225
*2718 FILLER_33_237
*2719 FILLER_33_249
*2720 FILLER_33_261
*2721 FILLER_33_273
*2722 FILLER_33_279
*2723 FILLER_33_281
*2724 FILLER_33_289
*2725 FILLER_33_3
*2726 FILLER_33_308
*2727 FILLER_33_312
*2728 FILLER_33_32
*2729 FILLER_33_329
*2730 FILLER_33_335
*2731 FILLER_33_337
*2732 FILLER_33_341
*2733 FILLER_33_358
*2734 FILLER_33_380
*2735 FILLER_33_389
*2736 FILLER_33_393
*2737 FILLER_33_397
*2738 FILLER_33_401
*2739 FILLER_33_419
*2740 FILLER_33_431
*2741 FILLER_33_443
*2742 FILLER_33_447
*2743 FILLER_33_449
*2744 FILLER_33_461
*2745 FILLER_33_473
*2746 FILLER_33_485
*2747 FILLER_33_497
*2748 FILLER_33_503
*2749 FILLER_33_505
*2750 FILLER_33_517
*2751 FILLER_33_52
*2752 FILLER_33_529
*2753 FILLER_33_541
*2754 FILLER_33_553
*2755 FILLER_33_559
*2756 FILLER_33_561
*2757 FILLER_33_57
*2758 FILLER_33_573
*2759 FILLER_33_585
*2760 FILLER_33_597
*2761 FILLER_33_609
*2762 FILLER_33_615
*2763 FILLER_33_617
*2764 FILLER_33_69
*2765 FILLER_33_81
*2766 FILLER_33_93
*2767 FILLER_34_109
*2768 FILLER_34_121
*2769 FILLER_34_133
*2770 FILLER_34_138
*2771 FILLER_34_141
*2772 FILLER_34_146
*2773 FILLER_34_158
*2774 FILLER_34_166
*2775 FILLER_34_185
*2776 FILLER_34_193
*2777 FILLER_34_197
*2778 FILLER_34_204
*2779 FILLER_34_224
*2780 FILLER_34_234
*2781 FILLER_34_246
*2782 FILLER_34_253
*2783 FILLER_34_26
*2784 FILLER_34_265
*2785 FILLER_34_277
*2786 FILLER_34_289
*2787 FILLER_34_29
*2788 FILLER_34_3
*2789 FILLER_34_306
*2790 FILLER_34_309
*2791 FILLER_34_335
*2792 FILLER_34_355
*2793 FILLER_34_362
*2794 FILLER_34_365
*2795 FILLER_34_37
*2796 FILLER_34_383
*2797 FILLER_34_390
*2798 FILLER_34_399
*2799 FILLER_34_410
*2800 FILLER_34_417
*2801 FILLER_34_421
*2802 FILLER_34_427
*2803 FILLER_34_444
*2804 FILLER_34_464
*2805 FILLER_34_477
*2806 FILLER_34_489
*2807 FILLER_34_49
*2808 FILLER_34_501
*2809 FILLER_34_513
*2810 FILLER_34_525
*2811 FILLER_34_531
*2812 FILLER_34_533
*2813 FILLER_34_545
*2814 FILLER_34_557
*2815 FILLER_34_569
*2816 FILLER_34_581
*2817 FILLER_34_587
*2818 FILLER_34_589
*2819 FILLER_34_601
*2820 FILLER_34_61
*2821 FILLER_34_613
*2822 FILLER_34_73
*2823 FILLER_34_81
*2824 FILLER_34_85
*2825 FILLER_34_9
*2826 FILLER_34_97
*2827 FILLER_35_105
*2828 FILLER_35_111
*2829 FILLER_35_113
*2830 FILLER_35_12
*2831 FILLER_35_125
*2832 FILLER_35_137
*2833 FILLER_35_149
*2834 FILLER_35_161
*2835 FILLER_35_166
*2836 FILLER_35_169
*2837 FILLER_35_187
*2838 FILLER_35_197
*2839 FILLER_35_205
*2840 FILLER_35_222
*2841 FILLER_35_225
*2842 FILLER_35_230
*2843 FILLER_35_242
*2844 FILLER_35_254
*2845 FILLER_35_266
*2846 FILLER_35_278
*2847 FILLER_35_281
*2848 FILLER_35_293
*2849 FILLER_35_298
*2850 FILLER_35_3
*2851 FILLER_35_318
*2852 FILLER_35_328
*2853 FILLER_35_334
*2854 FILLER_35_337
*2855 FILLER_35_342
*2856 FILLER_35_350
*2857 FILLER_35_36
*2858 FILLER_35_367
*2859 FILLER_35_377
*2860 FILLER_35_383
*2861 FILLER_35_390
*2862 FILLER_35_393
*2863 FILLER_35_411
*2864 FILLER_35_43
*2865 FILLER_35_431
*2866 FILLER_35_438
*2867 FILLER_35_446
*2868 FILLER_35_449
*2869 FILLER_35_467
*2870 FILLER_35_479
*2871 FILLER_35_491
*2872 FILLER_35_503
*2873 FILLER_35_505
*2874 FILLER_35_517
*2875 FILLER_35_529
*2876 FILLER_35_541
*2877 FILLER_35_55
*2878 FILLER_35_553
*2879 FILLER_35_559
*2880 FILLER_35_561
*2881 FILLER_35_57
*2882 FILLER_35_573
*2883 FILLER_35_585
*2884 FILLER_35_597
*2885 FILLER_35_609
*2886 FILLER_35_615
*2887 FILLER_35_617
*2888 FILLER_35_69
*2889 FILLER_35_81
*2890 FILLER_35_93
*2891 FILLER_36_109
*2892 FILLER_36_121
*2893 FILLER_36_129
*2894 FILLER_36_136
*2895 FILLER_36_141
*2896 FILLER_36_145
*2897 FILLER_36_157
*2898 FILLER_36_169
*2899 FILLER_36_17
*2900 FILLER_36_175
*2901 FILLER_36_182
*2902 FILLER_36_194
*2903 FILLER_36_197
*2904 FILLER_36_212
*2905 FILLER_36_219
*2906 FILLER_36_22
*2907 FILLER_36_231
*2908 FILLER_36_243
*2909 FILLER_36_251
*2910 FILLER_36_253
*2911 FILLER_36_265
*2912 FILLER_36_277
*2913 FILLER_36_289
*2914 FILLER_36_29
*2915 FILLER_36_3
*2916 FILLER_36_301
*2917 FILLER_36_307
*2918 FILLER_36_309
*2919 FILLER_36_321
*2920 FILLER_36_333
*2921 FILLER_36_348
*2922 FILLER_36_35
*2923 FILLER_36_359
*2924 FILLER_36_363
*2925 FILLER_36_365
*2926 FILLER_36_377
*2927 FILLER_36_38
*2928 FILLER_36_397
*2929 FILLER_36_406
*2930 FILLER_36_415
*2931 FILLER_36_419
*2932 FILLER_36_421
*2933 FILLER_36_429
*2934 FILLER_36_433
*2935 FILLER_36_453
*2936 FILLER_36_463
*2937 FILLER_36_475
*2938 FILLER_36_477
*2939 FILLER_36_489
*2940 FILLER_36_50
*2941 FILLER_36_501
*2942 FILLER_36_513
*2943 FILLER_36_525
*2944 FILLER_36_531
*2945 FILLER_36_533
*2946 FILLER_36_545
*2947 FILLER_36_557
*2948 FILLER_36_569
*2949 FILLER_36_581
*2950 FILLER_36_587
*2951 FILLER_36_589
*2952 FILLER_36_601
*2953 FILLER_36_613
*2954 FILLER_36_62
*2955 FILLER_36_74
*2956 FILLER_36_82
*2957 FILLER_36_85
*2958 FILLER_36_9
*2959 FILLER_36_97
*2960 FILLER_37_105
*2961 FILLER_37_111
*2962 FILLER_37_113
*2963 FILLER_37_119
*2964 FILLER_37_136
*2965 FILLER_37_160
*2966 FILLER_37_169
*2967 FILLER_37_174
*2968 FILLER_37_186
*2969 FILLER_37_198
*2970 FILLER_37_21
*2971 FILLER_37_210
*2972 FILLER_37_222
*2973 FILLER_37_225
*2974 FILLER_37_237
*2975 FILLER_37_249
*2976 FILLER_37_261
*2977 FILLER_37_273
*2978 FILLER_37_279
*2979 FILLER_37_281
*2980 FILLER_37_293
*2981 FILLER_37_3
*2982 FILLER_37_305
*2983 FILLER_37_317
*2984 FILLER_37_329
*2985 FILLER_37_33
*2986 FILLER_37_335
*2987 FILLER_37_337
*2988 FILLER_37_349
*2989 FILLER_37_361
*2990 FILLER_37_373
*2991 FILLER_37_385
*2992 FILLER_37_391
*2993 FILLER_37_393
*2994 FILLER_37_402
*2995 FILLER_37_414
*2996 FILLER_37_426
*2997 FILLER_37_438
*2998 FILLER_37_443
*2999 FILLER_37_447
*3000 FILLER_37_449
*3001 FILLER_37_45
*3002 FILLER_37_454
*3003 FILLER_37_466
*3004 FILLER_37_478
*3005 FILLER_37_490
*3006 FILLER_37_502
*3007 FILLER_37_505
*3008 FILLER_37_517
*3009 FILLER_37_529
*3010 FILLER_37_53
*3011 FILLER_37_541
*3012 FILLER_37_553
*3013 FILLER_37_559
*3014 FILLER_37_561
*3015 FILLER_37_57
*3016 FILLER_37_573
*3017 FILLER_37_585
*3018 FILLER_37_597
*3019 FILLER_37_609
*3020 FILLER_37_615
*3021 FILLER_37_617
*3022 FILLER_37_69
*3023 FILLER_37_81
*3024 FILLER_37_93
*3025 FILLER_38_109
*3026 FILLER_38_121
*3027 FILLER_38_138
*3028 FILLER_38_141
*3029 FILLER_38_15
*3030 FILLER_38_150
*3031 FILLER_38_159
*3032 FILLER_38_165
*3033 FILLER_38_177
*3034 FILLER_38_189
*3035 FILLER_38_195
*3036 FILLER_38_197
*3037 FILLER_38_209
*3038 FILLER_38_22
*3039 FILLER_38_221
*3040 FILLER_38_233
*3041 FILLER_38_250
*3042 FILLER_38_253
*3043 FILLER_38_271
*3044 FILLER_38_283
*3045 FILLER_38_29
*3046 FILLER_38_295
*3047 FILLER_38_3
*3048 FILLER_38_307
*3049 FILLER_38_309
*3050 FILLER_38_327
*3051 FILLER_38_339
*3052 FILLER_38_351
*3053 FILLER_38_356
*3054 FILLER_38_365
*3055 FILLER_38_377
*3056 FILLER_38_386
*3057 FILLER_38_398
*3058 FILLER_38_41
*3059 FILLER_38_410
*3060 FILLER_38_418
*3061 FILLER_38_421
*3062 FILLER_38_426
*3063 FILLER_38_438
*3064 FILLER_38_450
*3065 FILLER_38_462
*3066 FILLER_38_474
*3067 FILLER_38_477
*3068 FILLER_38_489
*3069 FILLER_38_501
*3070 FILLER_38_513
*3071 FILLER_38_525
*3072 FILLER_38_53
*3073 FILLER_38_531
*3074 FILLER_38_533
*3075 FILLER_38_545
*3076 FILLER_38_557
*3077 FILLER_38_569
*3078 FILLER_38_581
*3079 FILLER_38_587
*3080 FILLER_38_589
*3081 FILLER_38_601
*3082 FILLER_38_613
*3083 FILLER_38_65
*3084 FILLER_38_77
*3085 FILLER_38_83
*3086 FILLER_38_85
*3087 FILLER_38_97
*3088 FILLER_39_105
*3089 FILLER_39_111
*3090 FILLER_39_113
*3091 FILLER_39_120
*3092 FILLER_39_128
*3093 FILLER_39_149
*3094 FILLER_39_15
*3095 FILLER_39_161
*3096 FILLER_39_167
*3097 FILLER_39_169
*3098 FILLER_39_189
*3099 FILLER_39_199
*3100 FILLER_39_211
*3101 FILLER_39_223
*3102 FILLER_39_225
*3103 FILLER_39_237
*3104 FILLER_39_242
*3105 FILLER_39_262
*3106 FILLER_39_27
*3107 FILLER_39_272
*3108 FILLER_39_281
*3109 FILLER_39_293
*3110 FILLER_39_3
*3111 FILLER_39_305
*3112 FILLER_39_309
*3113 FILLER_39_313
*3114 FILLER_39_333
*3115 FILLER_39_337
*3116 FILLER_39_365
*3117 FILLER_39_373
*3118 FILLER_39_39
*3119 FILLER_39_390
*3120 FILLER_39_393
*3121 FILLER_39_415
*3122 FILLER_39_439
*3123 FILLER_39_447
*3124 FILLER_39_449
*3125 FILLER_39_456
*3126 FILLER_39_468
*3127 FILLER_39_480
*3128 FILLER_39_492
*3129 FILLER_39_505
*3130 FILLER_39_51
*3131 FILLER_39_517
*3132 FILLER_39_529
*3133 FILLER_39_541
*3134 FILLER_39_55
*3135 FILLER_39_553
*3136 FILLER_39_559
*3137 FILLER_39_561
*3138 FILLER_39_57
*3139 FILLER_39_573
*3140 FILLER_39_585
*3141 FILLER_39_597
*3142 FILLER_39_609
*3143 FILLER_39_615
*3144 FILLER_39_617
*3145 FILLER_39_69
*3146 FILLER_39_81
*3147 FILLER_39_93
*3148 FILLER_3_105
*3149 FILLER_3_111
*3150 FILLER_3_113
*3151 FILLER_3_125
*3152 FILLER_3_137
*3153 FILLER_3_149
*3154 FILLER_3_15
*3155 FILLER_3_161
*3156 FILLER_3_167
*3157 FILLER_3_169
*3158 FILLER_3_181
*3159 FILLER_3_193
*3160 FILLER_3_205
*3161 FILLER_3_217
*3162 FILLER_3_223
*3163 FILLER_3_225
*3164 FILLER_3_237
*3165 FILLER_3_249
*3166 FILLER_3_261
*3167 FILLER_3_27
*3168 FILLER_3_273
*3169 FILLER_3_279
*3170 FILLER_3_281
*3171 FILLER_3_293
*3172 FILLER_3_3
*3173 FILLER_3_305
*3174 FILLER_3_317
*3175 FILLER_3_329
*3176 FILLER_3_335
*3177 FILLER_3_337
*3178 FILLER_3_349
*3179 FILLER_3_361
*3180 FILLER_3_373
*3181 FILLER_3_385
*3182 FILLER_3_39
*3183 FILLER_3_391
*3184 FILLER_3_393
*3185 FILLER_3_405
*3186 FILLER_3_417
*3187 FILLER_3_429
*3188 FILLER_3_441
*3189 FILLER_3_447
*3190 FILLER_3_449
*3191 FILLER_3_461
*3192 FILLER_3_473
*3193 FILLER_3_485
*3194 FILLER_3_497
*3195 FILLER_3_503
*3196 FILLER_3_505
*3197 FILLER_3_51
*3198 FILLER_3_517
*3199 FILLER_3_529
*3200 FILLER_3_541
*3201 FILLER_3_55
*3202 FILLER_3_553
*3203 FILLER_3_559
*3204 FILLER_3_561
*3205 FILLER_3_57
*3206 FILLER_3_573
*3207 FILLER_3_585
*3208 FILLER_3_597
*3209 FILLER_3_609
*3210 FILLER_3_615
*3211 FILLER_3_617
*3212 FILLER_3_69
*3213 FILLER_3_81
*3214 FILLER_3_93
*3215 FILLER_40_109
*3216 FILLER_40_121
*3217 FILLER_40_128
*3218 FILLER_40_138
*3219 FILLER_40_141
*3220 FILLER_40_15
*3221 FILLER_40_163
*3222 FILLER_40_172
*3223 FILLER_40_192
*3224 FILLER_40_197
*3225 FILLER_40_209
*3226 FILLER_40_229
*3227 FILLER_40_237
*3228 FILLER_40_241
*3229 FILLER_40_250
*3230 FILLER_40_253
*3231 FILLER_40_27
*3232 FILLER_40_271
*3233 FILLER_40_283
*3234 FILLER_40_29
*3235 FILLER_40_295
*3236 FILLER_40_3
*3237 FILLER_40_306
*3238 FILLER_40_309
*3239 FILLER_40_329
*3240 FILLER_40_339
*3241 FILLER_40_345
*3242 FILLER_40_362
*3243 FILLER_40_365
*3244 FILLER_40_372
*3245 FILLER_40_400
*3246 FILLER_40_406
*3247 FILLER_40_41
*3248 FILLER_40_418
*3249 FILLER_40_421
*3250 FILLER_40_435
*3251 FILLER_40_459
*3252 FILLER_40_471
*3253 FILLER_40_475
*3254 FILLER_40_477
*3255 FILLER_40_489
*3256 FILLER_40_501
*3257 FILLER_40_513
*3258 FILLER_40_525
*3259 FILLER_40_53
*3260 FILLER_40_531
*3261 FILLER_40_533
*3262 FILLER_40_545
*3263 FILLER_40_557
*3264 FILLER_40_569
*3265 FILLER_40_581
*3266 FILLER_40_587
*3267 FILLER_40_589
*3268 FILLER_40_601
*3269 FILLER_40_613
*3270 FILLER_40_65
*3271 FILLER_40_77
*3272 FILLER_40_83
*3273 FILLER_40_85
*3274 FILLER_40_97
*3275 FILLER_41_105
*3276 FILLER_41_111
*3277 FILLER_41_113
*3278 FILLER_41_125
*3279 FILLER_41_130
*3280 FILLER_41_134
*3281 FILLER_41_139
*3282 FILLER_41_143
*3283 FILLER_41_149
*3284 FILLER_41_15
*3285 FILLER_41_156
*3286 FILLER_41_169
*3287 FILLER_41_175
*3288 FILLER_41_195
*3289 FILLER_41_202
*3290 FILLER_41_222
*3291 FILLER_41_225
*3292 FILLER_41_237
*3293 FILLER_41_241
*3294 FILLER_41_265
*3295 FILLER_41_27
*3296 FILLER_41_277
*3297 FILLER_41_281
*3298 FILLER_41_293
*3299 FILLER_41_3
*3300 FILLER_41_305
*3301 FILLER_41_311
*3302 FILLER_41_331
*3303 FILLER_41_335
*3304 FILLER_41_337
*3305 FILLER_41_343
*3306 FILLER_41_347
*3307 FILLER_41_367
*3308 FILLER_41_373
*3309 FILLER_41_39
*3310 FILLER_41_390
*3311 FILLER_41_393
*3312 FILLER_41_400
*3313 FILLER_41_420
*3314 FILLER_41_440
*3315 FILLER_41_449
*3316 FILLER_41_460
*3317 FILLER_41_470
*3318 FILLER_41_482
*3319 FILLER_41_494
*3320 FILLER_41_502
*3321 FILLER_41_505
*3322 FILLER_41_51
*3323 FILLER_41_517
*3324 FILLER_41_529
*3325 FILLER_41_541
*3326 FILLER_41_55
*3327 FILLER_41_553
*3328 FILLER_41_559
*3329 FILLER_41_561
*3330 FILLER_41_57
*3331 FILLER_41_573
*3332 FILLER_41_585
*3333 FILLER_41_597
*3334 FILLER_41_609
*3335 FILLER_41_615
*3336 FILLER_41_617
*3337 FILLER_41_69
*3338 FILLER_41_81
*3339 FILLER_41_93
*3340 FILLER_42_109
*3341 FILLER_42_121
*3342 FILLER_42_129
*3343 FILLER_42_138
*3344 FILLER_42_141
*3345 FILLER_42_148
*3346 FILLER_42_15
*3347 FILLER_42_160
*3348 FILLER_42_172
*3349 FILLER_42_189
*3350 FILLER_42_195
*3351 FILLER_42_197
*3352 FILLER_42_205
*3353 FILLER_42_210
*3354 FILLER_42_230
*3355 FILLER_42_242
*3356 FILLER_42_250
*3357 FILLER_42_253
*3358 FILLER_42_258
*3359 FILLER_42_27
*3360 FILLER_42_270
*3361 FILLER_42_282
*3362 FILLER_42_29
*3363 FILLER_42_294
*3364 FILLER_42_3
*3365 FILLER_42_306
*3366 FILLER_42_309
*3367 FILLER_42_324
*3368 FILLER_42_336
*3369 FILLER_42_344
*3370 FILLER_42_353
*3371 FILLER_42_360
*3372 FILLER_42_365
*3373 FILLER_42_377
*3374 FILLER_42_381
*3375 FILLER_42_385
*3376 FILLER_42_392
*3377 FILLER_42_404
*3378 FILLER_42_41
*3379 FILLER_42_410
*3380 FILLER_42_414
*3381 FILLER_42_418
*3382 FILLER_42_421
*3383 FILLER_42_439
*3384 FILLER_42_451
*3385 FILLER_42_468
*3386 FILLER_42_477
*3387 FILLER_42_489
*3388 FILLER_42_501
*3389 FILLER_42_513
*3390 FILLER_42_525
*3391 FILLER_42_53
*3392 FILLER_42_531
*3393 FILLER_42_533
*3394 FILLER_42_545
*3395 FILLER_42_557
*3396 FILLER_42_569
*3397 FILLER_42_581
*3398 FILLER_42_587
*3399 FILLER_42_589
*3400 FILLER_42_601
*3401 FILLER_42_613
*3402 FILLER_42_65
*3403 FILLER_42_77
*3404 FILLER_42_83
*3405 FILLER_42_85
*3406 FILLER_42_97
*3407 FILLER_43_105
*3408 FILLER_43_111
*3409 FILLER_43_113
*3410 FILLER_43_125
*3411 FILLER_43_144
*3412 FILLER_43_15
*3413 FILLER_43_156
*3414 FILLER_43_169
*3415 FILLER_43_175
*3416 FILLER_43_179
*3417 FILLER_43_199
*3418 FILLER_43_214
*3419 FILLER_43_221
*3420 FILLER_43_225
*3421 FILLER_43_233
*3422 FILLER_43_245
*3423 FILLER_43_249
*3424 FILLER_43_256
*3425 FILLER_43_266
*3426 FILLER_43_27
*3427 FILLER_43_278
*3428 FILLER_43_281
*3429 FILLER_43_289
*3430 FILLER_43_3
*3431 FILLER_43_306
*3432 FILLER_43_310
*3433 FILLER_43_317
*3434 FILLER_43_329
*3435 FILLER_43_334
*3436 FILLER_43_337
*3437 FILLER_43_342
*3438 FILLER_43_348
*3439 FILLER_43_355
*3440 FILLER_43_367
*3441 FILLER_43_379
*3442 FILLER_43_39
*3443 FILLER_43_391
*3444 FILLER_43_393
*3445 FILLER_43_405
*3446 FILLER_43_417
*3447 FILLER_43_425
*3448 FILLER_43_429
*3449 FILLER_43_441
*3450 FILLER_43_446
*3451 FILLER_43_449
*3452 FILLER_43_455
*3453 FILLER_43_472
*3454 FILLER_43_496
*3455 FILLER_43_505
*3456 FILLER_43_51
*3457 FILLER_43_517
*3458 FILLER_43_529
*3459 FILLER_43_541
*3460 FILLER_43_55
*3461 FILLER_43_553
*3462 FILLER_43_559
*3463 FILLER_43_561
*3464 FILLER_43_57
*3465 FILLER_43_573
*3466 FILLER_43_585
*3467 FILLER_43_597
*3468 FILLER_43_609
*3469 FILLER_43_615
*3470 FILLER_43_617
*3471 FILLER_43_69
*3472 FILLER_43_81
*3473 FILLER_43_93
*3474 FILLER_44_109
*3475 FILLER_44_121
*3476 FILLER_44_136
*3477 FILLER_44_141
*3478 FILLER_44_15
*3479 FILLER_44_153
*3480 FILLER_44_165
*3481 FILLER_44_177
*3482 FILLER_44_189
*3483 FILLER_44_194
*3484 FILLER_44_197
*3485 FILLER_44_219
*3486 FILLER_44_231
*3487 FILLER_44_243
*3488 FILLER_44_251
*3489 FILLER_44_253
*3490 FILLER_44_27
*3491 FILLER_44_271
*3492 FILLER_44_286
*3493 FILLER_44_29
*3494 FILLER_44_3
*3495 FILLER_44_306
*3496 FILLER_44_309
*3497 FILLER_44_335
*3498 FILLER_44_355
*3499 FILLER_44_363
*3500 FILLER_44_365
*3501 FILLER_44_377
*3502 FILLER_44_389
*3503 FILLER_44_401
*3504 FILLER_44_405
*3505 FILLER_44_41
*3506 FILLER_44_412
*3507 FILLER_44_421
*3508 FILLER_44_433
*3509 FILLER_44_461
*3510 FILLER_44_469
*3511 FILLER_44_475
*3512 FILLER_44_477
*3513 FILLER_44_489
*3514 FILLER_44_501
*3515 FILLER_44_513
*3516 FILLER_44_525
*3517 FILLER_44_53
*3518 FILLER_44_531
*3519 FILLER_44_533
*3520 FILLER_44_545
*3521 FILLER_44_557
*3522 FILLER_44_569
*3523 FILLER_44_581
*3524 FILLER_44_587
*3525 FILLER_44_589
*3526 FILLER_44_601
*3527 FILLER_44_613
*3528 FILLER_44_65
*3529 FILLER_44_77
*3530 FILLER_44_83
*3531 FILLER_44_85
*3532 FILLER_44_97
*3533 FILLER_45_105
*3534 FILLER_45_111
*3535 FILLER_45_113
*3536 FILLER_45_125
*3537 FILLER_45_137
*3538 FILLER_45_149
*3539 FILLER_45_15
*3540 FILLER_45_161
*3541 FILLER_45_167
*3542 FILLER_45_169
*3543 FILLER_45_187
*3544 FILLER_45_199
*3545 FILLER_45_211
*3546 FILLER_45_223
*3547 FILLER_45_225
*3548 FILLER_45_233
*3549 FILLER_45_251
*3550 FILLER_45_27
*3551 FILLER_45_271
*3552 FILLER_45_278
*3553 FILLER_45_281
*3554 FILLER_45_288
*3555 FILLER_45_3
*3556 FILLER_45_308
*3557 FILLER_45_316
*3558 FILLER_45_334
*3559 FILLER_45_337
*3560 FILLER_45_355
*3561 FILLER_45_367
*3562 FILLER_45_371
*3563 FILLER_45_388
*3564 FILLER_45_39
*3565 FILLER_45_393
*3566 FILLER_45_397
*3567 FILLER_45_401
*3568 FILLER_45_421
*3569 FILLER_45_431
*3570 FILLER_45_443
*3571 FILLER_45_447
*3572 FILLER_45_449
*3573 FILLER_45_460
*3574 FILLER_45_471
*3575 FILLER_45_483
*3576 FILLER_45_495
*3577 FILLER_45_503
*3578 FILLER_45_505
*3579 FILLER_45_51
*3580 FILLER_45_517
*3581 FILLER_45_529
*3582 FILLER_45_541
*3583 FILLER_45_55
*3584 FILLER_45_553
*3585 FILLER_45_559
*3586 FILLER_45_561
*3587 FILLER_45_57
*3588 FILLER_45_573
*3589 FILLER_45_585
*3590 FILLER_45_597
*3591 FILLER_45_609
*3592 FILLER_45_615
*3593 FILLER_45_617
*3594 FILLER_45_623
*3595 FILLER_45_69
*3596 FILLER_45_81
*3597 FILLER_45_93
*3598 FILLER_46_109
*3599 FILLER_46_121
*3600 FILLER_46_133
*3601 FILLER_46_139
*3602 FILLER_46_141
*3603 FILLER_46_15
*3604 FILLER_46_153
*3605 FILLER_46_165
*3606 FILLER_46_189
*3607 FILLER_46_195
*3608 FILLER_46_197
*3609 FILLER_46_209
*3610 FILLER_46_229
*3611 FILLER_46_237
*3612 FILLER_46_243
*3613 FILLER_46_250
*3614 FILLER_46_253
*3615 FILLER_46_27
*3616 FILLER_46_271
*3617 FILLER_46_283
*3618 FILLER_46_29
*3619 FILLER_46_3
*3620 FILLER_46_305
*3621 FILLER_46_309
*3622 FILLER_46_321
*3623 FILLER_46_328
*3624 FILLER_46_348
*3625 FILLER_46_360
*3626 FILLER_46_365
*3627 FILLER_46_369
*3628 FILLER_46_386
*3629 FILLER_46_393
*3630 FILLER_46_401
*3631 FILLER_46_41
*3632 FILLER_46_418
*3633 FILLER_46_421
*3634 FILLER_46_439
*3635 FILLER_46_447
*3636 FILLER_46_457
*3637 FILLER_46_466
*3638 FILLER_46_474
*3639 FILLER_46_477
*3640 FILLER_46_482
*3641 FILLER_46_494
*3642 FILLER_46_506
*3643 FILLER_46_518
*3644 FILLER_46_53
*3645 FILLER_46_530
*3646 FILLER_46_533
*3647 FILLER_46_545
*3648 FILLER_46_557
*3649 FILLER_46_569
*3650 FILLER_46_581
*3651 FILLER_46_587
*3652 FILLER_46_589
*3653 FILLER_46_601
*3654 FILLER_46_613
*3655 FILLER_46_65
*3656 FILLER_46_77
*3657 FILLER_46_83
*3658 FILLER_46_85
*3659 FILLER_46_97
*3660 FILLER_47_105
*3661 FILLER_47_111
*3662 FILLER_47_113
*3663 FILLER_47_125
*3664 FILLER_47_137
*3665 FILLER_47_149
*3666 FILLER_47_15
*3667 FILLER_47_161
*3668 FILLER_47_166
*3669 FILLER_47_169
*3670 FILLER_47_175
*3671 FILLER_47_179
*3672 FILLER_47_183
*3673 FILLER_47_200
*3674 FILLER_47_210
*3675 FILLER_47_216
*3676 FILLER_47_220
*3677 FILLER_47_225
*3678 FILLER_47_233
*3679 FILLER_47_238
*3680 FILLER_47_262
*3681 FILLER_47_269
*3682 FILLER_47_27
*3683 FILLER_47_277
*3684 FILLER_47_281
*3685 FILLER_47_293
*3686 FILLER_47_3
*3687 FILLER_47_302
*3688 FILLER_47_314
*3689 FILLER_47_326
*3690 FILLER_47_334
*3691 FILLER_47_337
*3692 FILLER_47_342
*3693 FILLER_47_349
*3694 FILLER_47_361
*3695 FILLER_47_365
*3696 FILLER_47_385
*3697 FILLER_47_39
*3698 FILLER_47_391
*3699 FILLER_47_393
*3700 FILLER_47_401
*3701 FILLER_47_421
*3702 FILLER_47_428
*3703 FILLER_47_440
*3704 FILLER_47_446
*3705 FILLER_47_449
*3706 FILLER_47_467
*3707 FILLER_47_475
*3708 FILLER_47_481
*3709 FILLER_47_493
*3710 FILLER_47_501
*3711 FILLER_47_505
*3712 FILLER_47_51
*3713 FILLER_47_517
*3714 FILLER_47_529
*3715 FILLER_47_541
*3716 FILLER_47_55
*3717 FILLER_47_553
*3718 FILLER_47_559
*3719 FILLER_47_561
*3720 FILLER_47_57
*3721 FILLER_47_573
*3722 FILLER_47_585
*3723 FILLER_47_597
*3724 FILLER_47_609
*3725 FILLER_47_615
*3726 FILLER_47_617
*3727 FILLER_47_69
*3728 FILLER_47_81
*3729 FILLER_47_93
*3730 FILLER_48_109
*3731 FILLER_48_121
*3732 FILLER_48_133
*3733 FILLER_48_139
*3734 FILLER_48_141
*3735 FILLER_48_15
*3736 FILLER_48_153
*3737 FILLER_48_165
*3738 FILLER_48_189
*3739 FILLER_48_195
*3740 FILLER_48_197
*3741 FILLER_48_209
*3742 FILLER_48_221
*3743 FILLER_48_233
*3744 FILLER_48_245
*3745 FILLER_48_251
*3746 FILLER_48_253
*3747 FILLER_48_258
*3748 FILLER_48_27
*3749 FILLER_48_270
*3750 FILLER_48_282
*3751 FILLER_48_29
*3752 FILLER_48_294
*3753 FILLER_48_3
*3754 FILLER_48_306
*3755 FILLER_48_309
*3756 FILLER_48_323
*3757 FILLER_48_347
*3758 FILLER_48_359
*3759 FILLER_48_363
*3760 FILLER_48_365
*3761 FILLER_48_387
*3762 FILLER_48_399
*3763 FILLER_48_407
*3764 FILLER_48_41
*3765 FILLER_48_412
*3766 FILLER_48_421
*3767 FILLER_48_433
*3768 FILLER_48_445
*3769 FILLER_48_459
*3770 FILLER_48_467
*3771 FILLER_48_474
*3772 FILLER_48_477
*3773 FILLER_48_495
*3774 FILLER_48_507
*3775 FILLER_48_519
*3776 FILLER_48_53
*3777 FILLER_48_531
*3778 FILLER_48_533
*3779 FILLER_48_545
*3780 FILLER_48_557
*3781 FILLER_48_569
*3782 FILLER_48_581
*3783 FILLER_48_587
*3784 FILLER_48_589
*3785 FILLER_48_601
*3786 FILLER_48_613
*3787 FILLER_48_65
*3788 FILLER_48_77
*3789 FILLER_48_83
*3790 FILLER_48_85
*3791 FILLER_48_97
*3792 FILLER_49_105
*3793 FILLER_49_111
*3794 FILLER_49_113
*3795 FILLER_49_125
*3796 FILLER_49_137
*3797 FILLER_49_149
*3798 FILLER_49_15
*3799 FILLER_49_161
*3800 FILLER_49_167
*3801 FILLER_49_169
*3802 FILLER_49_175
*3803 FILLER_49_179
*3804 FILLER_49_190
*3805 FILLER_49_202
*3806 FILLER_49_214
*3807 FILLER_49_222
*3808 FILLER_49_225
*3809 FILLER_49_237
*3810 FILLER_49_257
*3811 FILLER_49_269
*3812 FILLER_49_27
*3813 FILLER_49_277
*3814 FILLER_49_281
*3815 FILLER_49_293
*3816 FILLER_49_3
*3817 FILLER_49_305
*3818 FILLER_49_313
*3819 FILLER_49_330
*3820 FILLER_49_337
*3821 FILLER_49_349
*3822 FILLER_49_361
*3823 FILLER_49_373
*3824 FILLER_49_377
*3825 FILLER_49_384
*3826 FILLER_49_39
*3827 FILLER_49_393
*3828 FILLER_49_405
*3829 FILLER_49_411
*3830 FILLER_49_423
*3831 FILLER_49_435
*3832 FILLER_49_447
*3833 FILLER_49_449
*3834 FILLER_49_453
*3835 FILLER_49_457
*3836 FILLER_49_466
*3837 FILLER_49_476
*3838 FILLER_49_485
*3839 FILLER_49_492
*3840 FILLER_49_505
*3841 FILLER_49_51
*3842 FILLER_49_517
*3843 FILLER_49_529
*3844 FILLER_49_541
*3845 FILLER_49_55
*3846 FILLER_49_553
*3847 FILLER_49_559
*3848 FILLER_49_561
*3849 FILLER_49_57
*3850 FILLER_49_573
*3851 FILLER_49_585
*3852 FILLER_49_597
*3853 FILLER_49_609
*3854 FILLER_49_615
*3855 FILLER_49_617
*3856 FILLER_49_69
*3857 FILLER_49_81
*3858 FILLER_49_93
*3859 FILLER_4_109
*3860 FILLER_4_121
*3861 FILLER_4_133
*3862 FILLER_4_139
*3863 FILLER_4_141
*3864 FILLER_4_15
*3865 FILLER_4_153
*3866 FILLER_4_165
*3867 FILLER_4_177
*3868 FILLER_4_189
*3869 FILLER_4_195
*3870 FILLER_4_197
*3871 FILLER_4_209
*3872 FILLER_4_221
*3873 FILLER_4_233
*3874 FILLER_4_245
*3875 FILLER_4_251
*3876 FILLER_4_253
*3877 FILLER_4_265
*3878 FILLER_4_27
*3879 FILLER_4_277
*3880 FILLER_4_289
*3881 FILLER_4_29
*3882 FILLER_4_3
*3883 FILLER_4_301
*3884 FILLER_4_307
*3885 FILLER_4_309
*3886 FILLER_4_321
*3887 FILLER_4_333
*3888 FILLER_4_345
*3889 FILLER_4_357
*3890 FILLER_4_363
*3891 FILLER_4_365
*3892 FILLER_4_377
*3893 FILLER_4_389
*3894 FILLER_4_401
*3895 FILLER_4_41
*3896 FILLER_4_413
*3897 FILLER_4_419
*3898 FILLER_4_421
*3899 FILLER_4_433
*3900 FILLER_4_445
*3901 FILLER_4_457
*3902 FILLER_4_469
*3903 FILLER_4_475
*3904 FILLER_4_477
*3905 FILLER_4_489
*3906 FILLER_4_501
*3907 FILLER_4_513
*3908 FILLER_4_525
*3909 FILLER_4_53
*3910 FILLER_4_531
*3911 FILLER_4_533
*3912 FILLER_4_545
*3913 FILLER_4_557
*3914 FILLER_4_569
*3915 FILLER_4_581
*3916 FILLER_4_587
*3917 FILLER_4_589
*3918 FILLER_4_601
*3919 FILLER_4_613
*3920 FILLER_4_65
*3921 FILLER_4_77
*3922 FILLER_4_83
*3923 FILLER_4_85
*3924 FILLER_4_97
*3925 FILLER_50_109
*3926 FILLER_50_121
*3927 FILLER_50_133
*3928 FILLER_50_139
*3929 FILLER_50_141
*3930 FILLER_50_15
*3931 FILLER_50_153
*3932 FILLER_50_165
*3933 FILLER_50_177
*3934 FILLER_50_189
*3935 FILLER_50_195
*3936 FILLER_50_197
*3937 FILLER_50_209
*3938 FILLER_50_221
*3939 FILLER_50_225
*3940 FILLER_50_229
*3941 FILLER_50_249
*3942 FILLER_50_253
*3943 FILLER_50_261
*3944 FILLER_50_27
*3945 FILLER_50_273
*3946 FILLER_50_285
*3947 FILLER_50_29
*3948 FILLER_50_297
*3949 FILLER_50_3
*3950 FILLER_50_305
*3951 FILLER_50_309
*3952 FILLER_50_317
*3953 FILLER_50_321
*3954 FILLER_50_345
*3955 FILLER_50_357
*3956 FILLER_50_363
*3957 FILLER_50_365
*3958 FILLER_50_377
*3959 FILLER_50_389
*3960 FILLER_50_401
*3961 FILLER_50_41
*3962 FILLER_50_413
*3963 FILLER_50_419
*3964 FILLER_50_421
*3965 FILLER_50_433
*3966 FILLER_50_445
*3967 FILLER_50_457
*3968 FILLER_50_469
*3969 FILLER_50_473
*3970 FILLER_50_477
*3971 FILLER_50_495
*3972 FILLER_50_507
*3973 FILLER_50_519
*3974 FILLER_50_53
*3975 FILLER_50_531
*3976 FILLER_50_533
*3977 FILLER_50_545
*3978 FILLER_50_557
*3979 FILLER_50_569
*3980 FILLER_50_581
*3981 FILLER_50_587
*3982 FILLER_50_589
*3983 FILLER_50_601
*3984 FILLER_50_613
*3985 FILLER_50_65
*3986 FILLER_50_77
*3987 FILLER_50_83
*3988 FILLER_50_85
*3989 FILLER_50_97
*3990 FILLER_51_105
*3991 FILLER_51_111
*3992 FILLER_51_113
*3993 FILLER_51_125
*3994 FILLER_51_137
*3995 FILLER_51_149
*3996 FILLER_51_15
*3997 FILLER_51_161
*3998 FILLER_51_167
*3999 FILLER_51_169
*4000 FILLER_51_181
*4001 FILLER_51_193
*4002 FILLER_51_205
*4003 FILLER_51_217
*4004 FILLER_51_223
*4005 FILLER_51_225
*4006 FILLER_51_233
*4007 FILLER_51_237
*4008 FILLER_51_257
*4009 FILLER_51_264
*4010 FILLER_51_27
*4011 FILLER_51_276
*4012 FILLER_51_281
*4013 FILLER_51_293
*4014 FILLER_51_3
*4015 FILLER_51_305
*4016 FILLER_51_317
*4017 FILLER_51_334
*4018 FILLER_51_337
*4019 FILLER_51_342
*4020 FILLER_51_354
*4021 FILLER_51_382
*4022 FILLER_51_39
*4023 FILLER_51_390
*4024 FILLER_51_393
*4025 FILLER_51_405
*4026 FILLER_51_417
*4027 FILLER_51_429
*4028 FILLER_51_441
*4029 FILLER_51_447
*4030 FILLER_51_449
*4031 FILLER_51_459
*4032 FILLER_51_471
*4033 FILLER_51_483
*4034 FILLER_51_495
*4035 FILLER_51_503
*4036 FILLER_51_505
*4037 FILLER_51_51
*4038 FILLER_51_517
*4039 FILLER_51_529
*4040 FILLER_51_541
*4041 FILLER_51_55
*4042 FILLER_51_553
*4043 FILLER_51_559
*4044 FILLER_51_561
*4045 FILLER_51_57
*4046 FILLER_51_573
*4047 FILLER_51_585
*4048 FILLER_51_597
*4049 FILLER_51_609
*4050 FILLER_51_615
*4051 FILLER_51_617
*4052 FILLER_51_69
*4053 FILLER_51_81
*4054 FILLER_51_93
*4055 FILLER_52_109
*4056 FILLER_52_121
*4057 FILLER_52_133
*4058 FILLER_52_139
*4059 FILLER_52_141
*4060 FILLER_52_15
*4061 FILLER_52_153
*4062 FILLER_52_165
*4063 FILLER_52_177
*4064 FILLER_52_189
*4065 FILLER_52_195
*4066 FILLER_52_197
*4067 FILLER_52_209
*4068 FILLER_52_221
*4069 FILLER_52_233
*4070 FILLER_52_241
*4071 FILLER_52_247
*4072 FILLER_52_251
*4073 FILLER_52_253
*4074 FILLER_52_265
*4075 FILLER_52_27
*4076 FILLER_52_277
*4077 FILLER_52_289
*4078 FILLER_52_29
*4079 FILLER_52_3
*4080 FILLER_52_301
*4081 FILLER_52_307
*4082 FILLER_52_309
*4083 FILLER_52_317
*4084 FILLER_52_336
*4085 FILLER_52_346
*4086 FILLER_52_358
*4087 FILLER_52_365
*4088 FILLER_52_383
*4089 FILLER_52_395
*4090 FILLER_52_407
*4091 FILLER_52_41
*4092 FILLER_52_419
*4093 FILLER_52_421
*4094 FILLER_52_433
*4095 FILLER_52_445
*4096 FILLER_52_467
*4097 FILLER_52_473
*4098 FILLER_52_477
*4099 FILLER_52_489
*4100 FILLER_52_501
*4101 FILLER_52_513
*4102 FILLER_52_525
*4103 FILLER_52_53
*4104 FILLER_52_531
*4105 FILLER_52_533
*4106 FILLER_52_545
*4107 FILLER_52_557
*4108 FILLER_52_569
*4109 FILLER_52_581
*4110 FILLER_52_587
*4111 FILLER_52_589
*4112 FILLER_52_601
*4113 FILLER_52_613
*4114 FILLER_52_65
*4115 FILLER_52_77
*4116 FILLER_52_83
*4117 FILLER_52_85
*4118 FILLER_52_97
*4119 FILLER_53_105
*4120 FILLER_53_111
*4121 FILLER_53_113
*4122 FILLER_53_125
*4123 FILLER_53_137
*4124 FILLER_53_149
*4125 FILLER_53_15
*4126 FILLER_53_161
*4127 FILLER_53_167
*4128 FILLER_53_169
*4129 FILLER_53_181
*4130 FILLER_53_193
*4131 FILLER_53_205
*4132 FILLER_53_217
*4133 FILLER_53_223
*4134 FILLER_53_225
*4135 FILLER_53_237
*4136 FILLER_53_256
*4137 FILLER_53_268
*4138 FILLER_53_27
*4139 FILLER_53_281
*4140 FILLER_53_293
*4141 FILLER_53_3
*4142 FILLER_53_305
*4143 FILLER_53_317
*4144 FILLER_53_326
*4145 FILLER_53_333
*4146 FILLER_53_337
*4147 FILLER_53_341
*4148 FILLER_53_365
*4149 FILLER_53_385
*4150 FILLER_53_39
*4151 FILLER_53_391
*4152 FILLER_53_393
*4153 FILLER_53_405
*4154 FILLER_53_417
*4155 FILLER_53_429
*4156 FILLER_53_441
*4157 FILLER_53_446
*4158 FILLER_53_449
*4159 FILLER_53_465
*4160 FILLER_53_489
*4161 FILLER_53_501
*4162 FILLER_53_505
*4163 FILLER_53_51
*4164 FILLER_53_517
*4165 FILLER_53_529
*4166 FILLER_53_541
*4167 FILLER_53_55
*4168 FILLER_53_553
*4169 FILLER_53_559
*4170 FILLER_53_561
*4171 FILLER_53_57
*4172 FILLER_53_573
*4173 FILLER_53_585
*4174 FILLER_53_597
*4175 FILLER_53_609
*4176 FILLER_53_615
*4177 FILLER_53_617
*4178 FILLER_53_69
*4179 FILLER_53_81
*4180 FILLER_53_93
*4181 FILLER_54_109
*4182 FILLER_54_121
*4183 FILLER_54_133
*4184 FILLER_54_139
*4185 FILLER_54_141
*4186 FILLER_54_15
*4187 FILLER_54_153
*4188 FILLER_54_165
*4189 FILLER_54_177
*4190 FILLER_54_189
*4191 FILLER_54_195
*4192 FILLER_54_197
*4193 FILLER_54_209
*4194 FILLER_54_221
*4195 FILLER_54_233
*4196 FILLER_54_245
*4197 FILLER_54_251
*4198 FILLER_54_253
*4199 FILLER_54_265
*4200 FILLER_54_27
*4201 FILLER_54_277
*4202 FILLER_54_285
*4203 FILLER_54_29
*4204 FILLER_54_3
*4205 FILLER_54_303
*4206 FILLER_54_307
*4207 FILLER_54_309
*4208 FILLER_54_321
*4209 FILLER_54_333
*4210 FILLER_54_345
*4211 FILLER_54_357
*4212 FILLER_54_362
*4213 FILLER_54_365
*4214 FILLER_54_383
*4215 FILLER_54_390
*4216 FILLER_54_402
*4217 FILLER_54_41
*4218 FILLER_54_414
*4219 FILLER_54_421
*4220 FILLER_54_433
*4221 FILLER_54_439
*4222 FILLER_54_456
*4223 FILLER_54_467
*4224 FILLER_54_474
*4225 FILLER_54_477
*4226 FILLER_54_484
*4227 FILLER_54_491
*4228 FILLER_54_503
*4229 FILLER_54_515
*4230 FILLER_54_527
*4231 FILLER_54_53
*4232 FILLER_54_531
*4233 FILLER_54_533
*4234 FILLER_54_545
*4235 FILLER_54_557
*4236 FILLER_54_569
*4237 FILLER_54_581
*4238 FILLER_54_587
*4239 FILLER_54_589
*4240 FILLER_54_601
*4241 FILLER_54_613
*4242 FILLER_54_65
*4243 FILLER_54_77
*4244 FILLER_54_83
*4245 FILLER_54_85
*4246 FILLER_54_97
*4247 FILLER_55_105
*4248 FILLER_55_111
*4249 FILLER_55_113
*4250 FILLER_55_125
*4251 FILLER_55_137
*4252 FILLER_55_149
*4253 FILLER_55_15
*4254 FILLER_55_161
*4255 FILLER_55_167
*4256 FILLER_55_169
*4257 FILLER_55_181
*4258 FILLER_55_193
*4259 FILLER_55_205
*4260 FILLER_55_217
*4261 FILLER_55_223
*4262 FILLER_55_225
*4263 FILLER_55_237
*4264 FILLER_55_249
*4265 FILLER_55_258
*4266 FILLER_55_27
*4267 FILLER_55_270
*4268 FILLER_55_278
*4269 FILLER_55_281
*4270 FILLER_55_289
*4271 FILLER_55_293
*4272 FILLER_55_3
*4273 FILLER_55_305
*4274 FILLER_55_317
*4275 FILLER_55_325
*4276 FILLER_55_329
*4277 FILLER_55_335
*4278 FILLER_55_337
*4279 FILLER_55_349
*4280 FILLER_55_361
*4281 FILLER_55_365
*4282 FILLER_55_369
*4283 FILLER_55_381
*4284 FILLER_55_387
*4285 FILLER_55_39
*4286 FILLER_55_390
*4287 FILLER_55_393
*4288 FILLER_55_415
*4289 FILLER_55_421
*4290 FILLER_55_427
*4291 FILLER_55_438
*4292 FILLER_55_446
*4293 FILLER_55_449
*4294 FILLER_55_457
*4295 FILLER_55_468
*4296 FILLER_55_476
*4297 FILLER_55_494
*4298 FILLER_55_502
*4299 FILLER_55_505
*4300 FILLER_55_51
*4301 FILLER_55_517
*4302 FILLER_55_529
*4303 FILLER_55_541
*4304 FILLER_55_55
*4305 FILLER_55_553
*4306 FILLER_55_559
*4307 FILLER_55_561
*4308 FILLER_55_57
*4309 FILLER_55_573
*4310 FILLER_55_585
*4311 FILLER_55_597
*4312 FILLER_55_609
*4313 FILLER_55_615
*4314 FILLER_55_617
*4315 FILLER_55_69
*4316 FILLER_55_81
*4317 FILLER_55_93
*4318 FILLER_56_109
*4319 FILLER_56_121
*4320 FILLER_56_133
*4321 FILLER_56_139
*4322 FILLER_56_141
*4323 FILLER_56_15
*4324 FILLER_56_153
*4325 FILLER_56_165
*4326 FILLER_56_177
*4327 FILLER_56_189
*4328 FILLER_56_195
*4329 FILLER_56_197
*4330 FILLER_56_209
*4331 FILLER_56_221
*4332 FILLER_56_233
*4333 FILLER_56_245
*4334 FILLER_56_251
*4335 FILLER_56_253
*4336 FILLER_56_27
*4337 FILLER_56_271
*4338 FILLER_56_277
*4339 FILLER_56_289
*4340 FILLER_56_29
*4341 FILLER_56_3
*4342 FILLER_56_306
*4343 FILLER_56_309
*4344 FILLER_56_317
*4345 FILLER_56_325
*4346 FILLER_56_342
*4347 FILLER_56_352
*4348 FILLER_56_365
*4349 FILLER_56_370
*4350 FILLER_56_382
*4351 FILLER_56_394
*4352 FILLER_56_406
*4353 FILLER_56_41
*4354 FILLER_56_417
*4355 FILLER_56_421
*4356 FILLER_56_428
*4357 FILLER_56_435
*4358 FILLER_56_452
*4359 FILLER_56_462
*4360 FILLER_56_474
*4361 FILLER_56_477
*4362 FILLER_56_484
*4363 FILLER_56_496
*4364 FILLER_56_508
*4365 FILLER_56_520
*4366 FILLER_56_53
*4367 FILLER_56_533
*4368 FILLER_56_545
*4369 FILLER_56_557
*4370 FILLER_56_569
*4371 FILLER_56_581
*4372 FILLER_56_587
*4373 FILLER_56_589
*4374 FILLER_56_601
*4375 FILLER_56_613
*4376 FILLER_56_65
*4377 FILLER_56_77
*4378 FILLER_56_83
*4379 FILLER_56_85
*4380 FILLER_56_97
*4381 FILLER_57_105
*4382 FILLER_57_111
*4383 FILLER_57_113
*4384 FILLER_57_125
*4385 FILLER_57_137
*4386 FILLER_57_149
*4387 FILLER_57_15
*4388 FILLER_57_161
*4389 FILLER_57_167
*4390 FILLER_57_169
*4391 FILLER_57_181
*4392 FILLER_57_193
*4393 FILLER_57_205
*4394 FILLER_57_217
*4395 FILLER_57_223
*4396 FILLER_57_225
*4397 FILLER_57_230
*4398 FILLER_57_242
*4399 FILLER_57_268
*4400 FILLER_57_27
*4401 FILLER_57_275
*4402 FILLER_57_279
*4403 FILLER_57_281
*4404 FILLER_57_287
*4405 FILLER_57_3
*4406 FILLER_57_307
*4407 FILLER_57_314
*4408 FILLER_57_334
*4409 FILLER_57_337
*4410 FILLER_57_355
*4411 FILLER_57_367
*4412 FILLER_57_379
*4413 FILLER_57_39
*4414 FILLER_57_391
*4415 FILLER_57_393
*4416 FILLER_57_405
*4417 FILLER_57_424
*4418 FILLER_57_444
*4419 FILLER_57_449
*4420 FILLER_57_471
*4421 FILLER_57_483
*4422 FILLER_57_495
*4423 FILLER_57_503
*4424 FILLER_57_505
*4425 FILLER_57_51
*4426 FILLER_57_517
*4427 FILLER_57_529
*4428 FILLER_57_541
*4429 FILLER_57_55
*4430 FILLER_57_553
*4431 FILLER_57_559
*4432 FILLER_57_561
*4433 FILLER_57_57
*4434 FILLER_57_573
*4435 FILLER_57_585
*4436 FILLER_57_597
*4437 FILLER_57_609
*4438 FILLER_57_615
*4439 FILLER_57_617
*4440 FILLER_57_69
*4441 FILLER_57_81
*4442 FILLER_57_93
*4443 FILLER_58_109
*4444 FILLER_58_121
*4445 FILLER_58_133
*4446 FILLER_58_139
*4447 FILLER_58_141
*4448 FILLER_58_15
*4449 FILLER_58_153
*4450 FILLER_58_165
*4451 FILLER_58_177
*4452 FILLER_58_189
*4453 FILLER_58_195
*4454 FILLER_58_197
*4455 FILLER_58_209
*4456 FILLER_58_218
*4457 FILLER_58_238
*4458 FILLER_58_246
*4459 FILLER_58_250
*4460 FILLER_58_253
*4461 FILLER_58_27
*4462 FILLER_58_273
*4463 FILLER_58_283
*4464 FILLER_58_289
*4465 FILLER_58_29
*4466 FILLER_58_3
*4467 FILLER_58_306
*4468 FILLER_58_309
*4469 FILLER_58_320
*4470 FILLER_58_340
*4471 FILLER_58_350
*4472 FILLER_58_356
*4473 FILLER_58_360
*4474 FILLER_58_365
*4475 FILLER_58_377
*4476 FILLER_58_397
*4477 FILLER_58_404
*4478 FILLER_58_41
*4479 FILLER_58_412
*4480 FILLER_58_418
*4481 FILLER_58_421
*4482 FILLER_58_430
*4483 FILLER_58_437
*4484 FILLER_58_449
*4485 FILLER_58_457
*4486 FILLER_58_461
*4487 FILLER_58_473
*4488 FILLER_58_477
*4489 FILLER_58_489
*4490 FILLER_58_501
*4491 FILLER_58_513
*4492 FILLER_58_525
*4493 FILLER_58_53
*4494 FILLER_58_531
*4495 FILLER_58_533
*4496 FILLER_58_545
*4497 FILLER_58_557
*4498 FILLER_58_569
*4499 FILLER_58_581
*4500 FILLER_58_587
*4501 FILLER_58_589
*4502 FILLER_58_601
*4503 FILLER_58_613
*4504 FILLER_58_65
*4505 FILLER_58_77
*4506 FILLER_58_83
*4507 FILLER_58_85
*4508 FILLER_58_97
*4509 FILLER_59_105
*4510 FILLER_59_111
*4511 FILLER_59_113
*4512 FILLER_59_125
*4513 FILLER_59_137
*4514 FILLER_59_149
*4515 FILLER_59_15
*4516 FILLER_59_161
*4517 FILLER_59_167
*4518 FILLER_59_169
*4519 FILLER_59_181
*4520 FILLER_59_193
*4521 FILLER_59_205
*4522 FILLER_59_222
*4523 FILLER_59_225
*4524 FILLER_59_243
*4525 FILLER_59_27
*4526 FILLER_59_271
*4527 FILLER_59_279
*4528 FILLER_59_281
*4529 FILLER_59_293
*4530 FILLER_59_297
*4531 FILLER_59_3
*4532 FILLER_59_304
*4533 FILLER_59_316
*4534 FILLER_59_331
*4535 FILLER_59_335
*4536 FILLER_59_337
*4537 FILLER_59_349
*4538 FILLER_59_369
*4539 FILLER_59_381
*4540 FILLER_59_387
*4541 FILLER_59_39
*4542 FILLER_59_391
*4543 FILLER_59_393
*4544 FILLER_59_401
*4545 FILLER_59_413
*4546 FILLER_59_425
*4547 FILLER_59_437
*4548 FILLER_59_445
*4549 FILLER_59_449
*4550 FILLER_59_461
*4551 FILLER_59_473
*4552 FILLER_59_485
*4553 FILLER_59_497
*4554 FILLER_59_503
*4555 FILLER_59_505
*4556 FILLER_59_51
*4557 FILLER_59_517
*4558 FILLER_59_529
*4559 FILLER_59_541
*4560 FILLER_59_55
*4561 FILLER_59_553
*4562 FILLER_59_559
*4563 FILLER_59_561
*4564 FILLER_59_57
*4565 FILLER_59_573
*4566 FILLER_59_585
*4567 FILLER_59_597
*4568 FILLER_59_609
*4569 FILLER_59_615
*4570 FILLER_59_617
*4571 FILLER_59_69
*4572 FILLER_59_81
*4573 FILLER_59_93
*4574 FILLER_5_105
*4575 FILLER_5_111
*4576 FILLER_5_113
*4577 FILLER_5_125
*4578 FILLER_5_137
*4579 FILLER_5_149
*4580 FILLER_5_15
*4581 FILLER_5_161
*4582 FILLER_5_167
*4583 FILLER_5_169
*4584 FILLER_5_181
*4585 FILLER_5_193
*4586 FILLER_5_205
*4587 FILLER_5_217
*4588 FILLER_5_223
*4589 FILLER_5_225
*4590 FILLER_5_237
*4591 FILLER_5_249
*4592 FILLER_5_261
*4593 FILLER_5_27
*4594 FILLER_5_273
*4595 FILLER_5_279
*4596 FILLER_5_281
*4597 FILLER_5_293
*4598 FILLER_5_3
*4599 FILLER_5_305
*4600 FILLER_5_317
*4601 FILLER_5_329
*4602 FILLER_5_335
*4603 FILLER_5_337
*4604 FILLER_5_349
*4605 FILLER_5_361
*4606 FILLER_5_373
*4607 FILLER_5_385
*4608 FILLER_5_39
*4609 FILLER_5_391
*4610 FILLER_5_393
*4611 FILLER_5_405
*4612 FILLER_5_417
*4613 FILLER_5_429
*4614 FILLER_5_441
*4615 FILLER_5_447
*4616 FILLER_5_449
*4617 FILLER_5_461
*4618 FILLER_5_473
*4619 FILLER_5_485
*4620 FILLER_5_497
*4621 FILLER_5_503
*4622 FILLER_5_505
*4623 FILLER_5_51
*4624 FILLER_5_517
*4625 FILLER_5_529
*4626 FILLER_5_541
*4627 FILLER_5_55
*4628 FILLER_5_553
*4629 FILLER_5_559
*4630 FILLER_5_561
*4631 FILLER_5_57
*4632 FILLER_5_573
*4633 FILLER_5_585
*4634 FILLER_5_597
*4635 FILLER_5_609
*4636 FILLER_5_615
*4637 FILLER_5_617
*4638 FILLER_5_69
*4639 FILLER_5_81
*4640 FILLER_5_93
*4641 FILLER_60_109
*4642 FILLER_60_121
*4643 FILLER_60_133
*4644 FILLER_60_139
*4645 FILLER_60_141
*4646 FILLER_60_15
*4647 FILLER_60_153
*4648 FILLER_60_165
*4649 FILLER_60_177
*4650 FILLER_60_189
*4651 FILLER_60_195
*4652 FILLER_60_197
*4653 FILLER_60_209
*4654 FILLER_60_215
*4655 FILLER_60_235
*4656 FILLER_60_245
*4657 FILLER_60_251
*4658 FILLER_60_253
*4659 FILLER_60_259
*4660 FILLER_60_27
*4661 FILLER_60_276
*4662 FILLER_60_283
*4663 FILLER_60_29
*4664 FILLER_60_295
*4665 FILLER_60_3
*4666 FILLER_60_307
*4667 FILLER_60_309
*4668 FILLER_60_321
*4669 FILLER_60_333
*4670 FILLER_60_345
*4671 FILLER_60_362
*4672 FILLER_60_365
*4673 FILLER_60_373
*4674 FILLER_60_381
*4675 FILLER_60_398
*4676 FILLER_60_405
*4677 FILLER_60_41
*4678 FILLER_60_417
*4679 FILLER_60_421
*4680 FILLER_60_428
*4681 FILLER_60_440
*4682 FILLER_60_452
*4683 FILLER_60_464
*4684 FILLER_60_477
*4685 FILLER_60_489
*4686 FILLER_60_501
*4687 FILLER_60_513
*4688 FILLER_60_525
*4689 FILLER_60_53
*4690 FILLER_60_531
*4691 FILLER_60_533
*4692 FILLER_60_545
*4693 FILLER_60_557
*4694 FILLER_60_569
*4695 FILLER_60_581
*4696 FILLER_60_587
*4697 FILLER_60_589
*4698 FILLER_60_601
*4699 FILLER_60_613
*4700 FILLER_60_65
*4701 FILLER_60_77
*4702 FILLER_60_83
*4703 FILLER_60_85
*4704 FILLER_60_97
*4705 FILLER_61_105
*4706 FILLER_61_111
*4707 FILLER_61_113
*4708 FILLER_61_125
*4709 FILLER_61_137
*4710 FILLER_61_149
*4711 FILLER_61_15
*4712 FILLER_61_161
*4713 FILLER_61_167
*4714 FILLER_61_169
*4715 FILLER_61_181
*4716 FILLER_61_193
*4717 FILLER_61_205
*4718 FILLER_61_217
*4719 FILLER_61_223
*4720 FILLER_61_225
*4721 FILLER_61_230
*4722 FILLER_61_242
*4723 FILLER_61_254
*4724 FILLER_61_27
*4725 FILLER_61_272
*4726 FILLER_61_281
*4727 FILLER_61_293
*4728 FILLER_61_3
*4729 FILLER_61_305
*4730 FILLER_61_313
*4731 FILLER_61_320
*4732 FILLER_61_332
*4733 FILLER_61_337
*4734 FILLER_61_343
*4735 FILLER_61_363
*4736 FILLER_61_370
*4737 FILLER_61_382
*4738 FILLER_61_388
*4739 FILLER_61_39
*4740 FILLER_61_393
*4741 FILLER_61_405
*4742 FILLER_61_417
*4743 FILLER_61_436
*4744 FILLER_61_449
*4745 FILLER_61_461
*4746 FILLER_61_473
*4747 FILLER_61_485
*4748 FILLER_61_497
*4749 FILLER_61_503
*4750 FILLER_61_505
*4751 FILLER_61_51
*4752 FILLER_61_517
*4753 FILLER_61_529
*4754 FILLER_61_541
*4755 FILLER_61_55
*4756 FILLER_61_553
*4757 FILLER_61_559
*4758 FILLER_61_561
*4759 FILLER_61_57
*4760 FILLER_61_573
*4761 FILLER_61_585
*4762 FILLER_61_597
*4763 FILLER_61_609
*4764 FILLER_61_615
*4765 FILLER_61_617
*4766 FILLER_61_69
*4767 FILLER_61_81
*4768 FILLER_61_93
*4769 FILLER_62_109
*4770 FILLER_62_121
*4771 FILLER_62_133
*4772 FILLER_62_139
*4773 FILLER_62_141
*4774 FILLER_62_15
*4775 FILLER_62_153
*4776 FILLER_62_165
*4777 FILLER_62_177
*4778 FILLER_62_189
*4779 FILLER_62_195
*4780 FILLER_62_197
*4781 FILLER_62_209
*4782 FILLER_62_221
*4783 FILLER_62_233
*4784 FILLER_62_245
*4785 FILLER_62_249
*4786 FILLER_62_253
*4787 FILLER_62_265
*4788 FILLER_62_27
*4789 FILLER_62_277
*4790 FILLER_62_281
*4791 FILLER_62_285
*4792 FILLER_62_29
*4793 FILLER_62_297
*4794 FILLER_62_3
*4795 FILLER_62_305
*4796 FILLER_62_309
*4797 FILLER_62_327
*4798 FILLER_62_334
*4799 FILLER_62_362
*4800 FILLER_62_365
*4801 FILLER_62_377
*4802 FILLER_62_397
*4803 FILLER_62_409
*4804 FILLER_62_41
*4805 FILLER_62_417
*4806 FILLER_62_421
*4807 FILLER_62_431
*4808 FILLER_62_443
*4809 FILLER_62_455
*4810 FILLER_62_467
*4811 FILLER_62_475
*4812 FILLER_62_477
*4813 FILLER_62_489
*4814 FILLER_62_501
*4815 FILLER_62_513
*4816 FILLER_62_525
*4817 FILLER_62_53
*4818 FILLER_62_531
*4819 FILLER_62_533
*4820 FILLER_62_545
*4821 FILLER_62_557
*4822 FILLER_62_569
*4823 FILLER_62_581
*4824 FILLER_62_587
*4825 FILLER_62_589
*4826 FILLER_62_601
*4827 FILLER_62_613
*4828 FILLER_62_65
*4829 FILLER_62_77
*4830 FILLER_62_83
*4831 FILLER_62_85
*4832 FILLER_62_97
*4833 FILLER_63_105
*4834 FILLER_63_111
*4835 FILLER_63_113
*4836 FILLER_63_120
*4837 FILLER_63_132
*4838 FILLER_63_144
*4839 FILLER_63_15
*4840 FILLER_63_156
*4841 FILLER_63_169
*4842 FILLER_63_181
*4843 FILLER_63_193
*4844 FILLER_63_205
*4845 FILLER_63_217
*4846 FILLER_63_223
*4847 FILLER_63_225
*4848 FILLER_63_233
*4849 FILLER_63_239
*4850 FILLER_63_259
*4851 FILLER_63_27
*4852 FILLER_63_271
*4853 FILLER_63_278
*4854 FILLER_63_281
*4855 FILLER_63_299
*4856 FILLER_63_3
*4857 FILLER_63_311
*4858 FILLER_63_334
*4859 FILLER_63_337
*4860 FILLER_63_341
*4861 FILLER_63_349
*4862 FILLER_63_353
*4863 FILLER_63_365
*4864 FILLER_63_377
*4865 FILLER_63_385
*4866 FILLER_63_389
*4867 FILLER_63_39
*4868 FILLER_63_393
*4869 FILLER_63_398
*4870 FILLER_63_410
*4871 FILLER_63_414
*4872 FILLER_63_420
*4873 FILLER_63_431
*4874 FILLER_63_440
*4875 FILLER_63_449
*4876 FILLER_63_461
*4877 FILLER_63_473
*4878 FILLER_63_485
*4879 FILLER_63_497
*4880 FILLER_63_503
*4881 FILLER_63_505
*4882 FILLER_63_51
*4883 FILLER_63_517
*4884 FILLER_63_529
*4885 FILLER_63_541
*4886 FILLER_63_55
*4887 FILLER_63_553
*4888 FILLER_63_559
*4889 FILLER_63_561
*4890 FILLER_63_57
*4891 FILLER_63_573
*4892 FILLER_63_585
*4893 FILLER_63_597
*4894 FILLER_63_609
*4895 FILLER_63_615
*4896 FILLER_63_617
*4897 FILLER_63_69
*4898 FILLER_63_81
*4899 FILLER_63_93
*4900 FILLER_64_109
*4901 FILLER_64_113
*4902 FILLER_64_130
*4903 FILLER_64_138
*4904 FILLER_64_141
*4905 FILLER_64_15
*4906 FILLER_64_153
*4907 FILLER_64_165
*4908 FILLER_64_177
*4909 FILLER_64_189
*4910 FILLER_64_195
*4911 FILLER_64_197
*4912 FILLER_64_209
*4913 FILLER_64_221
*4914 FILLER_64_233
*4915 FILLER_64_250
*4916 FILLER_64_253
*4917 FILLER_64_261
*4918 FILLER_64_269
*4919 FILLER_64_27
*4920 FILLER_64_287
*4921 FILLER_64_29
*4922 FILLER_64_291
*4923 FILLER_64_295
*4924 FILLER_64_3
*4925 FILLER_64_306
*4926 FILLER_64_309
*4927 FILLER_64_327
*4928 FILLER_64_338
*4929 FILLER_64_350
*4930 FILLER_64_362
*4931 FILLER_64_365
*4932 FILLER_64_377
*4933 FILLER_64_399
*4934 FILLER_64_409
*4935 FILLER_64_41
*4936 FILLER_64_418
*4937 FILLER_64_421
*4938 FILLER_64_439
*4939 FILLER_64_448
*4940 FILLER_64_455
*4941 FILLER_64_467
*4942 FILLER_64_47
*4943 FILLER_64_475
*4944 FILLER_64_477
*4945 FILLER_64_489
*4946 FILLER_64_501
*4947 FILLER_64_513
*4948 FILLER_64_525
*4949 FILLER_64_531
*4950 FILLER_64_533
*4951 FILLER_64_545
*4952 FILLER_64_557
*4953 FILLER_64_569
*4954 FILLER_64_581
*4955 FILLER_64_587
*4956 FILLER_64_589
*4957 FILLER_64_601
*4958 FILLER_64_613
*4959 FILLER_64_64
*4960 FILLER_64_76
*4961 FILLER_64_85
*4962 FILLER_64_97
*4963 FILLER_65_110
*4964 FILLER_65_113
*4965 FILLER_65_120
*4966 FILLER_65_140
*4967 FILLER_65_15
*4968 FILLER_65_152
*4969 FILLER_65_164
*4970 FILLER_65_169
*4971 FILLER_65_181
*4972 FILLER_65_193
*4973 FILLER_65_205
*4974 FILLER_65_217
*4975 FILLER_65_223
*4976 FILLER_65_225
*4977 FILLER_65_237
*4978 FILLER_65_257
*4979 FILLER_65_269
*4980 FILLER_65_27
*4981 FILLER_65_277
*4982 FILLER_65_281
*4983 FILLER_65_288
*4984 FILLER_65_297
*4985 FILLER_65_3
*4986 FILLER_65_305
*4987 FILLER_65_311
*4988 FILLER_65_322
*4989 FILLER_65_331
*4990 FILLER_65_335
*4991 FILLER_65_337
*4992 FILLER_65_355
*4993 FILLER_65_367
*4994 FILLER_65_373
*4995 FILLER_65_39
*4996 FILLER_65_390
*4997 FILLER_65_393
*4998 FILLER_65_411
*4999 FILLER_65_415
*5000 FILLER_65_436
*5001 FILLER_65_446
*5002 FILLER_65_449
*5003 FILLER_65_473
*5004 FILLER_65_485
*5005 FILLER_65_497
*5006 FILLER_65_503
*5007 FILLER_65_505
*5008 FILLER_65_517
*5009 FILLER_65_529
*5010 FILLER_65_54
*5011 FILLER_65_541
*5012 FILLER_65_553
*5013 FILLER_65_559
*5014 FILLER_65_561
*5015 FILLER_65_57
*5016 FILLER_65_573
*5017 FILLER_65_585
*5018 FILLER_65_597
*5019 FILLER_65_609
*5020 FILLER_65_615
*5021 FILLER_65_617
*5022 FILLER_65_77
*5023 FILLER_65_89
*5024 FILLER_65_93
*5025 FILLER_66_106
*5026 FILLER_66_126
*5027 FILLER_66_136
*5028 FILLER_66_141
*5029 FILLER_66_15
*5030 FILLER_66_153
*5031 FILLER_66_165
*5032 FILLER_66_177
*5033 FILLER_66_189
*5034 FILLER_66_195
*5035 FILLER_66_197
*5036 FILLER_66_209
*5037 FILLER_66_221
*5038 FILLER_66_233
*5039 FILLER_66_240
*5040 FILLER_66_247
*5041 FILLER_66_251
*5042 FILLER_66_253
*5043 FILLER_66_265
*5044 FILLER_66_27
*5045 FILLER_66_274
*5046 FILLER_66_285
*5047 FILLER_66_29
*5048 FILLER_66_295
*5049 FILLER_66_3
*5050 FILLER_66_304
*5051 FILLER_66_309
*5052 FILLER_66_317
*5053 FILLER_66_321
*5054 FILLER_66_327
*5055 FILLER_66_331
*5056 FILLER_66_335
*5057 FILLER_66_347
*5058 FILLER_66_359
*5059 FILLER_66_363
*5060 FILLER_66_365
*5061 FILLER_66_377
*5062 FILLER_66_381
*5063 FILLER_66_401
*5064 FILLER_66_41
*5065 FILLER_66_413
*5066 FILLER_66_416
*5067 FILLER_66_421
*5068 FILLER_66_426
*5069 FILLER_66_446
*5070 FILLER_66_450
*5071 FILLER_66_453
*5072 FILLER_66_457
*5073 FILLER_66_461
*5074 FILLER_66_473
*5075 FILLER_66_477
*5076 FILLER_66_489
*5077 FILLER_66_501
*5078 FILLER_66_513
*5079 FILLER_66_525
*5080 FILLER_66_531
*5081 FILLER_66_533
*5082 FILLER_66_545
*5083 FILLER_66_557
*5084 FILLER_66_569
*5085 FILLER_66_581
*5086 FILLER_66_587
*5087 FILLER_66_589
*5088 FILLER_66_601
*5089 FILLER_66_61
*5090 FILLER_66_613
*5091 FILLER_66_81
*5092 FILLER_66_85
*5093 FILLER_66_97
*5094 FILLER_67_105
*5095 FILLER_67_111
*5096 FILLER_67_113
*5097 FILLER_67_118
*5098 FILLER_67_130
*5099 FILLER_67_142
*5100 FILLER_67_15
*5101 FILLER_67_154
*5102 FILLER_67_166
*5103 FILLER_67_169
*5104 FILLER_67_181
*5105 FILLER_67_193
*5106 FILLER_67_205
*5107 FILLER_67_217
*5108 FILLER_67_223
*5109 FILLER_67_225
*5110 FILLER_67_237
*5111 FILLER_67_256
*5112 FILLER_67_268
*5113 FILLER_67_27
*5114 FILLER_67_281
*5115 FILLER_67_290
*5116 FILLER_67_3
*5117 FILLER_67_302
*5118 FILLER_67_314
*5119 FILLER_67_323
*5120 FILLER_67_335
*5121 FILLER_67_337
*5122 FILLER_67_349
*5123 FILLER_67_361
*5124 FILLER_67_373
*5125 FILLER_67_385
*5126 FILLER_67_39
*5127 FILLER_67_390
*5128 FILLER_67_393
*5129 FILLER_67_405
*5130 FILLER_67_417
*5131 FILLER_67_429
*5132 FILLER_67_436
*5133 FILLER_67_449
*5134 FILLER_67_461
*5135 FILLER_67_47
*5136 FILLER_67_473
*5137 FILLER_67_485
*5138 FILLER_67_497
*5139 FILLER_67_503
*5140 FILLER_67_505
*5141 FILLER_67_517
*5142 FILLER_67_529
*5143 FILLER_67_53
*5144 FILLER_67_541
*5145 FILLER_67_553
*5146 FILLER_67_559
*5147 FILLER_67_561
*5148 FILLER_67_57
*5149 FILLER_67_573
*5150 FILLER_67_585
*5151 FILLER_67_597
*5152 FILLER_67_609
*5153 FILLER_67_615
*5154 FILLER_67_617
*5155 FILLER_67_62
*5156 FILLER_67_66
*5157 FILLER_67_87
*5158 FILLER_67_93
*5159 FILLER_68_103
*5160 FILLER_68_112
*5161 FILLER_68_124
*5162 FILLER_68_136
*5163 FILLER_68_141
*5164 FILLER_68_146
*5165 FILLER_68_15
*5166 FILLER_68_150
*5167 FILLER_68_167
*5168 FILLER_68_179
*5169 FILLER_68_191
*5170 FILLER_68_195
*5171 FILLER_68_197
*5172 FILLER_68_209
*5173 FILLER_68_221
*5174 FILLER_68_245
*5175 FILLER_68_251
*5176 FILLER_68_253
*5177 FILLER_68_265
*5178 FILLER_68_27
*5179 FILLER_68_277
*5180 FILLER_68_289
*5181 FILLER_68_29
*5182 FILLER_68_3
*5183 FILLER_68_301
*5184 FILLER_68_307
*5185 FILLER_68_309
*5186 FILLER_68_321
*5187 FILLER_68_333
*5188 FILLER_68_345
*5189 FILLER_68_357
*5190 FILLER_68_363
*5191 FILLER_68_365
*5192 FILLER_68_377
*5193 FILLER_68_389
*5194 FILLER_68_398
*5195 FILLER_68_41
*5196 FILLER_68_410
*5197 FILLER_68_418
*5198 FILLER_68_421
*5199 FILLER_68_429
*5200 FILLER_68_433
*5201 FILLER_68_440
*5202 FILLER_68_452
*5203 FILLER_68_464
*5204 FILLER_68_477
*5205 FILLER_68_489
*5206 FILLER_68_501
*5207 FILLER_68_513
*5208 FILLER_68_525
*5209 FILLER_68_531
*5210 FILLER_68_533
*5211 FILLER_68_545
*5212 FILLER_68_557
*5213 FILLER_68_569
*5214 FILLER_68_581
*5215 FILLER_68_587
*5216 FILLER_68_589
*5217 FILLER_68_601
*5218 FILLER_68_61
*5219 FILLER_68_613
*5220 FILLER_68_65
*5221 FILLER_68_72
*5222 FILLER_68_79
*5223 FILLER_68_83
*5224 FILLER_68_85
*5225 FILLER_69_103
*5226 FILLER_69_109
*5227 FILLER_69_113
*5228 FILLER_69_125
*5229 FILLER_69_132
*5230 FILLER_69_15
*5231 FILLER_69_156
*5232 FILLER_69_162
*5233 FILLER_69_169
*5234 FILLER_69_181
*5235 FILLER_69_193
*5236 FILLER_69_205
*5237 FILLER_69_217
*5238 FILLER_69_223
*5239 FILLER_69_225
*5240 FILLER_69_243
*5241 FILLER_69_249
*5242 FILLER_69_252
*5243 FILLER_69_260
*5244 FILLER_69_27
*5245 FILLER_69_278
*5246 FILLER_69_281
*5247 FILLER_69_291
*5248 FILLER_69_3
*5249 FILLER_69_301
*5250 FILLER_69_319
*5251 FILLER_69_331
*5252 FILLER_69_335
*5253 FILLER_69_337
*5254 FILLER_69_349
*5255 FILLER_69_361
*5256 FILLER_69_373
*5257 FILLER_69_385
*5258 FILLER_69_39
*5259 FILLER_69_390
*5260 FILLER_69_393
*5261 FILLER_69_411
*5262 FILLER_69_423
*5263 FILLER_69_443
*5264 FILLER_69_447
*5265 FILLER_69_449
*5266 FILLER_69_461
*5267 FILLER_69_47
*5268 FILLER_69_473
*5269 FILLER_69_485
*5270 FILLER_69_497
*5271 FILLER_69_503
*5272 FILLER_69_505
*5273 FILLER_69_517
*5274 FILLER_69_52
*5275 FILLER_69_529
*5276 FILLER_69_541
*5277 FILLER_69_553
*5278 FILLER_69_559
*5279 FILLER_69_561
*5280 FILLER_69_57
*5281 FILLER_69_573
*5282 FILLER_69_585
*5283 FILLER_69_597
*5284 FILLER_69_609
*5285 FILLER_69_61
*5286 FILLER_69_615
*5287 FILLER_69_617
*5288 FILLER_69_67
*5289 FILLER_69_75
*5290 FILLER_69_79
*5291 FILLER_6_109
*5292 FILLER_6_121
*5293 FILLER_6_133
*5294 FILLER_6_139
*5295 FILLER_6_141
*5296 FILLER_6_15
*5297 FILLER_6_153
*5298 FILLER_6_165
*5299 FILLER_6_177
*5300 FILLER_6_189
*5301 FILLER_6_195
*5302 FILLER_6_197
*5303 FILLER_6_209
*5304 FILLER_6_221
*5305 FILLER_6_233
*5306 FILLER_6_245
*5307 FILLER_6_251
*5308 FILLER_6_253
*5309 FILLER_6_265
*5310 FILLER_6_27
*5311 FILLER_6_277
*5312 FILLER_6_289
*5313 FILLER_6_29
*5314 FILLER_6_3
*5315 FILLER_6_301
*5316 FILLER_6_307
*5317 FILLER_6_309
*5318 FILLER_6_321
*5319 FILLER_6_333
*5320 FILLER_6_345
*5321 FILLER_6_357
*5322 FILLER_6_363
*5323 FILLER_6_365
*5324 FILLER_6_377
*5325 FILLER_6_389
*5326 FILLER_6_401
*5327 FILLER_6_41
*5328 FILLER_6_413
*5329 FILLER_6_419
*5330 FILLER_6_421
*5331 FILLER_6_433
*5332 FILLER_6_445
*5333 FILLER_6_457
*5334 FILLER_6_469
*5335 FILLER_6_475
*5336 FILLER_6_477
*5337 FILLER_6_489
*5338 FILLER_6_501
*5339 FILLER_6_513
*5340 FILLER_6_525
*5341 FILLER_6_53
*5342 FILLER_6_531
*5343 FILLER_6_533
*5344 FILLER_6_545
*5345 FILLER_6_557
*5346 FILLER_6_569
*5347 FILLER_6_581
*5348 FILLER_6_587
*5349 FILLER_6_589
*5350 FILLER_6_601
*5351 FILLER_6_613
*5352 FILLER_6_65
*5353 FILLER_6_77
*5354 FILLER_6_83
*5355 FILLER_6_85
*5356 FILLER_6_97
*5357 FILLER_70_103
*5358 FILLER_70_115
*5359 FILLER_70_132
*5360 FILLER_70_141
*5361 FILLER_70_146
*5362 FILLER_70_15
*5363 FILLER_70_166
*5364 FILLER_70_176
*5365 FILLER_70_188
*5366 FILLER_70_197
*5367 FILLER_70_209
*5368 FILLER_70_221
*5369 FILLER_70_225
*5370 FILLER_70_229
*5371 FILLER_70_250
*5372 FILLER_70_253
*5373 FILLER_70_258
*5374 FILLER_70_264
*5375 FILLER_70_27
*5376 FILLER_70_270
*5377 FILLER_70_279
*5378 FILLER_70_29
*5379 FILLER_70_299
*5380 FILLER_70_3
*5381 FILLER_70_307
*5382 FILLER_70_309
*5383 FILLER_70_328
*5384 FILLER_70_340
*5385 FILLER_70_355
*5386 FILLER_70_363
*5387 FILLER_70_365
*5388 FILLER_70_377
*5389 FILLER_70_381
*5390 FILLER_70_385
*5391 FILLER_70_405
*5392 FILLER_70_41
*5393 FILLER_70_415
*5394 FILLER_70_419
*5395 FILLER_70_421
*5396 FILLER_70_425
*5397 FILLER_70_442
*5398 FILLER_70_452
*5399 FILLER_70_464
*5400 FILLER_70_477
*5401 FILLER_70_489
*5402 FILLER_70_501
*5403 FILLER_70_513
*5404 FILLER_70_525
*5405 FILLER_70_531
*5406 FILLER_70_533
*5407 FILLER_70_545
*5408 FILLER_70_557
*5409 FILLER_70_569
*5410 FILLER_70_581
*5411 FILLER_70_587
*5412 FILLER_70_589
*5413 FILLER_70_601
*5414 FILLER_70_61
*5415 FILLER_70_613
*5416 FILLER_70_73
*5417 FILLER_70_82
*5418 FILLER_70_85
*5419 FILLER_71_103
*5420 FILLER_71_110
*5421 FILLER_71_113
*5422 FILLER_71_119
*5423 FILLER_71_136
*5424 FILLER_71_143
*5425 FILLER_71_149
*5426 FILLER_71_15
*5427 FILLER_71_166
*5428 FILLER_71_169
*5429 FILLER_71_181
*5430 FILLER_71_193
*5431 FILLER_71_205
*5432 FILLER_71_220
*5433 FILLER_71_225
*5434 FILLER_71_230
*5435 FILLER_71_234
*5436 FILLER_71_241
*5437 FILLER_71_261
*5438 FILLER_71_27
*5439 FILLER_71_271
*5440 FILLER_71_279
*5441 FILLER_71_281
*5442 FILLER_71_3
*5443 FILLER_71_303
*5444 FILLER_71_318
*5445 FILLER_71_330
*5446 FILLER_71_337
*5447 FILLER_71_365
*5448 FILLER_71_372
*5449 FILLER_71_384
*5450 FILLER_71_39
*5451 FILLER_71_393
*5452 FILLER_71_411
*5453 FILLER_71_419
*5454 FILLER_71_425
*5455 FILLER_71_445
*5456 FILLER_71_449
*5457 FILLER_71_461
*5458 FILLER_71_47
*5459 FILLER_71_478
*5460 FILLER_71_490
*5461 FILLER_71_502
*5462 FILLER_71_505
*5463 FILLER_71_51
*5464 FILLER_71_517
*5465 FILLER_71_529
*5466 FILLER_71_541
*5467 FILLER_71_55
*5468 FILLER_71_553
*5469 FILLER_71_559
*5470 FILLER_71_561
*5471 FILLER_71_57
*5472 FILLER_71_573
*5473 FILLER_71_585
*5474 FILLER_71_597
*5475 FILLER_71_609
*5476 FILLER_71_615
*5477 FILLER_71_617
*5478 FILLER_71_69
*5479 FILLER_71_81
*5480 FILLER_72_104
*5481 FILLER_72_108
*5482 FILLER_72_125
*5483 FILLER_72_135
*5484 FILLER_72_139
*5485 FILLER_72_141
*5486 FILLER_72_149
*5487 FILLER_72_15
*5488 FILLER_72_166
*5489 FILLER_72_173
*5490 FILLER_72_185
*5491 FILLER_72_193
*5492 FILLER_72_197
*5493 FILLER_72_209
*5494 FILLER_72_229
*5495 FILLER_72_241
*5496 FILLER_72_250
*5497 FILLER_72_253
*5498 FILLER_72_27
*5499 FILLER_72_271
*5500 FILLER_72_279
*5501 FILLER_72_286
*5502 FILLER_72_29
*5503 FILLER_72_298
*5504 FILLER_72_3
*5505 FILLER_72_306
*5506 FILLER_72_309
*5507 FILLER_72_321
*5508 FILLER_72_333
*5509 FILLER_72_345
*5510 FILLER_72_362
*5511 FILLER_72_365
*5512 FILLER_72_375
*5513 FILLER_72_387
*5514 FILLER_72_407
*5515 FILLER_72_41
*5516 FILLER_72_411
*5517 FILLER_72_418
*5518 FILLER_72_421
*5519 FILLER_72_443
*5520 FILLER_72_455
*5521 FILLER_72_474
*5522 FILLER_72_477
*5523 FILLER_72_489
*5524 FILLER_72_501
*5525 FILLER_72_513
*5526 FILLER_72_525
*5527 FILLER_72_531
*5528 FILLER_72_533
*5529 FILLER_72_545
*5530 FILLER_72_557
*5531 FILLER_72_569
*5532 FILLER_72_581
*5533 FILLER_72_587
*5534 FILLER_72_589
*5535 FILLER_72_601
*5536 FILLER_72_613
*5537 FILLER_72_65
*5538 FILLER_72_72
*5539 FILLER_72_85
*5540 FILLER_72_92
*5541 FILLER_73_111
*5542 FILLER_73_113
*5543 FILLER_73_122
*5544 FILLER_73_134
*5545 FILLER_73_146
*5546 FILLER_73_15
*5547 FILLER_73_157
*5548 FILLER_73_165
*5549 FILLER_73_169
*5550 FILLER_73_181
*5551 FILLER_73_193
*5552 FILLER_73_205
*5553 FILLER_73_217
*5554 FILLER_73_222
*5555 FILLER_73_225
*5556 FILLER_73_243
*5557 FILLER_73_247
*5558 FILLER_73_264
*5559 FILLER_73_27
*5560 FILLER_73_276
*5561 FILLER_73_281
*5562 FILLER_73_293
*5563 FILLER_73_3
*5564 FILLER_73_321
*5565 FILLER_73_327
*5566 FILLER_73_335
*5567 FILLER_73_337
*5568 FILLER_73_349
*5569 FILLER_73_369
*5570 FILLER_73_389
*5571 FILLER_73_39
*5572 FILLER_73_393
*5573 FILLER_73_415
*5574 FILLER_73_427
*5575 FILLER_73_433
*5576 FILLER_73_445
*5577 FILLER_73_449
*5578 FILLER_73_457
*5579 FILLER_73_463
*5580 FILLER_73_47
*5581 FILLER_73_474
*5582 FILLER_73_481
*5583 FILLER_73_493
*5584 FILLER_73_501
*5585 FILLER_73_505
*5586 FILLER_73_517
*5587 FILLER_73_529
*5588 FILLER_73_53
*5589 FILLER_73_541
*5590 FILLER_73_553
*5591 FILLER_73_559
*5592 FILLER_73_561
*5593 FILLER_73_57
*5594 FILLER_73_573
*5595 FILLER_73_585
*5596 FILLER_73_597
*5597 FILLER_73_609
*5598 FILLER_73_615
*5599 FILLER_73_617
*5600 FILLER_73_67
*5601 FILLER_73_79
*5602 FILLER_73_88
*5603 FILLER_73_99
*5604 FILLER_74_103
*5605 FILLER_74_115
*5606 FILLER_74_127
*5607 FILLER_74_139
*5608 FILLER_74_141
*5609 FILLER_74_15
*5610 FILLER_74_153
*5611 FILLER_74_165
*5612 FILLER_74_177
*5613 FILLER_74_189
*5614 FILLER_74_195
*5615 FILLER_74_197
*5616 FILLER_74_209
*5617 FILLER_74_221
*5618 FILLER_74_233
*5619 FILLER_74_245
*5620 FILLER_74_251
*5621 FILLER_74_253
*5622 FILLER_74_258
*5623 FILLER_74_27
*5624 FILLER_74_270
*5625 FILLER_74_282
*5626 FILLER_74_29
*5627 FILLER_74_294
*5628 FILLER_74_3
*5629 FILLER_74_306
*5630 FILLER_74_309
*5631 FILLER_74_321
*5632 FILLER_74_333
*5633 FILLER_74_345
*5634 FILLER_74_353
*5635 FILLER_74_359
*5636 FILLER_74_363
*5637 FILLER_74_365
*5638 FILLER_74_370
*5639 FILLER_74_382
*5640 FILLER_74_394
*5641 FILLER_74_398
*5642 FILLER_74_404
*5643 FILLER_74_41
*5644 FILLER_74_416
*5645 FILLER_74_421
*5646 FILLER_74_433
*5647 FILLER_74_445
*5648 FILLER_74_457
*5649 FILLER_74_465
*5650 FILLER_74_472
*5651 FILLER_74_477
*5652 FILLER_74_489
*5653 FILLER_74_501
*5654 FILLER_74_513
*5655 FILLER_74_525
*5656 FILLER_74_531
*5657 FILLER_74_533
*5658 FILLER_74_545
*5659 FILLER_74_557
*5660 FILLER_74_569
*5661 FILLER_74_58
*5662 FILLER_74_581
*5663 FILLER_74_587
*5664 FILLER_74_589
*5665 FILLER_74_601
*5666 FILLER_74_613
*5667 FILLER_74_69
*5668 FILLER_74_79
*5669 FILLER_74_83
*5670 FILLER_74_85
*5671 FILLER_75_103
*5672 FILLER_75_110
*5673 FILLER_75_113
*5674 FILLER_75_121
*5675 FILLER_75_125
*5676 FILLER_75_137
*5677 FILLER_75_149
*5678 FILLER_75_15
*5679 FILLER_75_161
*5680 FILLER_75_167
*5681 FILLER_75_169
*5682 FILLER_75_181
*5683 FILLER_75_193
*5684 FILLER_75_205
*5685 FILLER_75_217
*5686 FILLER_75_223
*5687 FILLER_75_225
*5688 FILLER_75_237
*5689 FILLER_75_249
*5690 FILLER_75_261
*5691 FILLER_75_27
*5692 FILLER_75_273
*5693 FILLER_75_279
*5694 FILLER_75_281
*5695 FILLER_75_293
*5696 FILLER_75_3
*5697 FILLER_75_305
*5698 FILLER_75_317
*5699 FILLER_75_329
*5700 FILLER_75_335
*5701 FILLER_75_337
*5702 FILLER_75_349
*5703 FILLER_75_361
*5704 FILLER_75_373
*5705 FILLER_75_385
*5706 FILLER_75_39
*5707 FILLER_75_391
*5708 FILLER_75_393
*5709 FILLER_75_411
*5710 FILLER_75_423
*5711 FILLER_75_431
*5712 FILLER_75_438
*5713 FILLER_75_446
*5714 FILLER_75_449
*5715 FILLER_75_455
*5716 FILLER_75_459
*5717 FILLER_75_47
*5718 FILLER_75_479
*5719 FILLER_75_491
*5720 FILLER_75_503
*5721 FILLER_75_505
*5722 FILLER_75_517
*5723 FILLER_75_529
*5724 FILLER_75_54
*5725 FILLER_75_541
*5726 FILLER_75_553
*5727 FILLER_75_559
*5728 FILLER_75_561
*5729 FILLER_75_57
*5730 FILLER_75_573
*5731 FILLER_75_585
*5732 FILLER_75_597
*5733 FILLER_75_609
*5734 FILLER_75_615
*5735 FILLER_75_617
*5736 FILLER_75_66
*5737 FILLER_75_78
*5738 FILLER_75_82
*5739 FILLER_76_111
*5740 FILLER_76_135
*5741 FILLER_76_139
*5742 FILLER_76_141
*5743 FILLER_76_15
*5744 FILLER_76_153
*5745 FILLER_76_165
*5746 FILLER_76_177
*5747 FILLER_76_189
*5748 FILLER_76_195
*5749 FILLER_76_197
*5750 FILLER_76_209
*5751 FILLER_76_221
*5752 FILLER_76_233
*5753 FILLER_76_245
*5754 FILLER_76_251
*5755 FILLER_76_253
*5756 FILLER_76_27
*5757 FILLER_76_271
*5758 FILLER_76_283
*5759 FILLER_76_29
*5760 FILLER_76_295
*5761 FILLER_76_3
*5762 FILLER_76_307
*5763 FILLER_76_309
*5764 FILLER_76_321
*5765 FILLER_76_333
*5766 FILLER_76_345
*5767 FILLER_76_357
*5768 FILLER_76_363
*5769 FILLER_76_365
*5770 FILLER_76_377
*5771 FILLER_76_382
*5772 FILLER_76_402
*5773 FILLER_76_41
*5774 FILLER_76_412
*5775 FILLER_76_421
*5776 FILLER_76_439
*5777 FILLER_76_449
*5778 FILLER_76_457
*5779 FILLER_76_474
*5780 FILLER_76_477
*5781 FILLER_76_484
*5782 FILLER_76_496
*5783 FILLER_76_508
*5784 FILLER_76_520
*5785 FILLER_76_53
*5786 FILLER_76_533
*5787 FILLER_76_545
*5788 FILLER_76_557
*5789 FILLER_76_569
*5790 FILLER_76_581
*5791 FILLER_76_587
*5792 FILLER_76_589
*5793 FILLER_76_601
*5794 FILLER_76_61
*5795 FILLER_76_613
*5796 FILLER_76_73
*5797 FILLER_76_81
*5798 FILLER_76_85
*5799 FILLER_76_91
*5800 FILLER_77_110
*5801 FILLER_77_113
*5802 FILLER_77_125
*5803 FILLER_77_137
*5804 FILLER_77_149
*5805 FILLER_77_15
*5806 FILLER_77_161
*5807 FILLER_77_167
*5808 FILLER_77_169
*5809 FILLER_77_181
*5810 FILLER_77_193
*5811 FILLER_77_205
*5812 FILLER_77_217
*5813 FILLER_77_223
*5814 FILLER_77_225
*5815 FILLER_77_237
*5816 FILLER_77_249
*5817 FILLER_77_256
*5818 FILLER_77_268
*5819 FILLER_77_27
*5820 FILLER_77_281
*5821 FILLER_77_293
*5822 FILLER_77_3
*5823 FILLER_77_305
*5824 FILLER_77_317
*5825 FILLER_77_329
*5826 FILLER_77_335
*5827 FILLER_77_337
*5828 FILLER_77_349
*5829 FILLER_77_361
*5830 FILLER_77_373
*5831 FILLER_77_379
*5832 FILLER_77_383
*5833 FILLER_77_39
*5834 FILLER_77_390
*5835 FILLER_77_393
*5836 FILLER_77_411
*5837 FILLER_77_415
*5838 FILLER_77_419
*5839 FILLER_77_439
*5840 FILLER_77_446
*5841 FILLER_77_449
*5842 FILLER_77_47
*5843 FILLER_77_473
*5844 FILLER_77_483
*5845 FILLER_77_495
*5846 FILLER_77_503
*5847 FILLER_77_505
*5848 FILLER_77_517
*5849 FILLER_77_529
*5850 FILLER_77_54
*5851 FILLER_77_541
*5852 FILLER_77_553
*5853 FILLER_77_559
*5854 FILLER_77_561
*5855 FILLER_77_57
*5856 FILLER_77_573
*5857 FILLER_77_585
*5858 FILLER_77_597
*5859 FILLER_77_609
*5860 FILLER_77_615
*5861 FILLER_77_617
*5862 FILLER_77_66
*5863 FILLER_77_75
*5864 FILLER_77_90
*5865 FILLER_78_106
*5866 FILLER_78_118
*5867 FILLER_78_130
*5868 FILLER_78_138
*5869 FILLER_78_141
*5870 FILLER_78_15
*5871 FILLER_78_153
*5872 FILLER_78_165
*5873 FILLER_78_177
*5874 FILLER_78_189
*5875 FILLER_78_195
*5876 FILLER_78_197
*5877 FILLER_78_209
*5878 FILLER_78_221
*5879 FILLER_78_233
*5880 FILLER_78_245
*5881 FILLER_78_251
*5882 FILLER_78_253
*5883 FILLER_78_265
*5884 FILLER_78_27
*5885 FILLER_78_277
*5886 FILLER_78_289
*5887 FILLER_78_29
*5888 FILLER_78_3
*5889 FILLER_78_301
*5890 FILLER_78_307
*5891 FILLER_78_309
*5892 FILLER_78_321
*5893 FILLER_78_333
*5894 FILLER_78_345
*5895 FILLER_78_357
*5896 FILLER_78_363
*5897 FILLER_78_365
*5898 FILLER_78_377
*5899 FILLER_78_405
*5900 FILLER_78_41
*5901 FILLER_78_417
*5902 FILLER_78_421
*5903 FILLER_78_441
*5904 FILLER_78_448
*5905 FILLER_78_45
*5906 FILLER_78_454
*5907 FILLER_78_458
*5908 FILLER_78_468
*5909 FILLER_78_477
*5910 FILLER_78_482
*5911 FILLER_78_489
*5912 FILLER_78_501
*5913 FILLER_78_513
*5914 FILLER_78_525
*5915 FILLER_78_531
*5916 FILLER_78_533
*5917 FILLER_78_545
*5918 FILLER_78_557
*5919 FILLER_78_569
*5920 FILLER_78_581
*5921 FILLER_78_587
*5922 FILLER_78_589
*5923 FILLER_78_601
*5924 FILLER_78_613
*5925 FILLER_78_62
*5926 FILLER_78_66
*5927 FILLER_78_74
*5928 FILLER_78_81
*5929 FILLER_78_85
*5930 FILLER_78_98
*5931 FILLER_79_106
*5932 FILLER_79_113
*5933 FILLER_79_125
*5934 FILLER_79_137
*5935 FILLER_79_149
*5936 FILLER_79_15
*5937 FILLER_79_161
*5938 FILLER_79_167
*5939 FILLER_79_169
*5940 FILLER_79_181
*5941 FILLER_79_193
*5942 FILLER_79_205
*5943 FILLER_79_217
*5944 FILLER_79_223
*5945 FILLER_79_225
*5946 FILLER_79_237
*5947 FILLER_79_249
*5948 FILLER_79_261
*5949 FILLER_79_27
*5950 FILLER_79_273
*5951 FILLER_79_279
*5952 FILLER_79_281
*5953 FILLER_79_299
*5954 FILLER_79_3
*5955 FILLER_79_311
*5956 FILLER_79_323
*5957 FILLER_79_335
*5958 FILLER_79_337
*5959 FILLER_79_349
*5960 FILLER_79_361
*5961 FILLER_79_373
*5962 FILLER_79_385
*5963 FILLER_79_39
*5964 FILLER_79_391
*5965 FILLER_79_393
*5966 FILLER_79_398
*5967 FILLER_79_410
*5968 FILLER_79_422
*5969 FILLER_79_440
*5970 FILLER_79_449
*5971 FILLER_79_461
*5972 FILLER_79_467
*5973 FILLER_79_484
*5974 FILLER_79_496
*5975 FILLER_79_505
*5976 FILLER_79_517
*5977 FILLER_79_529
*5978 FILLER_79_54
*5979 FILLER_79_541
*5980 FILLER_79_553
*5981 FILLER_79_559
*5982 FILLER_79_561
*5983 FILLER_79_57
*5984 FILLER_79_573
*5985 FILLER_79_585
*5986 FILLER_79_597
*5987 FILLER_79_609
*5988 FILLER_79_615
*5989 FILLER_79_617
*5990 FILLER_79_62
*5991 FILLER_79_68
*5992 FILLER_79_85
*5993 FILLER_79_94
*5994 FILLER_7_105
*5995 FILLER_7_111
*5996 FILLER_7_113
*5997 FILLER_7_125
*5998 FILLER_7_137
*5999 FILLER_7_149
*6000 FILLER_7_15
*6001 FILLER_7_161
*6002 FILLER_7_167
*6003 FILLER_7_169
*6004 FILLER_7_181
*6005 FILLER_7_193
*6006 FILLER_7_205
*6007 FILLER_7_217
*6008 FILLER_7_223
*6009 FILLER_7_225
*6010 FILLER_7_237
*6011 FILLER_7_249
*6012 FILLER_7_261
*6013 FILLER_7_27
*6014 FILLER_7_273
*6015 FILLER_7_279
*6016 FILLER_7_281
*6017 FILLER_7_293
*6018 FILLER_7_3
*6019 FILLER_7_305
*6020 FILLER_7_317
*6021 FILLER_7_329
*6022 FILLER_7_335
*6023 FILLER_7_337
*6024 FILLER_7_349
*6025 FILLER_7_361
*6026 FILLER_7_373
*6027 FILLER_7_385
*6028 FILLER_7_39
*6029 FILLER_7_391
*6030 FILLER_7_393
*6031 FILLER_7_405
*6032 FILLER_7_417
*6033 FILLER_7_429
*6034 FILLER_7_441
*6035 FILLER_7_447
*6036 FILLER_7_449
*6037 FILLER_7_461
*6038 FILLER_7_473
*6039 FILLER_7_485
*6040 FILLER_7_497
*6041 FILLER_7_503
*6042 FILLER_7_505
*6043 FILLER_7_51
*6044 FILLER_7_517
*6045 FILLER_7_529
*6046 FILLER_7_541
*6047 FILLER_7_55
*6048 FILLER_7_553
*6049 FILLER_7_559
*6050 FILLER_7_561
*6051 FILLER_7_57
*6052 FILLER_7_573
*6053 FILLER_7_585
*6054 FILLER_7_597
*6055 FILLER_7_609
*6056 FILLER_7_615
*6057 FILLER_7_617
*6058 FILLER_7_69
*6059 FILLER_7_81
*6060 FILLER_7_93
*6061 FILLER_80_109
*6062 FILLER_80_121
*6063 FILLER_80_133
*6064 FILLER_80_139
*6065 FILLER_80_141
*6066 FILLER_80_15
*6067 FILLER_80_153
*6068 FILLER_80_165
*6069 FILLER_80_177
*6070 FILLER_80_189
*6071 FILLER_80_195
*6072 FILLER_80_197
*6073 FILLER_80_209
*6074 FILLER_80_221
*6075 FILLER_80_233
*6076 FILLER_80_245
*6077 FILLER_80_251
*6078 FILLER_80_253
*6079 FILLER_80_265
*6080 FILLER_80_27
*6081 FILLER_80_277
*6082 FILLER_80_289
*6083 FILLER_80_29
*6084 FILLER_80_3
*6085 FILLER_80_301
*6086 FILLER_80_307
*6087 FILLER_80_309
*6088 FILLER_80_321
*6089 FILLER_80_333
*6090 FILLER_80_345
*6091 FILLER_80_357
*6092 FILLER_80_363
*6093 FILLER_80_365
*6094 FILLER_80_377
*6095 FILLER_80_389
*6096 FILLER_80_401
*6097 FILLER_80_41
*6098 FILLER_80_413
*6099 FILLER_80_419
*6100 FILLER_80_421
*6101 FILLER_80_427
*6102 FILLER_80_431
*6103 FILLER_80_443
*6104 FILLER_80_455
*6105 FILLER_80_467
*6106 FILLER_80_475
*6107 FILLER_80_477
*6108 FILLER_80_495
*6109 FILLER_80_507
*6110 FILLER_80_519
*6111 FILLER_80_531
*6112 FILLER_80_533
*6113 FILLER_80_545
*6114 FILLER_80_557
*6115 FILLER_80_569
*6116 FILLER_80_581
*6117 FILLER_80_587
*6118 FILLER_80_589
*6119 FILLER_80_601
*6120 FILLER_80_61
*6121 FILLER_80_613
*6122 FILLER_80_73
*6123 FILLER_80_81
*6124 FILLER_80_85
*6125 FILLER_80_97
*6126 FILLER_81_105
*6127 FILLER_81_111
*6128 FILLER_81_113
*6129 FILLER_81_125
*6130 FILLER_81_137
*6131 FILLER_81_149
*6132 FILLER_81_15
*6133 FILLER_81_161
*6134 FILLER_81_167
*6135 FILLER_81_169
*6136 FILLER_81_181
*6137 FILLER_81_193
*6138 FILLER_81_205
*6139 FILLER_81_217
*6140 FILLER_81_223
*6141 FILLER_81_225
*6142 FILLER_81_237
*6143 FILLER_81_249
*6144 FILLER_81_261
*6145 FILLER_81_27
*6146 FILLER_81_273
*6147 FILLER_81_279
*6148 FILLER_81_281
*6149 FILLER_81_293
*6150 FILLER_81_3
*6151 FILLER_81_305
*6152 FILLER_81_317
*6153 FILLER_81_329
*6154 FILLER_81_335
*6155 FILLER_81_337
*6156 FILLER_81_349
*6157 FILLER_81_361
*6158 FILLER_81_373
*6159 FILLER_81_385
*6160 FILLER_81_39
*6161 FILLER_81_391
*6162 FILLER_81_393
*6163 FILLER_81_405
*6164 FILLER_81_417
*6165 FILLER_81_429
*6166 FILLER_81_441
*6167 FILLER_81_447
*6168 FILLER_81_449
*6169 FILLER_81_461
*6170 FILLER_81_473
*6171 FILLER_81_485
*6172 FILLER_81_497
*6173 FILLER_81_503
*6174 FILLER_81_505
*6175 FILLER_81_51
*6176 FILLER_81_517
*6177 FILLER_81_529
*6178 FILLER_81_541
*6179 FILLER_81_55
*6180 FILLER_81_553
*6181 FILLER_81_559
*6182 FILLER_81_561
*6183 FILLER_81_57
*6184 FILLER_81_573
*6185 FILLER_81_585
*6186 FILLER_81_597
*6187 FILLER_81_609
*6188 FILLER_81_615
*6189 FILLER_81_617
*6190 FILLER_81_69
*6191 FILLER_81_81
*6192 FILLER_81_93
*6193 FILLER_82_109
*6194 FILLER_82_121
*6195 FILLER_82_133
*6196 FILLER_82_139
*6197 FILLER_82_141
*6198 FILLER_82_15
*6199 FILLER_82_153
*6200 FILLER_82_165
*6201 FILLER_82_177
*6202 FILLER_82_189
*6203 FILLER_82_195
*6204 FILLER_82_197
*6205 FILLER_82_209
*6206 FILLER_82_221
*6207 FILLER_82_233
*6208 FILLER_82_245
*6209 FILLER_82_251
*6210 FILLER_82_253
*6211 FILLER_82_265
*6212 FILLER_82_27
*6213 FILLER_82_277
*6214 FILLER_82_289
*6215 FILLER_82_29
*6216 FILLER_82_3
*6217 FILLER_82_301
*6218 FILLER_82_307
*6219 FILLER_82_309
*6220 FILLER_82_321
*6221 FILLER_82_333
*6222 FILLER_82_345
*6223 FILLER_82_357
*6224 FILLER_82_363
*6225 FILLER_82_365
*6226 FILLER_82_377
*6227 FILLER_82_389
*6228 FILLER_82_401
*6229 FILLER_82_41
*6230 FILLER_82_413
*6231 FILLER_82_419
*6232 FILLER_82_421
*6233 FILLER_82_433
*6234 FILLER_82_445
*6235 FILLER_82_457
*6236 FILLER_82_469
*6237 FILLER_82_475
*6238 FILLER_82_477
*6239 FILLER_82_489
*6240 FILLER_82_501
*6241 FILLER_82_513
*6242 FILLER_82_525
*6243 FILLER_82_53
*6244 FILLER_82_531
*6245 FILLER_82_533
*6246 FILLER_82_545
*6247 FILLER_82_557
*6248 FILLER_82_569
*6249 FILLER_82_581
*6250 FILLER_82_587
*6251 FILLER_82_589
*6252 FILLER_82_601
*6253 FILLER_82_613
*6254 FILLER_82_65
*6255 FILLER_82_77
*6256 FILLER_82_83
*6257 FILLER_82_85
*6258 FILLER_82_97
*6259 FILLER_83_105
*6260 FILLER_83_111
*6261 FILLER_83_113
*6262 FILLER_83_125
*6263 FILLER_83_137
*6264 FILLER_83_149
*6265 FILLER_83_15
*6266 FILLER_83_161
*6267 FILLER_83_167
*6268 FILLER_83_169
*6269 FILLER_83_181
*6270 FILLER_83_193
*6271 FILLER_83_205
*6272 FILLER_83_217
*6273 FILLER_83_223
*6274 FILLER_83_225
*6275 FILLER_83_237
*6276 FILLER_83_249
*6277 FILLER_83_261
*6278 FILLER_83_27
*6279 FILLER_83_273
*6280 FILLER_83_279
*6281 FILLER_83_281
*6282 FILLER_83_293
*6283 FILLER_83_3
*6284 FILLER_83_305
*6285 FILLER_83_317
*6286 FILLER_83_329
*6287 FILLER_83_335
*6288 FILLER_83_337
*6289 FILLER_83_349
*6290 FILLER_83_361
*6291 FILLER_83_373
*6292 FILLER_83_385
*6293 FILLER_83_39
*6294 FILLER_83_391
*6295 FILLER_83_393
*6296 FILLER_83_405
*6297 FILLER_83_417
*6298 FILLER_83_429
*6299 FILLER_83_441
*6300 FILLER_83_447
*6301 FILLER_83_449
*6302 FILLER_83_461
*6303 FILLER_83_473
*6304 FILLER_83_485
*6305 FILLER_83_497
*6306 FILLER_83_503
*6307 FILLER_83_505
*6308 FILLER_83_51
*6309 FILLER_83_517
*6310 FILLER_83_529
*6311 FILLER_83_541
*6312 FILLER_83_55
*6313 FILLER_83_553
*6314 FILLER_83_559
*6315 FILLER_83_561
*6316 FILLER_83_57
*6317 FILLER_83_573
*6318 FILLER_83_585
*6319 FILLER_83_597
*6320 FILLER_83_609
*6321 FILLER_83_615
*6322 FILLER_83_617
*6323 FILLER_83_69
*6324 FILLER_83_81
*6325 FILLER_83_93
*6326 FILLER_84_109
*6327 FILLER_84_121
*6328 FILLER_84_133
*6329 FILLER_84_139
*6330 FILLER_84_141
*6331 FILLER_84_15
*6332 FILLER_84_153
*6333 FILLER_84_165
*6334 FILLER_84_177
*6335 FILLER_84_189
*6336 FILLER_84_195
*6337 FILLER_84_197
*6338 FILLER_84_209
*6339 FILLER_84_221
*6340 FILLER_84_233
*6341 FILLER_84_245
*6342 FILLER_84_251
*6343 FILLER_84_253
*6344 FILLER_84_265
*6345 FILLER_84_27
*6346 FILLER_84_277
*6347 FILLER_84_289
*6348 FILLER_84_29
*6349 FILLER_84_3
*6350 FILLER_84_301
*6351 FILLER_84_307
*6352 FILLER_84_309
*6353 FILLER_84_321
*6354 FILLER_84_333
*6355 FILLER_84_345
*6356 FILLER_84_357
*6357 FILLER_84_363
*6358 FILLER_84_365
*6359 FILLER_84_377
*6360 FILLER_84_389
*6361 FILLER_84_401
*6362 FILLER_84_41
*6363 FILLER_84_413
*6364 FILLER_84_419
*6365 FILLER_84_421
*6366 FILLER_84_433
*6367 FILLER_84_445
*6368 FILLER_84_457
*6369 FILLER_84_469
*6370 FILLER_84_475
*6371 FILLER_84_477
*6372 FILLER_84_489
*6373 FILLER_84_501
*6374 FILLER_84_513
*6375 FILLER_84_525
*6376 FILLER_84_53
*6377 FILLER_84_531
*6378 FILLER_84_533
*6379 FILLER_84_545
*6380 FILLER_84_557
*6381 FILLER_84_569
*6382 FILLER_84_581
*6383 FILLER_84_587
*6384 FILLER_84_589
*6385 FILLER_84_601
*6386 FILLER_84_613
*6387 FILLER_84_65
*6388 FILLER_84_77
*6389 FILLER_84_83
*6390 FILLER_84_85
*6391 FILLER_84_97
*6392 FILLER_85_105
*6393 FILLER_85_111
*6394 FILLER_85_113
*6395 FILLER_85_125
*6396 FILLER_85_137
*6397 FILLER_85_149
*6398 FILLER_85_15
*6399 FILLER_85_161
*6400 FILLER_85_167
*6401 FILLER_85_169
*6402 FILLER_85_181
*6403 FILLER_85_193
*6404 FILLER_85_205
*6405 FILLER_85_217
*6406 FILLER_85_223
*6407 FILLER_85_225
*6408 FILLER_85_237
*6409 FILLER_85_249
*6410 FILLER_85_261
*6411 FILLER_85_27
*6412 FILLER_85_273
*6413 FILLER_85_279
*6414 FILLER_85_281
*6415 FILLER_85_293
*6416 FILLER_85_3
*6417 FILLER_85_305
*6418 FILLER_85_317
*6419 FILLER_85_329
*6420 FILLER_85_335
*6421 FILLER_85_337
*6422 FILLER_85_349
*6423 FILLER_85_361
*6424 FILLER_85_373
*6425 FILLER_85_385
*6426 FILLER_85_39
*6427 FILLER_85_391
*6428 FILLER_85_393
*6429 FILLER_85_405
*6430 FILLER_85_417
*6431 FILLER_85_429
*6432 FILLER_85_441
*6433 FILLER_85_447
*6434 FILLER_85_449
*6435 FILLER_85_461
*6436 FILLER_85_473
*6437 FILLER_85_485
*6438 FILLER_85_497
*6439 FILLER_85_503
*6440 FILLER_85_505
*6441 FILLER_85_51
*6442 FILLER_85_517
*6443 FILLER_85_529
*6444 FILLER_85_541
*6445 FILLER_85_55
*6446 FILLER_85_553
*6447 FILLER_85_559
*6448 FILLER_85_561
*6449 FILLER_85_57
*6450 FILLER_85_573
*6451 FILLER_85_585
*6452 FILLER_85_597
*6453 FILLER_85_609
*6454 FILLER_85_615
*6455 FILLER_85_617
*6456 FILLER_85_69
*6457 FILLER_85_81
*6458 FILLER_85_93
*6459 FILLER_86_109
*6460 FILLER_86_121
*6461 FILLER_86_133
*6462 FILLER_86_139
*6463 FILLER_86_141
*6464 FILLER_86_15
*6465 FILLER_86_153
*6466 FILLER_86_165
*6467 FILLER_86_177
*6468 FILLER_86_189
*6469 FILLER_86_195
*6470 FILLER_86_197
*6471 FILLER_86_209
*6472 FILLER_86_221
*6473 FILLER_86_233
*6474 FILLER_86_245
*6475 FILLER_86_251
*6476 FILLER_86_253
*6477 FILLER_86_265
*6478 FILLER_86_27
*6479 FILLER_86_277
*6480 FILLER_86_289
*6481 FILLER_86_29
*6482 FILLER_86_3
*6483 FILLER_86_301
*6484 FILLER_86_307
*6485 FILLER_86_309
*6486 FILLER_86_321
*6487 FILLER_86_333
*6488 FILLER_86_345
*6489 FILLER_86_357
*6490 FILLER_86_363
*6491 FILLER_86_365
*6492 FILLER_86_377
*6493 FILLER_86_389
*6494 FILLER_86_401
*6495 FILLER_86_41
*6496 FILLER_86_413
*6497 FILLER_86_419
*6498 FILLER_86_421
*6499 FILLER_86_433
*6500 FILLER_86_445
*6501 FILLER_86_457
*6502 FILLER_86_469
*6503 FILLER_86_475
*6504 FILLER_86_477
*6505 FILLER_86_489
*6506 FILLER_86_501
*6507 FILLER_86_513
*6508 FILLER_86_525
*6509 FILLER_86_53
*6510 FILLER_86_531
*6511 FILLER_86_533
*6512 FILLER_86_545
*6513 FILLER_86_557
*6514 FILLER_86_569
*6515 FILLER_86_581
*6516 FILLER_86_587
*6517 FILLER_86_589
*6518 FILLER_86_601
*6519 FILLER_86_613
*6520 FILLER_86_623
*6521 FILLER_86_65
*6522 FILLER_86_77
*6523 FILLER_86_83
*6524 FILLER_86_85
*6525 FILLER_86_97
*6526 FILLER_87_105
*6527 FILLER_87_111
*6528 FILLER_87_113
*6529 FILLER_87_125
*6530 FILLER_87_137
*6531 FILLER_87_149
*6532 FILLER_87_15
*6533 FILLER_87_161
*6534 FILLER_87_167
*6535 FILLER_87_169
*6536 FILLER_87_181
*6537 FILLER_87_193
*6538 FILLER_87_205
*6539 FILLER_87_217
*6540 FILLER_87_223
*6541 FILLER_87_225
*6542 FILLER_87_237
*6543 FILLER_87_249
*6544 FILLER_87_261
*6545 FILLER_87_27
*6546 FILLER_87_273
*6547 FILLER_87_279
*6548 FILLER_87_281
*6549 FILLER_87_293
*6550 FILLER_87_3
*6551 FILLER_87_305
*6552 FILLER_87_317
*6553 FILLER_87_329
*6554 FILLER_87_335
*6555 FILLER_87_337
*6556 FILLER_87_349
*6557 FILLER_87_361
*6558 FILLER_87_373
*6559 FILLER_87_385
*6560 FILLER_87_39
*6561 FILLER_87_391
*6562 FILLER_87_393
*6563 FILLER_87_405
*6564 FILLER_87_417
*6565 FILLER_87_429
*6566 FILLER_87_441
*6567 FILLER_87_447
*6568 FILLER_87_449
*6569 FILLER_87_461
*6570 FILLER_87_473
*6571 FILLER_87_485
*6572 FILLER_87_497
*6573 FILLER_87_503
*6574 FILLER_87_505
*6575 FILLER_87_51
*6576 FILLER_87_517
*6577 FILLER_87_529
*6578 FILLER_87_541
*6579 FILLER_87_55
*6580 FILLER_87_553
*6581 FILLER_87_559
*6582 FILLER_87_561
*6583 FILLER_87_57
*6584 FILLER_87_573
*6585 FILLER_87_585
*6586 FILLER_87_597
*6587 FILLER_87_609
*6588 FILLER_87_615
*6589 FILLER_87_617
*6590 FILLER_87_69
*6591 FILLER_87_81
*6592 FILLER_87_93
*6593 FILLER_88_109
*6594 FILLER_88_121
*6595 FILLER_88_133
*6596 FILLER_88_139
*6597 FILLER_88_141
*6598 FILLER_88_15
*6599 FILLER_88_153
*6600 FILLER_88_165
*6601 FILLER_88_177
*6602 FILLER_88_189
*6603 FILLER_88_195
*6604 FILLER_88_197
*6605 FILLER_88_209
*6606 FILLER_88_221
*6607 FILLER_88_233
*6608 FILLER_88_245
*6609 FILLER_88_251
*6610 FILLER_88_253
*6611 FILLER_88_265
*6612 FILLER_88_27
*6613 FILLER_88_277
*6614 FILLER_88_289
*6615 FILLER_88_29
*6616 FILLER_88_3
*6617 FILLER_88_301
*6618 FILLER_88_307
*6619 FILLER_88_309
*6620 FILLER_88_321
*6621 FILLER_88_333
*6622 FILLER_88_345
*6623 FILLER_88_357
*6624 FILLER_88_363
*6625 FILLER_88_365
*6626 FILLER_88_377
*6627 FILLER_88_389
*6628 FILLER_88_401
*6629 FILLER_88_41
*6630 FILLER_88_413
*6631 FILLER_88_419
*6632 FILLER_88_421
*6633 FILLER_88_433
*6634 FILLER_88_445
*6635 FILLER_88_457
*6636 FILLER_88_469
*6637 FILLER_88_475
*6638 FILLER_88_477
*6639 FILLER_88_489
*6640 FILLER_88_501
*6641 FILLER_88_513
*6642 FILLER_88_525
*6643 FILLER_88_53
*6644 FILLER_88_531
*6645 FILLER_88_533
*6646 FILLER_88_545
*6647 FILLER_88_557
*6648 FILLER_88_569
*6649 FILLER_88_581
*6650 FILLER_88_587
*6651 FILLER_88_589
*6652 FILLER_88_601
*6653 FILLER_88_613
*6654 FILLER_88_65
*6655 FILLER_88_77
*6656 FILLER_88_83
*6657 FILLER_88_85
*6658 FILLER_88_97
*6659 FILLER_89_105
*6660 FILLER_89_111
*6661 FILLER_89_113
*6662 FILLER_89_125
*6663 FILLER_89_137
*6664 FILLER_89_149
*6665 FILLER_89_15
*6666 FILLER_89_161
*6667 FILLER_89_167
*6668 FILLER_89_169
*6669 FILLER_89_181
*6670 FILLER_89_193
*6671 FILLER_89_205
*6672 FILLER_89_217
*6673 FILLER_89_223
*6674 FILLER_89_225
*6675 FILLER_89_237
*6676 FILLER_89_249
*6677 FILLER_89_261
*6678 FILLER_89_27
*6679 FILLER_89_273
*6680 FILLER_89_279
*6681 FILLER_89_281
*6682 FILLER_89_293
*6683 FILLER_89_3
*6684 FILLER_89_305
*6685 FILLER_89_317
*6686 FILLER_89_329
*6687 FILLER_89_335
*6688 FILLER_89_337
*6689 FILLER_89_349
*6690 FILLER_89_361
*6691 FILLER_89_373
*6692 FILLER_89_385
*6693 FILLER_89_39
*6694 FILLER_89_391
*6695 FILLER_89_393
*6696 FILLER_89_405
*6697 FILLER_89_417
*6698 FILLER_89_429
*6699 FILLER_89_441
*6700 FILLER_89_447
*6701 FILLER_89_449
*6702 FILLER_89_461
*6703 FILLER_89_473
*6704 FILLER_89_485
*6705 FILLER_89_497
*6706 FILLER_89_503
*6707 FILLER_89_505
*6708 FILLER_89_51
*6709 FILLER_89_517
*6710 FILLER_89_529
*6711 FILLER_89_541
*6712 FILLER_89_55
*6713 FILLER_89_553
*6714 FILLER_89_559
*6715 FILLER_89_561
*6716 FILLER_89_57
*6717 FILLER_89_573
*6718 FILLER_89_585
*6719 FILLER_89_597
*6720 FILLER_89_609
*6721 FILLER_89_615
*6722 FILLER_89_617
*6723 FILLER_89_69
*6724 FILLER_89_81
*6725 FILLER_89_93
*6726 FILLER_8_109
*6727 FILLER_8_121
*6728 FILLER_8_133
*6729 FILLER_8_139
*6730 FILLER_8_141
*6731 FILLER_8_15
*6732 FILLER_8_153
*6733 FILLER_8_165
*6734 FILLER_8_177
*6735 FILLER_8_189
*6736 FILLER_8_195
*6737 FILLER_8_197
*6738 FILLER_8_209
*6739 FILLER_8_221
*6740 FILLER_8_233
*6741 FILLER_8_245
*6742 FILLER_8_251
*6743 FILLER_8_253
*6744 FILLER_8_265
*6745 FILLER_8_27
*6746 FILLER_8_277
*6747 FILLER_8_289
*6748 FILLER_8_29
*6749 FILLER_8_3
*6750 FILLER_8_301
*6751 FILLER_8_307
*6752 FILLER_8_309
*6753 FILLER_8_321
*6754 FILLER_8_333
*6755 FILLER_8_345
*6756 FILLER_8_357
*6757 FILLER_8_363
*6758 FILLER_8_365
*6759 FILLER_8_377
*6760 FILLER_8_389
*6761 FILLER_8_401
*6762 FILLER_8_41
*6763 FILLER_8_413
*6764 FILLER_8_419
*6765 FILLER_8_421
*6766 FILLER_8_433
*6767 FILLER_8_445
*6768 FILLER_8_457
*6769 FILLER_8_469
*6770 FILLER_8_475
*6771 FILLER_8_477
*6772 FILLER_8_489
*6773 FILLER_8_501
*6774 FILLER_8_513
*6775 FILLER_8_525
*6776 FILLER_8_53
*6777 FILLER_8_531
*6778 FILLER_8_533
*6779 FILLER_8_545
*6780 FILLER_8_557
*6781 FILLER_8_569
*6782 FILLER_8_581
*6783 FILLER_8_587
*6784 FILLER_8_589
*6785 FILLER_8_601
*6786 FILLER_8_613
*6787 FILLER_8_65
*6788 FILLER_8_77
*6789 FILLER_8_83
*6790 FILLER_8_85
*6791 FILLER_8_97
*6792 FILLER_90_109
*6793 FILLER_90_121
*6794 FILLER_90_133
*6795 FILLER_90_139
*6796 FILLER_90_141
*6797 FILLER_90_15
*6798 FILLER_90_153
*6799 FILLER_90_165
*6800 FILLER_90_177
*6801 FILLER_90_189
*6802 FILLER_90_195
*6803 FILLER_90_197
*6804 FILLER_90_209
*6805 FILLER_90_221
*6806 FILLER_90_233
*6807 FILLER_90_245
*6808 FILLER_90_251
*6809 FILLER_90_253
*6810 FILLER_90_265
*6811 FILLER_90_27
*6812 FILLER_90_277
*6813 FILLER_90_289
*6814 FILLER_90_29
*6815 FILLER_90_3
*6816 FILLER_90_301
*6817 FILLER_90_307
*6818 FILLER_90_309
*6819 FILLER_90_321
*6820 FILLER_90_333
*6821 FILLER_90_345
*6822 FILLER_90_357
*6823 FILLER_90_363
*6824 FILLER_90_365
*6825 FILLER_90_377
*6826 FILLER_90_389
*6827 FILLER_90_401
*6828 FILLER_90_41
*6829 FILLER_90_413
*6830 FILLER_90_419
*6831 FILLER_90_421
*6832 FILLER_90_433
*6833 FILLER_90_445
*6834 FILLER_90_457
*6835 FILLER_90_469
*6836 FILLER_90_475
*6837 FILLER_90_477
*6838 FILLER_90_489
*6839 FILLER_90_501
*6840 FILLER_90_513
*6841 FILLER_90_525
*6842 FILLER_90_53
*6843 FILLER_90_531
*6844 FILLER_90_533
*6845 FILLER_90_545
*6846 FILLER_90_557
*6847 FILLER_90_569
*6848 FILLER_90_581
*6849 FILLER_90_587
*6850 FILLER_90_589
*6851 FILLER_90_601
*6852 FILLER_90_613
*6853 FILLER_90_65
*6854 FILLER_90_77
*6855 FILLER_90_83
*6856 FILLER_90_85
*6857 FILLER_90_97
*6858 FILLER_91_105
*6859 FILLER_91_111
*6860 FILLER_91_113
*6861 FILLER_91_125
*6862 FILLER_91_137
*6863 FILLER_91_149
*6864 FILLER_91_15
*6865 FILLER_91_161
*6866 FILLER_91_167
*6867 FILLER_91_169
*6868 FILLER_91_181
*6869 FILLER_91_193
*6870 FILLER_91_205
*6871 FILLER_91_217
*6872 FILLER_91_223
*6873 FILLER_91_225
*6874 FILLER_91_237
*6875 FILLER_91_249
*6876 FILLER_91_261
*6877 FILLER_91_27
*6878 FILLER_91_273
*6879 FILLER_91_279
*6880 FILLER_91_281
*6881 FILLER_91_293
*6882 FILLER_91_3
*6883 FILLER_91_305
*6884 FILLER_91_317
*6885 FILLER_91_329
*6886 FILLER_91_335
*6887 FILLER_91_337
*6888 FILLER_91_349
*6889 FILLER_91_361
*6890 FILLER_91_373
*6891 FILLER_91_385
*6892 FILLER_91_39
*6893 FILLER_91_391
*6894 FILLER_91_393
*6895 FILLER_91_405
*6896 FILLER_91_417
*6897 FILLER_91_429
*6898 FILLER_91_441
*6899 FILLER_91_447
*6900 FILLER_91_449
*6901 FILLER_91_461
*6902 FILLER_91_473
*6903 FILLER_91_485
*6904 FILLER_91_497
*6905 FILLER_91_503
*6906 FILLER_91_505
*6907 FILLER_91_51
*6908 FILLER_91_517
*6909 FILLER_91_529
*6910 FILLER_91_541
*6911 FILLER_91_55
*6912 FILLER_91_553
*6913 FILLER_91_559
*6914 FILLER_91_561
*6915 FILLER_91_57
*6916 FILLER_91_573
*6917 FILLER_91_585
*6918 FILLER_91_597
*6919 FILLER_91_609
*6920 FILLER_91_615
*6921 FILLER_91_617
*6922 FILLER_91_69
*6923 FILLER_91_81
*6924 FILLER_91_93
*6925 FILLER_92_109
*6926 FILLER_92_121
*6927 FILLER_92_133
*6928 FILLER_92_139
*6929 FILLER_92_141
*6930 FILLER_92_15
*6931 FILLER_92_153
*6932 FILLER_92_165
*6933 FILLER_92_177
*6934 FILLER_92_189
*6935 FILLER_92_195
*6936 FILLER_92_197
*6937 FILLER_92_209
*6938 FILLER_92_221
*6939 FILLER_92_233
*6940 FILLER_92_245
*6941 FILLER_92_251
*6942 FILLER_92_253
*6943 FILLER_92_265
*6944 FILLER_92_27
*6945 FILLER_92_277
*6946 FILLER_92_289
*6947 FILLER_92_29
*6948 FILLER_92_3
*6949 FILLER_92_301
*6950 FILLER_92_307
*6951 FILLER_92_309
*6952 FILLER_92_321
*6953 FILLER_92_333
*6954 FILLER_92_345
*6955 FILLER_92_357
*6956 FILLER_92_363
*6957 FILLER_92_365
*6958 FILLER_92_377
*6959 FILLER_92_389
*6960 FILLER_92_401
*6961 FILLER_92_41
*6962 FILLER_92_413
*6963 FILLER_92_419
*6964 FILLER_92_421
*6965 FILLER_92_433
*6966 FILLER_92_445
*6967 FILLER_92_457
*6968 FILLER_92_469
*6969 FILLER_92_475
*6970 FILLER_92_477
*6971 FILLER_92_489
*6972 FILLER_92_501
*6973 FILLER_92_513
*6974 FILLER_92_525
*6975 FILLER_92_53
*6976 FILLER_92_531
*6977 FILLER_92_533
*6978 FILLER_92_545
*6979 FILLER_92_557
*6980 FILLER_92_569
*6981 FILLER_92_581
*6982 FILLER_92_587
*6983 FILLER_92_589
*6984 FILLER_92_601
*6985 FILLER_92_613
*6986 FILLER_92_65
*6987 FILLER_92_77
*6988 FILLER_92_83
*6989 FILLER_92_85
*6990 FILLER_92_97
*6991 FILLER_93_105
*6992 FILLER_93_111
*6993 FILLER_93_113
*6994 FILLER_93_125
*6995 FILLER_93_137
*6996 FILLER_93_149
*6997 FILLER_93_15
*6998 FILLER_93_161
*6999 FILLER_93_167
*7000 FILLER_93_169
*7001 FILLER_93_181
*7002 FILLER_93_193
*7003 FILLER_93_205
*7004 FILLER_93_217
*7005 FILLER_93_223
*7006 FILLER_93_225
*7007 FILLER_93_237
*7008 FILLER_93_249
*7009 FILLER_93_261
*7010 FILLER_93_27
*7011 FILLER_93_273
*7012 FILLER_93_279
*7013 FILLER_93_281
*7014 FILLER_93_293
*7015 FILLER_93_3
*7016 FILLER_93_305
*7017 FILLER_93_317
*7018 FILLER_93_329
*7019 FILLER_93_335
*7020 FILLER_93_337
*7021 FILLER_93_349
*7022 FILLER_93_361
*7023 FILLER_93_373
*7024 FILLER_93_385
*7025 FILLER_93_39
*7026 FILLER_93_391
*7027 FILLER_93_393
*7028 FILLER_93_405
*7029 FILLER_93_417
*7030 FILLER_93_429
*7031 FILLER_93_441
*7032 FILLER_93_447
*7033 FILLER_93_449
*7034 FILLER_93_461
*7035 FILLER_93_473
*7036 FILLER_93_485
*7037 FILLER_93_497
*7038 FILLER_93_503
*7039 FILLER_93_505
*7040 FILLER_93_51
*7041 FILLER_93_517
*7042 FILLER_93_529
*7043 FILLER_93_541
*7044 FILLER_93_55
*7045 FILLER_93_553
*7046 FILLER_93_559
*7047 FILLER_93_561
*7048 FILLER_93_57
*7049 FILLER_93_573
*7050 FILLER_93_585
*7051 FILLER_93_597
*7052 FILLER_93_609
*7053 FILLER_93_615
*7054 FILLER_93_617
*7055 FILLER_93_69
*7056 FILLER_93_81
*7057 FILLER_93_93
*7058 FILLER_94_109
*7059 FILLER_94_121
*7060 FILLER_94_133
*7061 FILLER_94_139
*7062 FILLER_94_141
*7063 FILLER_94_15
*7064 FILLER_94_153
*7065 FILLER_94_165
*7066 FILLER_94_177
*7067 FILLER_94_189
*7068 FILLER_94_195
*7069 FILLER_94_197
*7070 FILLER_94_209
*7071 FILLER_94_221
*7072 FILLER_94_233
*7073 FILLER_94_245
*7074 FILLER_94_251
*7075 FILLER_94_253
*7076 FILLER_94_265
*7077 FILLER_94_27
*7078 FILLER_94_277
*7079 FILLER_94_289
*7080 FILLER_94_29
*7081 FILLER_94_3
*7082 FILLER_94_301
*7083 FILLER_94_307
*7084 FILLER_94_309
*7085 FILLER_94_321
*7086 FILLER_94_333
*7087 FILLER_94_345
*7088 FILLER_94_357
*7089 FILLER_94_363
*7090 FILLER_94_365
*7091 FILLER_94_377
*7092 FILLER_94_389
*7093 FILLER_94_401
*7094 FILLER_94_41
*7095 FILLER_94_413
*7096 FILLER_94_419
*7097 FILLER_94_421
*7098 FILLER_94_433
*7099 FILLER_94_445
*7100 FILLER_94_457
*7101 FILLER_94_469
*7102 FILLER_94_475
*7103 FILLER_94_477
*7104 FILLER_94_489
*7105 FILLER_94_501
*7106 FILLER_94_513
*7107 FILLER_94_525
*7108 FILLER_94_53
*7109 FILLER_94_531
*7110 FILLER_94_533
*7111 FILLER_94_545
*7112 FILLER_94_557
*7113 FILLER_94_569
*7114 FILLER_94_581
*7115 FILLER_94_587
*7116 FILLER_94_589
*7117 FILLER_94_601
*7118 FILLER_94_613
*7119 FILLER_94_65
*7120 FILLER_94_77
*7121 FILLER_94_83
*7122 FILLER_94_85
*7123 FILLER_94_97
*7124 FILLER_95_105
*7125 FILLER_95_111
*7126 FILLER_95_113
*7127 FILLER_95_125
*7128 FILLER_95_137
*7129 FILLER_95_149
*7130 FILLER_95_15
*7131 FILLER_95_161
*7132 FILLER_95_167
*7133 FILLER_95_169
*7134 FILLER_95_181
*7135 FILLER_95_193
*7136 FILLER_95_205
*7137 FILLER_95_217
*7138 FILLER_95_223
*7139 FILLER_95_225
*7140 FILLER_95_237
*7141 FILLER_95_249
*7142 FILLER_95_261
*7143 FILLER_95_27
*7144 FILLER_95_273
*7145 FILLER_95_279
*7146 FILLER_95_281
*7147 FILLER_95_293
*7148 FILLER_95_3
*7149 FILLER_95_305
*7150 FILLER_95_317
*7151 FILLER_95_329
*7152 FILLER_95_335
*7153 FILLER_95_337
*7154 FILLER_95_349
*7155 FILLER_95_361
*7156 FILLER_95_373
*7157 FILLER_95_385
*7158 FILLER_95_39
*7159 FILLER_95_391
*7160 FILLER_95_393
*7161 FILLER_95_405
*7162 FILLER_95_417
*7163 FILLER_95_429
*7164 FILLER_95_441
*7165 FILLER_95_447
*7166 FILLER_95_449
*7167 FILLER_95_461
*7168 FILLER_95_473
*7169 FILLER_95_485
*7170 FILLER_95_497
*7171 FILLER_95_503
*7172 FILLER_95_505
*7173 FILLER_95_51
*7174 FILLER_95_517
*7175 FILLER_95_529
*7176 FILLER_95_541
*7177 FILLER_95_55
*7178 FILLER_95_553
*7179 FILLER_95_559
*7180 FILLER_95_561
*7181 FILLER_95_57
*7182 FILLER_95_573
*7183 FILLER_95_585
*7184 FILLER_95_597
*7185 FILLER_95_609
*7186 FILLER_95_615
*7187 FILLER_95_617
*7188 FILLER_95_69
*7189 FILLER_95_81
*7190 FILLER_95_93
*7191 FILLER_96_109
*7192 FILLER_96_121
*7193 FILLER_96_133
*7194 FILLER_96_139
*7195 FILLER_96_141
*7196 FILLER_96_15
*7197 FILLER_96_153
*7198 FILLER_96_165
*7199 FILLER_96_177
*7200 FILLER_96_189
*7201 FILLER_96_195
*7202 FILLER_96_197
*7203 FILLER_96_209
*7204 FILLER_96_221
*7205 FILLER_96_233
*7206 FILLER_96_245
*7207 FILLER_96_251
*7208 FILLER_96_253
*7209 FILLER_96_265
*7210 FILLER_96_27
*7211 FILLER_96_277
*7212 FILLER_96_289
*7213 FILLER_96_29
*7214 FILLER_96_3
*7215 FILLER_96_301
*7216 FILLER_96_307
*7217 FILLER_96_309
*7218 FILLER_96_321
*7219 FILLER_96_333
*7220 FILLER_96_345
*7221 FILLER_96_357
*7222 FILLER_96_363
*7223 FILLER_96_365
*7224 FILLER_96_377
*7225 FILLER_96_389
*7226 FILLER_96_401
*7227 FILLER_96_41
*7228 FILLER_96_413
*7229 FILLER_96_419
*7230 FILLER_96_421
*7231 FILLER_96_433
*7232 FILLER_96_445
*7233 FILLER_96_457
*7234 FILLER_96_469
*7235 FILLER_96_475
*7236 FILLER_96_477
*7237 FILLER_96_489
*7238 FILLER_96_501
*7239 FILLER_96_513
*7240 FILLER_96_525
*7241 FILLER_96_53
*7242 FILLER_96_531
*7243 FILLER_96_533
*7244 FILLER_96_545
*7245 FILLER_96_557
*7246 FILLER_96_569
*7247 FILLER_96_581
*7248 FILLER_96_587
*7249 FILLER_96_589
*7250 FILLER_96_601
*7251 FILLER_96_613
*7252 FILLER_96_65
*7253 FILLER_96_77
*7254 FILLER_96_83
*7255 FILLER_96_85
*7256 FILLER_96_97
*7257 FILLER_97_105
*7258 FILLER_97_111
*7259 FILLER_97_113
*7260 FILLER_97_125
*7261 FILLER_97_137
*7262 FILLER_97_149
*7263 FILLER_97_15
*7264 FILLER_97_161
*7265 FILLER_97_167
*7266 FILLER_97_169
*7267 FILLER_97_181
*7268 FILLER_97_193
*7269 FILLER_97_205
*7270 FILLER_97_217
*7271 FILLER_97_223
*7272 FILLER_97_225
*7273 FILLER_97_237
*7274 FILLER_97_249
*7275 FILLER_97_261
*7276 FILLER_97_27
*7277 FILLER_97_273
*7278 FILLER_97_279
*7279 FILLER_97_281
*7280 FILLER_97_293
*7281 FILLER_97_3
*7282 FILLER_97_305
*7283 FILLER_97_317
*7284 FILLER_97_329
*7285 FILLER_97_335
*7286 FILLER_97_337
*7287 FILLER_97_349
*7288 FILLER_97_361
*7289 FILLER_97_373
*7290 FILLER_97_385
*7291 FILLER_97_39
*7292 FILLER_97_391
*7293 FILLER_97_393
*7294 FILLER_97_405
*7295 FILLER_97_417
*7296 FILLER_97_429
*7297 FILLER_97_441
*7298 FILLER_97_447
*7299 FILLER_97_449
*7300 FILLER_97_461
*7301 FILLER_97_473
*7302 FILLER_97_485
*7303 FILLER_97_497
*7304 FILLER_97_503
*7305 FILLER_97_505
*7306 FILLER_97_51
*7307 FILLER_97_517
*7308 FILLER_97_529
*7309 FILLER_97_541
*7310 FILLER_97_55
*7311 FILLER_97_553
*7312 FILLER_97_559
*7313 FILLER_97_561
*7314 FILLER_97_57
*7315 FILLER_97_573
*7316 FILLER_97_585
*7317 FILLER_97_597
*7318 FILLER_97_609
*7319 FILLER_97_615
*7320 FILLER_97_617
*7321 FILLER_97_69
*7322 FILLER_97_81
*7323 FILLER_97_93
*7324 FILLER_98_109
*7325 FILLER_98_121
*7326 FILLER_98_133
*7327 FILLER_98_139
*7328 FILLER_98_141
*7329 FILLER_98_15
*7330 FILLER_98_153
*7331 FILLER_98_165
*7332 FILLER_98_177
*7333 FILLER_98_189
*7334 FILLER_98_195
*7335 FILLER_98_197
*7336 FILLER_98_209
*7337 FILLER_98_221
*7338 FILLER_98_233
*7339 FILLER_98_245
*7340 FILLER_98_251
*7341 FILLER_98_253
*7342 FILLER_98_265
*7343 FILLER_98_27
*7344 FILLER_98_277
*7345 FILLER_98_289
*7346 FILLER_98_29
*7347 FILLER_98_3
*7348 FILLER_98_301
*7349 FILLER_98_307
*7350 FILLER_98_309
*7351 FILLER_98_321
*7352 FILLER_98_333
*7353 FILLER_98_345
*7354 FILLER_98_357
*7355 FILLER_98_363
*7356 FILLER_98_365
*7357 FILLER_98_377
*7358 FILLER_98_389
*7359 FILLER_98_401
*7360 FILLER_98_41
*7361 FILLER_98_413
*7362 FILLER_98_419
*7363 FILLER_98_421
*7364 FILLER_98_433
*7365 FILLER_98_445
*7366 FILLER_98_457
*7367 FILLER_98_469
*7368 FILLER_98_475
*7369 FILLER_98_477
*7370 FILLER_98_489
*7371 FILLER_98_501
*7372 FILLER_98_513
*7373 FILLER_98_525
*7374 FILLER_98_53
*7375 FILLER_98_531
*7376 FILLER_98_533
*7377 FILLER_98_545
*7378 FILLER_98_557
*7379 FILLER_98_569
*7380 FILLER_98_581
*7381 FILLER_98_587
*7382 FILLER_98_589
*7383 FILLER_98_601
*7384 FILLER_98_613
*7385 FILLER_98_65
*7386 FILLER_98_77
*7387 FILLER_98_83
*7388 FILLER_98_85
*7389 FILLER_98_97
*7390 FILLER_99_105
*7391 FILLER_99_111
*7392 FILLER_99_113
*7393 FILLER_99_125
*7394 FILLER_99_137
*7395 FILLER_99_149
*7396 FILLER_99_15
*7397 FILLER_99_161
*7398 FILLER_99_167
*7399 FILLER_99_169
*7400 FILLER_99_181
*7401 FILLER_99_193
*7402 FILLER_99_205
*7403 FILLER_99_217
*7404 FILLER_99_223
*7405 FILLER_99_225
*7406 FILLER_99_237
*7407 FILLER_99_249
*7408 FILLER_99_261
*7409 FILLER_99_27
*7410 FILLER_99_273
*7411 FILLER_99_279
*7412 FILLER_99_281
*7413 FILLER_99_293
*7414 FILLER_99_3
*7415 FILLER_99_305
*7416 FILLER_99_317
*7417 FILLER_99_329
*7418 FILLER_99_335
*7419 FILLER_99_337
*7420 FILLER_99_349
*7421 FILLER_99_361
*7422 FILLER_99_373
*7423 FILLER_99_385
*7424 FILLER_99_39
*7425 FILLER_99_391
*7426 FILLER_99_393
*7427 FILLER_99_405
*7428 FILLER_99_417
*7429 FILLER_99_429
*7430 FILLER_99_441
*7431 FILLER_99_447
*7432 FILLER_99_449
*7433 FILLER_99_461
*7434 FILLER_99_473
*7435 FILLER_99_485
*7436 FILLER_99_497
*7437 FILLER_99_503
*7438 FILLER_99_505
*7439 FILLER_99_51
*7440 FILLER_99_517
*7441 FILLER_99_529
*7442 FILLER_99_541
*7443 FILLER_99_55
*7444 FILLER_99_553
*7445 FILLER_99_559
*7446 FILLER_99_561
*7447 FILLER_99_57
*7448 FILLER_99_573
*7449 FILLER_99_585
*7450 FILLER_99_597
*7451 FILLER_99_609
*7452 FILLER_99_615
*7453 FILLER_99_617
*7454 FILLER_99_69
*7455 FILLER_99_81
*7456 FILLER_99_93
*7457 FILLER_9_105
*7458 FILLER_9_111
*7459 FILLER_9_113
*7460 FILLER_9_125
*7461 FILLER_9_137
*7462 FILLER_9_149
*7463 FILLER_9_15
*7464 FILLER_9_161
*7465 FILLER_9_167
*7466 FILLER_9_169
*7467 FILLER_9_181
*7468 FILLER_9_193
*7469 FILLER_9_205
*7470 FILLER_9_217
*7471 FILLER_9_223
*7472 FILLER_9_225
*7473 FILLER_9_237
*7474 FILLER_9_249
*7475 FILLER_9_261
*7476 FILLER_9_27
*7477 FILLER_9_273
*7478 FILLER_9_279
*7479 FILLER_9_281
*7480 FILLER_9_293
*7481 FILLER_9_3
*7482 FILLER_9_305
*7483 FILLER_9_317
*7484 FILLER_9_329
*7485 FILLER_9_335
*7486 FILLER_9_337
*7487 FILLER_9_349
*7488 FILLER_9_361
*7489 FILLER_9_373
*7490 FILLER_9_385
*7491 FILLER_9_39
*7492 FILLER_9_391
*7493 FILLER_9_393
*7494 FILLER_9_405
*7495 FILLER_9_417
*7496 FILLER_9_429
*7497 FILLER_9_441
*7498 FILLER_9_447
*7499 FILLER_9_449
*7500 FILLER_9_461
*7501 FILLER_9_473
*7502 FILLER_9_485
*7503 FILLER_9_497
*7504 FILLER_9_503
*7505 FILLER_9_505
*7506 FILLER_9_51
*7507 FILLER_9_517
*7508 FILLER_9_529
*7509 FILLER_9_541
*7510 FILLER_9_55
*7511 FILLER_9_553
*7512 FILLER_9_559
*7513 FILLER_9_561
*7514 FILLER_9_57
*7515 FILLER_9_573
*7516 FILLER_9_585
*7517 FILLER_9_597
*7518 FILLER_9_609
*7519 FILLER_9_615
*7520 FILLER_9_617
*7521 FILLER_9_69
*7522 FILLER_9_81
*7523 FILLER_9_93
*7524 PHY_0
*7525 PHY_1
*7526 PHY_10
*7527 PHY_100
*7528 PHY_101
*7529 PHY_102
*7530 PHY_103
*7531 PHY_104
*7532 PHY_105
*7533 PHY_106
*7534 PHY_107
*7535 PHY_108
*7536 PHY_109
*7537 PHY_11
*7538 PHY_110
*7539 PHY_111
*7540 PHY_112
*7541 PHY_113
*7542 PHY_114
*7543 PHY_115
*7544 PHY_116
*7545 PHY_117
*7546 PHY_118
*7547 PHY_119
*7548 PHY_12
*7549 PHY_120
*7550 PHY_121
*7551 PHY_122
*7552 PHY_123
*7553 PHY_124
*7554 PHY_125
*7555 PHY_126
*7556 PHY_127
*7557 PHY_128
*7558 PHY_129
*7559 PHY_13
*7560 PHY_130
*7561 PHY_131
*7562 PHY_132
*7563 PHY_133
*7564 PHY_134
*7565 PHY_135
*7566 PHY_136
*7567 PHY_137
*7568 PHY_138
*7569 PHY_139
*7570 PHY_14
*7571 PHY_140
*7572 PHY_141
*7573 PHY_142
*7574 PHY_143
*7575 PHY_144
*7576 PHY_145
*7577 PHY_146
*7578 PHY_147
*7579 PHY_148
*7580 PHY_149
*7581 PHY_15
*7582 PHY_150
*7583 PHY_151
*7584 PHY_152
*7585 PHY_153
*7586 PHY_154
*7587 PHY_155
*7588 PHY_156
*7589 PHY_157
*7590 PHY_158
*7591 PHY_159
*7592 PHY_16
*7593 PHY_160
*7594 PHY_161
*7595 PHY_162
*7596 PHY_163
*7597 PHY_164
*7598 PHY_165
*7599 PHY_166
*7600 PHY_167
*7601 PHY_168
*7602 PHY_169
*7603 PHY_17
*7604 PHY_170
*7605 PHY_171
*7606 PHY_172
*7607 PHY_173
*7608 PHY_174
*7609 PHY_175
*7610 PHY_176
*7611 PHY_177
*7612 PHY_178
*7613 PHY_179
*7614 PHY_18
*7615 PHY_180
*7616 PHY_181
*7617 PHY_182
*7618 PHY_183
*7619 PHY_184
*7620 PHY_185
*7621 PHY_186
*7622 PHY_187
*7623 PHY_188
*7624 PHY_189
*7625 PHY_19
*7626 PHY_190
*7627 PHY_191
*7628 PHY_192
*7629 PHY_193
*7630 PHY_194
*7631 PHY_195
*7632 PHY_196
*7633 PHY_197
*7634 PHY_198
*7635 PHY_199
*7636 PHY_2
*7637 PHY_20
*7638 PHY_200
*7639 PHY_201
*7640 PHY_202
*7641 PHY_203
*7642 PHY_21
*7643 PHY_22
*7644 PHY_23
*7645 PHY_24
*7646 PHY_25
*7647 PHY_26
*7648 PHY_27
*7649 PHY_28
*7650 PHY_29
*7651 PHY_3
*7652 PHY_30
*7653 PHY_31
*7654 PHY_32
*7655 PHY_33
*7656 PHY_34
*7657 PHY_35
*7658 PHY_36
*7659 PHY_37
*7660 PHY_38
*7661 PHY_39
*7662 PHY_4
*7663 PHY_40
*7664 PHY_41
*7665 PHY_42
*7666 PHY_43
*7667 PHY_44
*7668 PHY_45
*7669 PHY_46
*7670 PHY_47
*7671 PHY_48
*7672 PHY_49
*7673 PHY_5
*7674 PHY_50
*7675 PHY_51
*7676 PHY_52
*7677 PHY_53
*7678 PHY_54
*7679 PHY_55
*7680 PHY_56
*7681 PHY_57
*7682 PHY_58
*7683 PHY_59
*7684 PHY_6
*7685 PHY_60
*7686 PHY_61
*7687 PHY_62
*7688 PHY_63
*7689 PHY_64
*7690 PHY_65
*7691 PHY_66
*7692 PHY_67
*7693 PHY_68
*7694 PHY_69
*7695 PHY_7
*7696 PHY_70
*7697 PHY_71
*7698 PHY_72
*7699 PHY_73
*7700 PHY_74
*7701 PHY_75
*7702 PHY_76
*7703 PHY_77
*7704 PHY_78
*7705 PHY_79
*7706 PHY_8
*7707 PHY_80
*7708 PHY_81
*7709 PHY_82
*7710 PHY_83
*7711 PHY_84
*7712 PHY_85
*7713 PHY_86
*7714 PHY_87
*7715 PHY_88
*7716 PHY_89
*7717 PHY_9
*7718 PHY_90
*7719 PHY_91
*7720 PHY_92
*7721 PHY_93
*7722 PHY_94
*7723 PHY_95
*7724 PHY_96
*7725 PHY_97
*7726 PHY_98
*7727 PHY_99
*7728 TAP_1000
*7729 TAP_1001
*7730 TAP_1002
*7731 TAP_1003
*7732 TAP_1004
*7733 TAP_1005
*7734 TAP_1006
*7735 TAP_1007
*7736 TAP_1008
*7737 TAP_1009
*7738 TAP_1010
*7739 TAP_1011
*7740 TAP_1012
*7741 TAP_1013
*7742 TAP_1014
*7743 TAP_1015
*7744 TAP_1016
*7745 TAP_1017
*7746 TAP_1018
*7747 TAP_1019
*7748 TAP_1020
*7749 TAP_1021
*7750 TAP_1022
*7751 TAP_1023
*7752 TAP_1024
*7753 TAP_1025
*7754 TAP_1026
*7755 TAP_1027
*7756 TAP_1028
*7757 TAP_1029
*7758 TAP_1030
*7759 TAP_1031
*7760 TAP_1032
*7761 TAP_1033
*7762 TAP_1034
*7763 TAP_1035
*7764 TAP_1036
*7765 TAP_1037
*7766 TAP_1038
*7767 TAP_1039
*7768 TAP_1040
*7769 TAP_1041
*7770 TAP_1042
*7771 TAP_1043
*7772 TAP_1044
*7773 TAP_1045
*7774 TAP_1046
*7775 TAP_1047
*7776 TAP_1048
*7777 TAP_1049
*7778 TAP_1050
*7779 TAP_1051
*7780 TAP_1052
*7781 TAP_1053
*7782 TAP_1054
*7783 TAP_1055
*7784 TAP_1056
*7785 TAP_1057
*7786 TAP_1058
*7787 TAP_1059
*7788 TAP_1060
*7789 TAP_1061
*7790 TAP_1062
*7791 TAP_1063
*7792 TAP_1064
*7793 TAP_1065
*7794 TAP_1066
*7795 TAP_1067
*7796 TAP_1068
*7797 TAP_1069
*7798 TAP_1070
*7799 TAP_1071
*7800 TAP_1072
*7801 TAP_1073
*7802 TAP_1074
*7803 TAP_1075
*7804 TAP_1076
*7805 TAP_1077
*7806 TAP_1078
*7807 TAP_1079
*7808 TAP_1080
*7809 TAP_1081
*7810 TAP_1082
*7811 TAP_1083
*7812 TAP_1084
*7813 TAP_1085
*7814 TAP_1086
*7815 TAP_1087
*7816 TAP_1088
*7817 TAP_1089
*7818 TAP_1090
*7819 TAP_1091
*7820 TAP_1092
*7821 TAP_1093
*7822 TAP_1094
*7823 TAP_1095
*7824 TAP_1096
*7825 TAP_1097
*7826 TAP_1098
*7827 TAP_1099
*7828 TAP_1100
*7829 TAP_1101
*7830 TAP_1102
*7831 TAP_1103
*7832 TAP_1104
*7833 TAP_1105
*7834 TAP_1106
*7835 TAP_1107
*7836 TAP_1108
*7837 TAP_1109
*7838 TAP_1110
*7839 TAP_1111
*7840 TAP_1112
*7841 TAP_1113
*7842 TAP_1114
*7843 TAP_1115
*7844 TAP_1116
*7845 TAP_1117
*7846 TAP_1118
*7847 TAP_1119
*7848 TAP_1120
*7849 TAP_1121
*7850 TAP_1122
*7851 TAP_1123
*7852 TAP_1124
*7853 TAP_1125
*7854 TAP_1126
*7855 TAP_1127
*7856 TAP_1128
*7857 TAP_1129
*7858 TAP_1130
*7859 TAP_1131
*7860 TAP_1132
*7861 TAP_1133
*7862 TAP_1134
*7863 TAP_1135
*7864 TAP_1136
*7865 TAP_1137
*7866 TAP_1138
*7867 TAP_1139
*7868 TAP_1140
*7869 TAP_1141
*7870 TAP_1142
*7871 TAP_1143
*7872 TAP_1144
*7873 TAP_1145
*7874 TAP_1146
*7875 TAP_1147
*7876 TAP_1148
*7877 TAP_1149
*7878 TAP_1150
*7879 TAP_1151
*7880 TAP_1152
*7881 TAP_1153
*7882 TAP_1154
*7883 TAP_1155
*7884 TAP_1156
*7885 TAP_1157
*7886 TAP_1158
*7887 TAP_1159
*7888 TAP_1160
*7889 TAP_1161
*7890 TAP_1162
*7891 TAP_1163
*7892 TAP_1164
*7893 TAP_1165
*7894 TAP_1166
*7895 TAP_1167
*7896 TAP_1168
*7897 TAP_1169
*7898 TAP_1170
*7899 TAP_1171
*7900 TAP_1172
*7901 TAP_1173
*7902 TAP_1174
*7903 TAP_1175
*7904 TAP_1176
*7905 TAP_1177
*7906 TAP_1178
*7907 TAP_1179
*7908 TAP_1180
*7909 TAP_1181
*7910 TAP_1182
*7911 TAP_1183
*7912 TAP_1184
*7913 TAP_1185
*7914 TAP_1186
*7915 TAP_1187
*7916 TAP_1188
*7917 TAP_1189
*7918 TAP_1190
*7919 TAP_1191
*7920 TAP_1192
*7921 TAP_1193
*7922 TAP_1194
*7923 TAP_1195
*7924 TAP_1196
*7925 TAP_1197
*7926 TAP_1198
*7927 TAP_1199
*7928 TAP_1200
*7929 TAP_1201
*7930 TAP_1202
*7931 TAP_1203
*7932 TAP_1204
*7933 TAP_1205
*7934 TAP_1206
*7935 TAP_1207
*7936 TAP_1208
*7937 TAP_1209
*7938 TAP_1210
*7939 TAP_1211
*7940 TAP_1212
*7941 TAP_1213
*7942 TAP_1214
*7943 TAP_1215
*7944 TAP_1216
*7945 TAP_1217
*7946 TAP_1218
*7947 TAP_1219
*7948 TAP_1220
*7949 TAP_1221
*7950 TAP_1222
*7951 TAP_1223
*7952 TAP_1224
*7953 TAP_1225
*7954 TAP_1226
*7955 TAP_1227
*7956 TAP_1228
*7957 TAP_1229
*7958 TAP_1230
*7959 TAP_1231
*7960 TAP_1232
*7961 TAP_1233
*7962 TAP_1234
*7963 TAP_1235
*7964 TAP_1236
*7965 TAP_1237
*7966 TAP_1238
*7967 TAP_1239
*7968 TAP_1240
*7969 TAP_1241
*7970 TAP_1242
*7971 TAP_1243
*7972 TAP_1244
*7973 TAP_1245
*7974 TAP_1246
*7975 TAP_1247
*7976 TAP_1248
*7977 TAP_1249
*7978 TAP_1250
*7979 TAP_1251
*7980 TAP_1252
*7981 TAP_1253
*7982 TAP_1254
*7983 TAP_1255
*7984 TAP_1256
*7985 TAP_1257
*7986 TAP_1258
*7987 TAP_1259
*7988 TAP_1260
*7989 TAP_1261
*7990 TAP_1262
*7991 TAP_1263
*7992 TAP_1264
*7993 TAP_1265
*7994 TAP_1266
*7995 TAP_1267
*7996 TAP_1268
*7997 TAP_1269
*7998 TAP_1270
*7999 TAP_1271
*8000 TAP_1272
*8001 TAP_1273
*8002 TAP_1274
*8003 TAP_1275
*8004 TAP_1276
*8005 TAP_1277
*8006 TAP_1278
*8007 TAP_1279
*8008 TAP_1280
*8009 TAP_1281
*8010 TAP_1282
*8011 TAP_1283
*8012 TAP_1284
*8013 TAP_1285
*8014 TAP_1286
*8015 TAP_1287
*8016 TAP_1288
*8017 TAP_1289
*8018 TAP_1290
*8019 TAP_1291
*8020 TAP_1292
*8021 TAP_1293
*8022 TAP_1294
*8023 TAP_1295
*8024 TAP_1296
*8025 TAP_1297
*8026 TAP_1298
*8027 TAP_1299
*8028 TAP_1300
*8029 TAP_1301
*8030 TAP_1302
*8031 TAP_1303
*8032 TAP_1304
*8033 TAP_1305
*8034 TAP_1306
*8035 TAP_1307
*8036 TAP_1308
*8037 TAP_1309
*8038 TAP_1310
*8039 TAP_1311
*8040 TAP_1312
*8041 TAP_1313
*8042 TAP_1314
*8043 TAP_1315
*8044 TAP_1316
*8045 TAP_1317
*8046 TAP_1318
*8047 TAP_1319
*8048 TAP_1320
*8049 TAP_1321
*8050 TAP_1322
*8051 TAP_1323
*8052 TAP_1324
*8053 TAP_1325
*8054 TAP_1326
*8055 TAP_1327
*8056 TAP_1328
*8057 TAP_1329
*8058 TAP_1330
*8059 TAP_1331
*8060 TAP_1332
*8061 TAP_1333
*8062 TAP_1334
*8063 TAP_1335
*8064 TAP_1336
*8065 TAP_1337
*8066 TAP_1338
*8067 TAP_1339
*8068 TAP_1340
*8069 TAP_1341
*8070 TAP_1342
*8071 TAP_1343
*8072 TAP_1344
*8073 TAP_1345
*8074 TAP_1346
*8075 TAP_1347
*8076 TAP_204
*8077 TAP_205
*8078 TAP_206
*8079 TAP_207
*8080 TAP_208
*8081 TAP_209
*8082 TAP_210
*8083 TAP_211
*8084 TAP_212
*8085 TAP_213
*8086 TAP_214
*8087 TAP_215
*8088 TAP_216
*8089 TAP_217
*8090 TAP_218
*8091 TAP_219
*8092 TAP_220
*8093 TAP_221
*8094 TAP_222
*8095 TAP_223
*8096 TAP_224
*8097 TAP_225
*8098 TAP_226
*8099 TAP_227
*8100 TAP_228
*8101 TAP_229
*8102 TAP_230
*8103 TAP_231
*8104 TAP_232
*8105 TAP_233
*8106 TAP_234
*8107 TAP_235
*8108 TAP_236
*8109 TAP_237
*8110 TAP_238
*8111 TAP_239
*8112 TAP_240
*8113 TAP_241
*8114 TAP_242
*8115 TAP_243
*8116 TAP_244
*8117 TAP_245
*8118 TAP_246
*8119 TAP_247
*8120 TAP_248
*8121 TAP_249
*8122 TAP_250
*8123 TAP_251
*8124 TAP_252
*8125 TAP_253
*8126 TAP_254
*8127 TAP_255
*8128 TAP_256
*8129 TAP_257
*8130 TAP_258
*8131 TAP_259
*8132 TAP_260
*8133 TAP_261
*8134 TAP_262
*8135 TAP_263
*8136 TAP_264
*8137 TAP_265
*8138 TAP_266
*8139 TAP_267
*8140 TAP_268
*8141 TAP_269
*8142 TAP_270
*8143 TAP_271
*8144 TAP_272
*8145 TAP_273
*8146 TAP_274
*8147 TAP_275
*8148 TAP_276
*8149 TAP_277
*8150 TAP_278
*8151 TAP_279
*8152 TAP_280
*8153 TAP_281
*8154 TAP_282
*8155 TAP_283
*8156 TAP_284
*8157 TAP_285
*8158 TAP_286
*8159 TAP_287
*8160 TAP_288
*8161 TAP_289
*8162 TAP_290
*8163 TAP_291
*8164 TAP_292
*8165 TAP_293
*8166 TAP_294
*8167 TAP_295
*8168 TAP_296
*8169 TAP_297
*8170 TAP_298
*8171 TAP_299
*8172 TAP_300
*8173 TAP_301
*8174 TAP_302
*8175 TAP_303
*8176 TAP_304
*8177 TAP_305
*8178 TAP_306
*8179 TAP_307
*8180 TAP_308
*8181 TAP_309
*8182 TAP_310
*8183 TAP_311
*8184 TAP_312
*8185 TAP_313
*8186 TAP_314
*8187 TAP_315
*8188 TAP_316
*8189 TAP_317
*8190 TAP_318
*8191 TAP_319
*8192 TAP_320
*8193 TAP_321
*8194 TAP_322
*8195 TAP_323
*8196 TAP_324
*8197 TAP_325
*8198 TAP_326
*8199 TAP_327
*8200 TAP_328
*8201 TAP_329
*8202 TAP_330
*8203 TAP_331
*8204 TAP_332
*8205 TAP_333
*8206 TAP_334
*8207 TAP_335
*8208 TAP_336
*8209 TAP_337
*8210 TAP_338
*8211 TAP_339
*8212 TAP_340
*8213 TAP_341
*8214 TAP_342
*8215 TAP_343
*8216 TAP_344
*8217 TAP_345
*8218 TAP_346
*8219 TAP_347
*8220 TAP_348
*8221 TAP_349
*8222 TAP_350
*8223 TAP_351
*8224 TAP_352
*8225 TAP_353
*8226 TAP_354
*8227 TAP_355
*8228 TAP_356
*8229 TAP_357
*8230 TAP_358
*8231 TAP_359
*8232 TAP_360
*8233 TAP_361
*8234 TAP_362
*8235 TAP_363
*8236 TAP_364
*8237 TAP_365
*8238 TAP_366
*8239 TAP_367
*8240 TAP_368
*8241 TAP_369
*8242 TAP_370
*8243 TAP_371
*8244 TAP_372
*8245 TAP_373
*8246 TAP_374
*8247 TAP_375
*8248 TAP_376
*8249 TAP_377
*8250 TAP_378
*8251 TAP_379
*8252 TAP_380
*8253 TAP_381
*8254 TAP_382
*8255 TAP_383
*8256 TAP_384
*8257 TAP_385
*8258 TAP_386
*8259 TAP_387
*8260 TAP_388
*8261 TAP_389
*8262 TAP_390
*8263 TAP_391
*8264 TAP_392
*8265 TAP_393
*8266 TAP_394
*8267 TAP_395
*8268 TAP_396
*8269 TAP_397
*8270 TAP_398
*8271 TAP_399
*8272 TAP_400
*8273 TAP_401
*8274 TAP_402
*8275 TAP_403
*8276 TAP_404
*8277 TAP_405
*8278 TAP_406
*8279 TAP_407
*8280 TAP_408
*8281 TAP_409
*8282 TAP_410
*8283 TAP_411
*8284 TAP_412
*8285 TAP_413
*8286 TAP_414
*8287 TAP_415
*8288 TAP_416
*8289 TAP_417
*8290 TAP_418
*8291 TAP_419
*8292 TAP_420
*8293 TAP_421
*8294 TAP_422
*8295 TAP_423
*8296 TAP_424
*8297 TAP_425
*8298 TAP_426
*8299 TAP_427
*8300 TAP_428
*8301 TAP_429
*8302 TAP_430
*8303 TAP_431
*8304 TAP_432
*8305 TAP_433
*8306 TAP_434
*8307 TAP_435
*8308 TAP_436
*8309 TAP_437
*8310 TAP_438
*8311 TAP_439
*8312 TAP_440
*8313 TAP_441
*8314 TAP_442
*8315 TAP_443
*8316 TAP_444
*8317 TAP_445
*8318 TAP_446
*8319 TAP_447
*8320 TAP_448
*8321 TAP_449
*8322 TAP_450
*8323 TAP_451
*8324 TAP_452
*8325 TAP_453
*8326 TAP_454
*8327 TAP_455
*8328 TAP_456
*8329 TAP_457
*8330 TAP_458
*8331 TAP_459
*8332 TAP_460
*8333 TAP_461
*8334 TAP_462
*8335 TAP_463
*8336 TAP_464
*8337 TAP_465
*8338 TAP_466
*8339 TAP_467
*8340 TAP_468
*8341 TAP_469
*8342 TAP_470
*8343 TAP_471
*8344 TAP_472
*8345 TAP_473
*8346 TAP_474
*8347 TAP_475
*8348 TAP_476
*8349 TAP_477
*8350 TAP_478
*8351 TAP_479
*8352 TAP_480
*8353 TAP_481
*8354 TAP_482
*8355 TAP_483
*8356 TAP_484
*8357 TAP_485
*8358 TAP_486
*8359 TAP_487
*8360 TAP_488
*8361 TAP_489
*8362 TAP_490
*8363 TAP_491
*8364 TAP_492
*8365 TAP_493
*8366 TAP_494
*8367 TAP_495
*8368 TAP_496
*8369 TAP_497
*8370 TAP_498
*8371 TAP_499
*8372 TAP_500
*8373 TAP_501
*8374 TAP_502
*8375 TAP_503
*8376 TAP_504
*8377 TAP_505
*8378 TAP_506
*8379 TAP_507
*8380 TAP_508
*8381 TAP_509
*8382 TAP_510
*8383 TAP_511
*8384 TAP_512
*8385 TAP_513
*8386 TAP_514
*8387 TAP_515
*8388 TAP_516
*8389 TAP_517
*8390 TAP_518
*8391 TAP_519
*8392 TAP_520
*8393 TAP_521
*8394 TAP_522
*8395 TAP_523
*8396 TAP_524
*8397 TAP_525
*8398 TAP_526
*8399 TAP_527
*8400 TAP_528
*8401 TAP_529
*8402 TAP_530
*8403 TAP_531
*8404 TAP_532
*8405 TAP_533
*8406 TAP_534
*8407 TAP_535
*8408 TAP_536
*8409 TAP_537
*8410 TAP_538
*8411 TAP_539
*8412 TAP_540
*8413 TAP_541
*8414 TAP_542
*8415 TAP_543
*8416 TAP_544
*8417 TAP_545
*8418 TAP_546
*8419 TAP_547
*8420 TAP_548
*8421 TAP_549
*8422 TAP_550
*8423 TAP_551
*8424 TAP_552
*8425 TAP_553
*8426 TAP_554
*8427 TAP_555
*8428 TAP_556
*8429 TAP_557
*8430 TAP_558
*8431 TAP_559
*8432 TAP_560
*8433 TAP_561
*8434 TAP_562
*8435 TAP_563
*8436 TAP_564
*8437 TAP_565
*8438 TAP_566
*8439 TAP_567
*8440 TAP_568
*8441 TAP_569
*8442 TAP_570
*8443 TAP_571
*8444 TAP_572
*8445 TAP_573
*8446 TAP_574
*8447 TAP_575
*8448 TAP_576
*8449 TAP_577
*8450 TAP_578
*8451 TAP_579
*8452 TAP_580
*8453 TAP_581
*8454 TAP_582
*8455 TAP_583
*8456 TAP_584
*8457 TAP_585
*8458 TAP_586
*8459 TAP_587
*8460 TAP_588
*8461 TAP_589
*8462 TAP_590
*8463 TAP_591
*8464 TAP_592
*8465 TAP_593
*8466 TAP_594
*8467 TAP_595
*8468 TAP_596
*8469 TAP_597
*8470 TAP_598
*8471 TAP_599
*8472 TAP_600
*8473 TAP_601
*8474 TAP_602
*8475 TAP_603
*8476 TAP_604
*8477 TAP_605
*8478 TAP_606
*8479 TAP_607
*8480 TAP_608
*8481 TAP_609
*8482 TAP_610
*8483 TAP_611
*8484 TAP_612
*8485 TAP_613
*8486 TAP_614
*8487 TAP_615
*8488 TAP_616
*8489 TAP_617
*8490 TAP_618
*8491 TAP_619
*8492 TAP_620
*8493 TAP_621
*8494 TAP_622
*8495 TAP_623
*8496 TAP_624
*8497 TAP_625
*8498 TAP_626
*8499 TAP_627
*8500 TAP_628
*8501 TAP_629
*8502 TAP_630
*8503 TAP_631
*8504 TAP_632
*8505 TAP_633
*8506 TAP_634
*8507 TAP_635
*8508 TAP_636
*8509 TAP_637
*8510 TAP_638
*8511 TAP_639
*8512 TAP_640
*8513 TAP_641
*8514 TAP_642
*8515 TAP_643
*8516 TAP_644
*8517 TAP_645
*8518 TAP_646
*8519 TAP_647
*8520 TAP_648
*8521 TAP_649
*8522 TAP_650
*8523 TAP_651
*8524 TAP_652
*8525 TAP_653
*8526 TAP_654
*8527 TAP_655
*8528 TAP_656
*8529 TAP_657
*8530 TAP_658
*8531 TAP_659
*8532 TAP_660
*8533 TAP_661
*8534 TAP_662
*8535 TAP_663
*8536 TAP_664
*8537 TAP_665
*8538 TAP_666
*8539 TAP_667
*8540 TAP_668
*8541 TAP_669
*8542 TAP_670
*8543 TAP_671
*8544 TAP_672
*8545 TAP_673
*8546 TAP_674
*8547 TAP_675
*8548 TAP_676
*8549 TAP_677
*8550 TAP_678
*8551 TAP_679
*8552 TAP_680
*8553 TAP_681
*8554 TAP_682
*8555 TAP_683
*8556 TAP_684
*8557 TAP_685
*8558 TAP_686
*8559 TAP_687
*8560 TAP_688
*8561 TAP_689
*8562 TAP_690
*8563 TAP_691
*8564 TAP_692
*8565 TAP_693
*8566 TAP_694
*8567 TAP_695
*8568 TAP_696
*8569 TAP_697
*8570 TAP_698
*8571 TAP_699
*8572 TAP_700
*8573 TAP_701
*8574 TAP_702
*8575 TAP_703
*8576 TAP_704
*8577 TAP_705
*8578 TAP_706
*8579 TAP_707
*8580 TAP_708
*8581 TAP_709
*8582 TAP_710
*8583 TAP_711
*8584 TAP_712
*8585 TAP_713
*8586 TAP_714
*8587 TAP_715
*8588 TAP_716
*8589 TAP_717
*8590 TAP_718
*8591 TAP_719
*8592 TAP_720
*8593 TAP_721
*8594 TAP_722
*8595 TAP_723
*8596 TAP_724
*8597 TAP_725
*8598 TAP_726
*8599 TAP_727
*8600 TAP_728
*8601 TAP_729
*8602 TAP_730
*8603 TAP_731
*8604 TAP_732
*8605 TAP_733
*8606 TAP_734
*8607 TAP_735
*8608 TAP_736
*8609 TAP_737
*8610 TAP_738
*8611 TAP_739
*8612 TAP_740
*8613 TAP_741
*8614 TAP_742
*8615 TAP_743
*8616 TAP_744
*8617 TAP_745
*8618 TAP_746
*8619 TAP_747
*8620 TAP_748
*8621 TAP_749
*8622 TAP_750
*8623 TAP_751
*8624 TAP_752
*8625 TAP_753
*8626 TAP_754
*8627 TAP_755
*8628 TAP_756
*8629 TAP_757
*8630 TAP_758
*8631 TAP_759
*8632 TAP_760
*8633 TAP_761
*8634 TAP_762
*8635 TAP_763
*8636 TAP_764
*8637 TAP_765
*8638 TAP_766
*8639 TAP_767
*8640 TAP_768
*8641 TAP_769
*8642 TAP_770
*8643 TAP_771
*8644 TAP_772
*8645 TAP_773
*8646 TAP_774
*8647 TAP_775
*8648 TAP_776
*8649 TAP_777
*8650 TAP_778
*8651 TAP_779
*8652 TAP_780
*8653 TAP_781
*8654 TAP_782
*8655 TAP_783
*8656 TAP_784
*8657 TAP_785
*8658 TAP_786
*8659 TAP_787
*8660 TAP_788
*8661 TAP_789
*8662 TAP_790
*8663 TAP_791
*8664 TAP_792
*8665 TAP_793
*8666 TAP_794
*8667 TAP_795
*8668 TAP_796
*8669 TAP_797
*8670 TAP_798
*8671 TAP_799
*8672 TAP_800
*8673 TAP_801
*8674 TAP_802
*8675 TAP_803
*8676 TAP_804
*8677 TAP_805
*8678 TAP_806
*8679 TAP_807
*8680 TAP_808
*8681 TAP_809
*8682 TAP_810
*8683 TAP_811
*8684 TAP_812
*8685 TAP_813
*8686 TAP_814
*8687 TAP_815
*8688 TAP_816
*8689 TAP_817
*8690 TAP_818
*8691 TAP_819
*8692 TAP_820
*8693 TAP_821
*8694 TAP_822
*8695 TAP_823
*8696 TAP_824
*8697 TAP_825
*8698 TAP_826
*8699 TAP_827
*8700 TAP_828
*8701 TAP_829
*8702 TAP_830
*8703 TAP_831
*8704 TAP_832
*8705 TAP_833
*8706 TAP_834
*8707 TAP_835
*8708 TAP_836
*8709 TAP_837
*8710 TAP_838
*8711 TAP_839
*8712 TAP_840
*8713 TAP_841
*8714 TAP_842
*8715 TAP_843
*8716 TAP_844
*8717 TAP_845
*8718 TAP_846
*8719 TAP_847
*8720 TAP_848
*8721 TAP_849
*8722 TAP_850
*8723 TAP_851
*8724 TAP_852
*8725 TAP_853
*8726 TAP_854
*8727 TAP_855
*8728 TAP_856
*8729 TAP_857
*8730 TAP_858
*8731 TAP_859
*8732 TAP_860
*8733 TAP_861
*8734 TAP_862
*8735 TAP_863
*8736 TAP_864
*8737 TAP_865
*8738 TAP_866
*8739 TAP_867
*8740 TAP_868
*8741 TAP_869
*8742 TAP_870
*8743 TAP_871
*8744 TAP_872
*8745 TAP_873
*8746 TAP_874
*8747 TAP_875
*8748 TAP_876
*8749 TAP_877
*8750 TAP_878
*8751 TAP_879
*8752 TAP_880
*8753 TAP_881
*8754 TAP_882
*8755 TAP_883
*8756 TAP_884
*8757 TAP_885
*8758 TAP_886
*8759 TAP_887
*8760 TAP_888
*8761 TAP_889
*8762 TAP_890
*8763 TAP_891
*8764 TAP_892
*8765 TAP_893
*8766 TAP_894
*8767 TAP_895
*8768 TAP_896
*8769 TAP_897
*8770 TAP_898
*8771 TAP_899
*8772 TAP_900
*8773 TAP_901
*8774 TAP_902
*8775 TAP_903
*8776 TAP_904
*8777 TAP_905
*8778 TAP_906
*8779 TAP_907
*8780 TAP_908
*8781 TAP_909
*8782 TAP_910
*8783 TAP_911
*8784 TAP_912
*8785 TAP_913
*8786 TAP_914
*8787 TAP_915
*8788 TAP_916
*8789 TAP_917
*8790 TAP_918
*8791 TAP_919
*8792 TAP_920
*8793 TAP_921
*8794 TAP_922
*8795 TAP_923
*8796 TAP_924
*8797 TAP_925
*8798 TAP_926
*8799 TAP_927
*8800 TAP_928
*8801 TAP_929
*8802 TAP_930
*8803 TAP_931
*8804 TAP_932
*8805 TAP_933
*8806 TAP_934
*8807 TAP_935
*8808 TAP_936
*8809 TAP_937
*8810 TAP_938
*8811 TAP_939
*8812 TAP_940
*8813 TAP_941
*8814 TAP_942
*8815 TAP_943
*8816 TAP_944
*8817 TAP_945
*8818 TAP_946
*8819 TAP_947
*8820 TAP_948
*8821 TAP_949
*8822 TAP_950
*8823 TAP_951
*8824 TAP_952
*8825 TAP_953
*8826 TAP_954
*8827 TAP_955
*8828 TAP_956
*8829 TAP_957
*8830 TAP_958
*8831 TAP_959
*8832 TAP_960
*8833 TAP_961
*8834 TAP_962
*8835 TAP_963
*8836 TAP_964
*8837 TAP_965
*8838 TAP_966
*8839 TAP_967
*8840 TAP_968
*8841 TAP_969
*8842 TAP_970
*8843 TAP_971
*8844 TAP_972
*8845 TAP_973
*8846 TAP_974
*8847 TAP_975
*8848 TAP_976
*8849 TAP_977
*8850 TAP_978
*8851 TAP_979
*8852 TAP_980
*8853 TAP_981
*8854 TAP_982
*8855 TAP_983
*8856 TAP_984
*8857 TAP_985
*8858 TAP_986
*8859 TAP_987
*8860 TAP_988
*8861 TAP_989
*8862 TAP_990
*8863 TAP_991
*8864 TAP_992
*8865 TAP_993
*8866 TAP_994
*8867 TAP_995
*8868 TAP_996
*8869 TAP_997
*8870 TAP_998
*8871 TAP_999
*8872 _0501_
*8873 _0502_
*8874 _0503_
*8875 _0504_
*8876 _0505_
*8877 _0506_
*8878 _0507_
*8879 _0508_
*8880 _0509_
*8881 _0510_
*8882 _0511_
*8883 _0512_
*8884 _0513_
*8885 _0514_
*8886 _0515_
*8887 _0516_
*8888 _0517_
*8889 _0518_
*8890 _0519_
*8891 _0520_
*8892 _0521_
*8893 _0522_
*8894 _0523_
*8895 _0524_
*8896 _0525_
*8897 _0526_
*8898 _0527_
*8899 _0528_
*8900 _0529_
*8901 _0530_
*8902 _0531_
*8903 _0532_
*8904 _0533_
*8905 _0534_
*8906 _0535_
*8907 _0536_
*8908 _0537_
*8909 _0538_
*8910 _0539_
*8911 _0540_
*8912 _0541_
*8913 _0542_
*8914 _0543_
*8915 _0544_
*8916 _0545_
*8917 _0546_
*8918 _0547_
*8919 _0548_
*8920 _0549_
*8921 _0550_
*8922 _0551_
*8923 _0552_
*8924 _0553_
*8925 _0554_
*8926 _0555_
*8927 _0556_
*8928 _0557_
*8929 _0558_
*8930 _0559_
*8931 _0560_
*8932 _0561_
*8933 _0562_
*8934 _0563_
*8935 _0564_
*8936 _0565_
*8937 _0566_
*8938 _0567_
*8939 _0568_
*8940 _0569_
*8941 _0570_
*8942 _0571_
*8943 _0572_
*8944 _0573_
*8945 _0574_
*8946 _0575_
*8947 _0576_
*8948 _0577_
*8949 _0578_
*8950 _0579_
*8951 _0580_
*8952 _0581_
*8953 _0582_
*8954 _0583_
*8955 _0584_
*8956 _0585_
*8957 _0586_
*8958 _0587_
*8959 _0588_
*8960 _0589_
*8961 _0590_
*8962 _0591_
*8963 _0592_
*8964 _0593_
*8965 _0594_
*8966 _0595_
*8967 _0596_
*8968 _0597_
*8969 _0598_
*8970 _0599_
*8971 _0600_
*8972 _0601_
*8973 _0602_
*8974 _0603_
*8975 _0604_
*8976 _0605_
*8977 _0606_
*8978 _0607_
*8979 _0608_
*8980 _0609_
*8981 _0610_
*8982 _0611_
*8983 _0612_
*8984 _0613_
*8985 _0614_
*8986 _0615_
*8987 _0616_
*8988 _0617_
*8989 _0618_
*8990 _0619_
*8991 _0620_
*8992 _0621_
*8993 _0622_
*8994 _0623_
*8995 _0624_
*8996 _0625_
*8997 _0626_
*8998 _0627_
*8999 _0628_
*9000 _0629_
*9001 _0630_
*9002 _0631_
*9003 _0632_
*9004 _0633_
*9005 _0634_
*9006 _0635_
*9007 _0636_
*9008 _0637_
*9009 _0638_
*9010 _0639_
*9011 _0640_
*9012 _0641_
*9013 _0642_
*9014 _0643_
*9015 _0644_
*9016 _0645_
*9017 _0646_
*9018 _0647_
*9019 _0648_
*9020 _0649_
*9021 _0650_
*9022 _0651_
*9023 _0652_
*9024 _0653_
*9025 _0654_
*9026 _0655_
*9027 _0656_
*9028 _0657_
*9029 _0658_
*9030 _0659_
*9031 _0660_
*9032 _0661_
*9033 _0662_
*9034 _0663_
*9035 _0664_
*9036 _0665_
*9037 _0666_
*9038 _0667_
*9039 _0668_
*9040 _0669_
*9041 _0670_
*9042 _0671_
*9043 _0672_
*9044 _0673_
*9045 _0674_
*9046 _0675_
*9047 _0676_
*9048 _0677_
*9049 _0678_
*9050 _0679_
*9051 _0680_
*9052 _0681_
*9053 _0682_
*9054 _0683_
*9055 _0684_
*9056 _0685_
*9057 _0686_
*9058 _0687_
*9059 _0688_
*9060 _0689_
*9061 _0690_
*9062 _0691_
*9063 _0692_
*9064 _0693_
*9065 _0694_
*9066 _0695_
*9067 _0696_
*9068 _0697_
*9069 _0698_
*9070 _0699_
*9071 _0700_
*9072 _0701_
*9073 _0702_
*9074 _0703_
*9075 _0704_
*9076 _0705_
*9077 _0706_
*9078 _0707_
*9079 _0708_
*9080 _0709_
*9081 _0710_
*9082 _0711_
*9083 _0712_
*9084 _0713_
*9085 _0714_
*9086 _0715_
*9087 _0716_
*9088 _0717_
*9089 _0718_
*9090 _0719_
*9091 _0720_
*9092 _0721_
*9093 _0722_
*9094 _0723_
*9095 _0724_
*9096 _0725_
*9097 _0726_
*9098 _0727_
*9099 _0728_
*9100 _0729_
*9101 _0730_
*9102 _0731_
*9103 _0732_
*9104 _0733_
*9105 _0734_
*9106 _0735_
*9107 _0736_
*9108 _0737_
*9109 _0738_
*9110 _0739_
*9111 _0740_
*9112 _0741_
*9113 _0742_
*9114 _0743_
*9115 _0744_
*9116 _0745_
*9117 _0746_
*9118 _0747_
*9119 _0748_
*9120 _0749_
*9121 _0750_
*9122 _0751_
*9123 _0752_
*9124 _0753_
*9125 _0754_
*9126 _0755_
*9127 _0756_
*9128 _0757_
*9129 _0758_
*9130 _0759_
*9131 _0760_
*9132 _0761_
*9133 _0762_
*9134 _0763_
*9135 _0764_
*9136 _0765_
*9137 _0766_
*9138 _0767_
*9139 _0768_
*9140 _0769_
*9141 _0770_
*9142 _0771_
*9143 _0772_
*9144 _0773_
*9145 _0774_
*9146 _0775_
*9147 _0776_
*9148 _0777_
*9149 _0778_
*9150 _0779_
*9151 _0780_
*9152 _0781_
*9153 _0782_
*9154 _0783_
*9155 _0783__235
*9156 _0784_
*9157 _0784__234
*9158 _0785_
*9159 _0785__233
*9160 _0786_
*9161 _0786__232
*9162 _0787_
*9163 _0787__231
*9164 _0788_
*9165 _0788__230
*9166 _0789_
*9167 _0789__229
*9168 _0790_
*9169 _0790__228
*9170 _0791_
*9171 _0791__227
*9172 _0792_
*9173 _0792__226
*9174 _0793_
*9175 _0793__225
*9176 _0794_
*9177 _0794__224
*9178 _0795_
*9179 _0795__223
*9180 _0796_
*9181 _0796__222
*9182 _0797_
*9183 _0797__221
*9184 _0798_
*9185 _0798__220
*9186 _0799_
*9187 _0799__219
*9188 _0800_
*9189 _0800__218
*9190 _0801_
*9191 _0801__217
*9192 _0802_
*9193 _0802__216
*9194 _0803_
*9195 _0803__215
*9196 _0804_
*9197 _0805_
*9198 _0806_
*9199 _0807_
*9200 _0808_
*9201 _0809_
*9202 _0810_
*9203 _0811_
*9204 _0811__214
*9205 _0812_
*9206 _0812__213
*9207 _0813_
*9208 _0813__212
*9209 _0814_
*9210 _0814__211
*9211 _0815_
*9212 _0815__210
*9213 _0816_
*9214 _0816__209
*9215 _0817_
*9216 _0817__208
*9217 _0818_
*9218 _0818__207
*9219 _0819_
*9220 _0819__206
*9221 _0820_
*9222 _0820__205
*9223 _0821_
*9224 _0821__204
*9225 _0822_
*9226 _0822__203
*9227 _0823_
*9228 _0823__202
*9229 _0824_
*9230 _0824__201
*9231 _0825_
*9232 _0825__200
*9233 _0826_
*9234 _0826__199
*9235 _0827_
*9236 _0827__198
*9237 _0828_
*9238 _0828__197
*9239 _0829_
*9240 _0829__196
*9241 _0830_
*9242 _0830__195
*9243 _0831_
*9244 _0831__194
*9245 _0832_
*9246 _0832__193
*9247 _0833_
*9248 _0834_
*9249 _0835_
*9250 _0836_
*9251 _0837_
*9252 _0838_
*9253 _0839_
*9254 _0839__192
*9255 _0840_
*9256 _0840__191
*9257 _0841_
*9258 _0841__190
*9259 _0842_
*9260 _0842__189
*9261 _0843_
*9262 _0843__188
*9263 _0844_
*9264 _0844__187
*9265 _0845_
*9266 _0845__186
*9267 _0846_
*9268 _0846__185
*9269 _0847_
*9270 _0847__184
*9271 _0848_
*9272 _0848__183
*9273 _0849_
*9274 _0849__182
*9275 _0850_
*9276 _0850__181
*9277 _0851_
*9278 _0851__180
*9279 _0852_
*9280 _0852__179
*9281 _0853_
*9282 _0853__178
*9283 _0854_
*9284 _0854__177
*9285 _0855_
*9286 _0855__176
*9287 _0856_
*9288 _0856__175
*9289 _0857_
*9290 _0857__174
*9291 _0858_
*9292 _0858__173
*9293 _0859_
*9294 _0859__172
*9295 _0860_
*9296 _0860__171
*9297 _0861_
*9298 _0861__170
*9299 _0862_
*9300 _0863_
*9301 _0864_
*9302 _0865_
*9303 _0866_
*9304 _0867_
*9305 _0867__169
*9306 _0868_
*9307 _0868__168
*9308 _0869_
*9309 _0869__167
*9310 _0870_
*9311 _0870__166
*9312 _0871_
*9313 _0871__165
*9314 _0872_
*9315 _0872__164
*9316 _0873_
*9317 _0873__163
*9318 _0874_
*9319 _0874__162
*9320 _0875_
*9321 _0875__161
*9322 _0876_
*9323 _0876__160
*9324 _0877_
*9325 _0877__159
*9326 _0878_
*9327 _0878__158
*9328 _0879_
*9329 _0879__157
*9330 _0880_
*9331 _0880__156
*9332 _0881_
*9333 _0881__155
*9334 _0882_
*9335 _0882__154
*9336 _0883_
*9337 _0883__153
*9338 _0884_
*9339 _0884__152
*9340 _0885_
*9341 _0885__151
*9342 _0886_
*9343 _0886__150
*9344 _0887_
*9345 _0887__149
*9346 _0888_
*9347 _0888__148
*9348 _0889_
*9349 _0889__147
*9350 _0890_
*9351 _0890__146
*9352 _0891_
*9353 _0892_
*9354 _0893_
*9355 _0894_
*9356 _0895_
*9357 _0895__145
*9358 _0896_
*9359 _0896__144
*9360 _0897_
*9361 _0897__143
*9362 _0898_
*9363 _0898__142
*9364 _0899_
*9365 _0899__141
*9366 _0900_
*9367 _0900__140
*9368 _0901_
*9369 _0901__139
*9370 _0902_
*9371 _0902__138
*9372 _0903_
*9373 _0903__137
*9374 _0904_
*9375 _0904__136
*9376 _0905_
*9377 _0905__135
*9378 _0906_
*9379 _0906__134
*9380 _0907_
*9381 _0907__133
*9382 _0908_
*9383 _0908__132
*9384 _0909_
*9385 _0909__131
*9386 _0910_
*9387 _0910__130
*9388 _0911_
*9389 _0911__129
*9390 _0912_
*9391 _0912__128
*9392 _0913_
*9393 _0913__127
*9394 _0914_
*9395 _0914__126
*9396 _0915_
*9397 _0915__125
*9398 _0916_
*9399 _0916__124
*9400 _0917_
*9401 _0917__123
*9402 _0918_
*9403 _0918__122
*9404 _0919_
*9405 _0919__121
*9406 _0920_
*9407 _0921_
*9408 _0922_
*9409 _0923_
*9410 _0923__120
*9411 _0924_
*9412 _0924__119
*9413 _0925_
*9414 _0925__118
*9415 _0926_
*9416 _0926__117
*9417 _0927_
*9418 _0927__116
*9419 _0928_
*9420 _0928__115
*9421 _0929_
*9422 _0929__114
*9423 _0930_
*9424 _0930__113
*9425 _0931_
*9426 _0931__112
*9427 _0932_
*9428 _0932__111
*9429 _0933_
*9430 _0933__110
*9431 _0934_
*9432 _0934__109
*9433 _0935_
*9434 _0935__108
*9435 _0936_
*9436 _0936__107
*9437 _0937_
*9438 _0937__106
*9439 _0938_
*9440 _0938__105
*9441 _0939_
*9442 _0939__104
*9443 _0940_
*9444 _0940__103
*9445 _0941_
*9446 _0941__102
*9447 _0942_
*9448 _0942__101
*9449 _0943_
*9450 _0943__100
*9451 _0944_
*9452 _0944__99
*9453 _0945_
*9454 _0945__98
*9455 _0946_
*9456 _0946__97
*9457 _0947_
*9458 _0947__96
*9459 _0948_
*9460 _0948__95
*9461 _0949_
*9462 _0950_
*9463 _0951_
*9464 _0951__94
*9465 _0952_
*9466 _0952__93
*9467 _0953_
*9468 _0953__92
*9469 _0954_
*9470 _0954__91
*9471 _0955_
*9472 _0955__90
*9473 _0956_
*9474 _0956__89
*9475 _0957_
*9476 _0957__88
*9477 _0958_
*9478 _0958__87
*9479 _0959_
*9480 _0959__86
*9481 _0960_
*9482 _0960__85
*9483 _0961_
*9484 _0961__84
*9485 _0962_
*9486 _0962__83
*9487 _0963_
*9488 _0963__82
*9489 _0964_
*9490 _0964__81
*9491 _0965_
*9492 _0965__80
*9493 _0966_
*9494 _0966__79
*9495 _0967_
*9496 _0967__78
*9497 _0968_
*9498 _0968__77
*9499 _0969_
*9500 _0969__76
*9501 _0970_
*9502 _0970__75
*9503 _0971_
*9504 _0971__74
*9505 _0972_
*9506 _0972__73
*9507 _0973_
*9508 _0973__72
*9509 _0974_
*9510 _0974__71
*9511 _0975_
*9512 _0975__70
*9513 _0976_
*9514 _0976__69
*9515 _0977_
*9516 _0977__68
*9517 _0978_
*9518 _0979_
*9519 _0980_
*9520 _0981_
*9521 _0982_
*9522 _0983_
*9523 _0984_
*9524 _0985_
*9525 _0986_
*9526 _0987_
*9527 _0988_
*9528 _0989_
*9529 _0989__67
*9530 _0990_
*9531 _0990__66
*9532 _0991_
*9533 _0991__65
*9534 _0992_
*9535 _0992__64
*9536 _0993_
*9537 _0993__63
*9538 _0994_
*9539 _0994__62
*9540 _0995_
*9541 _0995__61
*9542 _0996_
*9543 _0996__60
*9544 _0997_
*9545 _0997__59
*9546 _0998_
*9547 _0998__58
*9548 _0999_
*9549 _0999__57
*9550 _1000_
*9551 _1000__56
*9552 _1001_
*9553 _1001__55
*9554 _1002_
*9555 _1002__54
*9556 _1003_
*9557 _1003__53
*9558 _1004_
*9559 _1004__52
*9560 _1005_
*9561 _1005__51
*9562 _1006_
*9563 _1006__50
*9564 _1007_
*9565 _1008_
*9566 _1009_
*9567 _1010_
*9568 _1011_
*9569 _1012_
*9570 _1013_
*9571 _1014_
*9572 _1015_
*9573 _1016_
*9574 _1017_
*9575 _1017__49
*9576 _1018_
*9577 _1018__48
*9578 _1019_
*9579 _1019__47
*9580 _1020_
*9581 _1020__46
*9582 _1021_
*9583 _1021__45
*9584 _1022_
*9585 _1022__44
*9586 _1023_
*9587 _1023__43
*9588 _1024_
*9589 _1024__42
*9590 _1025_
*9591 _1025__41
*9592 _1026_
*9593 _1026__40
*9594 _1027_
*9595 _1027__39
*9596 _1028_
*9597 _1028__38
*9598 _1029_
*9599 _1029__37
*9600 _1030_
*9601 _1030__36
*9602 _1031_
*9603 _1031__35
*9604 _1032_
*9605 _1032__34
*9606 _1033_
*9607 _1033__33
*9608 _1034_
*9609 _1034__32
*9610 _1035_
*9611 _1035__31
*9612 _1036_
*9613 _1037_
*9614 _1038_
*9615 _1039_
*9616 _1040_
*9617 _1041_
*9618 _1042_
*9619 _1043_
*9620 _1044_
*9621 _1045_
*9622 _1045__30
*9623 _1046_
*9624 _1046__29
*9625 _1047_
*9626 _1047__28
*9627 _1048_
*9628 _1048__27
*9629 _1049_
*9630 _1049__26
*9631 _1050_
*9632 _1050__25
*9633 _1051_
*9634 _1051__24
*9635 _1052_
*9636 _1052__23
*9637 _1053_
*9638 _1053__22
*9639 _1054_
*9640 _1054__21
*9641 _1055_
*9642 _1055__20
*9643 _1056_
*9644 _1056__19
*9645 _1057_
*9646 _1057__18
*9647 _1058_
*9648 _1058__17
*9649 _1059_
*9650 _1059__16
*9651 _1060_
*9652 _1060__15
*9653 _1061_
*9654 _1061__14
*9655 _1062_
*9656 _1062__13
*9657 _1063_
*9658 _1063__12
*9659 _1064_
*9660 _1064__11
*9661 _1065_
*9662 _1066_
*9663 clkbuf_0_clk
*9664 clkbuf_1_0__f_clk
*9665 clkbuf_1_1__f_clk
*9666 clkbuf_leaf_0_clk
*9667 clkbuf_leaf_10_clk
*9668 clkbuf_leaf_11_clk
*9669 clkbuf_leaf_12_clk
*9670 clkbuf_leaf_13_clk
*9671 clkbuf_leaf_14_clk
*9672 clkbuf_leaf_15_clk
*9673 clkbuf_leaf_16_clk
*9674 clkbuf_leaf_17_clk
*9675 clkbuf_leaf_18_clk
*9676 clkbuf_leaf_19_clk
*9677 clkbuf_leaf_1_clk
*9678 clkbuf_leaf_20_clk
*9679 clkbuf_leaf_21_clk
*9680 clkbuf_leaf_22_clk
*9681 clkbuf_leaf_23_clk
*9682 clkbuf_leaf_24_clk
*9683 clkbuf_leaf_25_clk
*9684 clkbuf_leaf_26_clk
*9685 clkbuf_leaf_27_clk
*9686 clkbuf_leaf_2_clk
*9687 clkbuf_leaf_3_clk
*9688 clkbuf_leaf_4_clk
*9689 clkbuf_leaf_5_clk
*9690 clkbuf_leaf_6_clk
*9691 clkbuf_leaf_7_clk
*9692 clkbuf_leaf_8_clk
*9693 clkbuf_leaf_9_clk
*9694 clkbuf_opt_1_0_clk
*9695 clkbuf_opt_2_0_clk
*9696 clkbuf_opt_3_0_clk
*9697 output1
*9698 output10
*9699 output2
*9700 output3
*9701 output4
*9702 output5
*9703 output6
*9704 output7
*9705 output8
*9706 output9
*PORTS
clk I
cout1 O
cout10 O
cout2 O
cout3 O
cout4 O
cout5 O
cout6 O
cout7 O
cout8 O
cout9 O
*D_NET *1 0.022916
*CONN
*P clk I
*I *9663:A I *D sky130_fd_sc_hd__clkbuf_16
*I *839:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 clk 0.000670676
2 *9663:A 0.000188822
3 *839:DIODE 0
4 *1:23 0.00168063
5 *1:15 0.00457165
6 *1:13 0.00444354
7 *1:8 0.00551384
8 *1:7 0.00482081
9 *1:15 *9336:D 0.000230475
10 *1:15 *9340:CLK 0.000537171
11 *1:23 *8903:A 5.029e-05
12 *1:23 *8903:D 0.0001475
13 *1:23 *9316:D 5.52302e-05
14 *1:23 *9340:D 5.33091e-06
*RES
1 clk *1:7 5.63153
2 *1:7 *1:8 76.4732
3 *1:8 *1:13 20.4554
4 *1:13 *1:15 50.0268
5 *1:15 *1:23 22.4643
6 *1:23 *839:DIODE 9.3
7 *1:23 *9663:A 12.6214
*END
*D_NET *2 0.00059233
*CONN
*P cout1 O
*I *9697:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 cout1 0.000296165
2 *9697:X 0.000296165
*RES
1 *9697:X cout1 18.6426
*END
*D_NET *3 0.0016625
*CONN
*P cout10 O
*I *9699:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 cout10 0.000831252
2 *9699:X 0.000831252
*RES
1 *9699:X cout10 28.2107
*END
*D_NET *4 0.00155758
*CONN
*P cout2 O
*I *9700:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 cout2 0.000690195
2 *9700:X 0.000690195
3 cout2 *9700:A 0.000177191
*RES
1 *9700:X cout2 25.7821
*END
*D_NET *5 0.000950737
*CONN
*P cout3 O
*I *9701:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 cout3 0.000475368
2 *9701:X 0.000475368
*RES
1 *9701:X cout3 22.05
*END
*D_NET *6 0.000761432
*CONN
*P cout4 O
*I *9702:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 cout4 0.00035992
2 *9702:X 0.00035992
3 cout4 *9702:A 4.15914e-05
*RES
1 *9702:X cout4 19.6254
*END
*D_NET *7 0.000595401
*CONN
*P cout5 O
*I *9703:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 cout5 0.000297701
2 *9703:X 0.000297701
*RES
1 *9703:X cout5 18.3391
*END
*D_NET *8 0.00144138
*CONN
*P cout6 O
*I *9704:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 cout6 0.000720689
2 *9704:X 0.000720689
*RES
1 *9704:X cout6 24.925
*END
*D_NET *9 0.00094218
*CONN
*P cout7 O
*I *9705:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 cout7 0.000468064
2 *9705:X 0.000468064
3 cout7 *9705:A 6.05161e-06
*RES
1 *9705:X cout7 22.2464
*END
*D_NET *10 0.00094218
*CONN
*P cout8 O
*I *9706:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 cout8 0.000468064
2 *9706:X 0.000468064
3 cout8 *9706:A 6.05161e-06
*RES
1 *9706:X cout8 22.2464
*END
*D_NET *11 0.000633467
*CONN
*P cout9 O
*I *9698:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 cout9 0.000299464
2 *9698:X 0.000299464
3 cout9 *9698:A 3.454e-05
*RES
1 *9698:X cout9 18.9462
*END
*D_NET *14 0.00438374
*CONN
*I *9461:D I *D sky130_fd_sc_hd__dfxtp_1
*I *8966:Y O *D sky130_fd_sc_hd__nor3_1
*CAP
1 *9461:D 0
2 *8966:Y 0.00149047
3 *14:11 0.00149047
4 *14:11 *8960:A 0.000312881
5 *14:11 *8960:C 0.000173062
6 *14:11 *8960:D 0.000363561
7 *14:11 *8966:C 0.000351529
8 *14:11 *9081:A1 0.000128146
9 *14:11 *9081:B1 3.92757e-05
10 *14:11 *9081:C1 0
11 *14:11 *9461:CLK 3.43475e-05
12 *14:11 *9560:CLK 0
13 *14:11 *9560:D 0
14 *14:11 *9562:D 0
15 *14:11 *312:67 0
16 *14:11 *312:79 0
*RES
1 *8966:Y *14:11 46.358
2 *14:11 *9461:D 9.3
*END
*D_NET *15 0.00270838
*CONN
*I *9406:D I *D sky130_fd_sc_hd__dfxtp_1
*I *8880:Y O *D sky130_fd_sc_hd__nor4_1
*CAP
1 *9406:D 0.00130672
2 *8880:Y 0.00130672
3 *9406:D *8874:A 0
4 *9406:D *9048:A 8.07313e-05
5 *9406:D *9406:CLK 0
6 *9406:D *9479:D 0
7 *9406:D *102:9 1.42064e-05
*RES
1 *8880:Y *9406:D 47.9214
*END
*D_NET *16 0.000698884
*CONN
*I *9352:D I *D sky130_fd_sc_hd__dfxtp_1
*I *8891:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *9352:D 0.000311514
2 *8891:X 0.000311514
3 *9352:D *795:8 7.58571e-05
*RES
1 *8891:X *9352:D 33.1759
*END
*D_NET *17 0.000905507
*CONN
*I *9299:D I *D sky130_fd_sc_hd__dfxtp_1
*I *8902:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *9299:D 0.000407994
2 *8902:X 0.000407994
3 *9299:D *9299:CLK 8.95186e-05
*RES
1 *8902:X *9299:D 33.8857
*END
*D_NET *18 0.000275044
*CONN
*I *9247:D I *D sky130_fd_sc_hd__dfxtp_1
*I *8912:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *9247:D 0.000137522
2 *8912:X 0.000137522
*RES
1 *8912:X *9247:D 29.7473
*END
*D_NET *19 0.00594987
*CONN
*I *9196:D I *D sky130_fd_sc_hd__dfxtp_1
*I *8922:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *9196:D 1.31653e-05
2 *8922:X 0.00136109
3 *19:8 0.00137425
4 *19:8 *8884:A1 0.000175519
5 *19:8 *8884:C1 0.000121506
6 *19:8 *9042:B 0.00027338
7 *19:8 *9352:CLK 4.46186e-06
8 *19:8 *9408:D 0
9 *19:8 *116:8 0.00041304
10 *19:8 *296:16 8.89385e-05
11 *19:8 *322:8 0.00207663
12 *19:8 *363:19 4.78891e-05
*RES
1 *8922:X *19:8 49.5321
2 *19:8 *9196:D 13.9652
*END
*D_NET *20 0.000636576
*CONN
*I *9661:D I *D sky130_fd_sc_hd__dfxtp_1
*I *8933:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *9661:D 0.000266915
2 *8933:X 0.000266915
3 *9661:D *9661:CLK 0.000102747
*RES
1 *8933:X *9661:D 32.6759
*END
*D_NET *21 0.000445976
*CONN
*I *9612:D I *D sky130_fd_sc_hd__dfxtp_1
*I *8943:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *9612:D 0.00014942
2 *8943:X 0.00014942
3 *9612:D *9612:CLK 0.000147136
*RES
1 *8943:X *9612:D 30.3366
*END
*D_NET *22 0.00198598
*CONN
*I *9564:D I *D sky130_fd_sc_hd__dfxtp_1
*I *8951:Y O *D sky130_fd_sc_hd__nor3_1
*CAP
1 *9564:D 0.00094823
2 *8951:Y 0.00094823
3 *9564:D *9564:CLK 8.95186e-05
*RES
1 *8951:Y *9564:D 44.975
*END
*D_NET *23 0.00152951
*CONN
*I *9517:D I *D sky130_fd_sc_hd__dfxtp_1
*I *8959:Y O *D sky130_fd_sc_hd__nor3_1
*CAP
1 *9517:D 0.000764754
2 *8959:Y 0.000764754
*RES
1 *8959:Y *9517:D 37.3187
*END
*D_NET *24 0.000338844
*CONN
*I *9148:D I *D sky130_fd_sc_hd__dfxtp_1
*I *8971:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *9148:D 0.000130401
2 *8971:Y 0.000130401
3 *9148:D *8971:A 5.71472e-05
4 *9148:D *8974:C 4.46186e-06
5 *9148:D *316:89 1.64343e-05
6 *9148:D *492:28 0
*RES
1 *8971:Y *9148:D 29.6402
*END
*D_NET *25 0.000923019
*CONN
*I *9149:D I *D sky130_fd_sc_hd__dfxtp_1
*I *8974:Y O *D sky130_fd_sc_hd__nor3_1
*CAP
1 *9149:D 0.000258613
2 *8974:Y 0.000258613
3 *9149:D *8967:A 4.95577e-05
4 *9149:D *8967:D 0.000137983
5 *9149:D *8974:A 9.25281e-05
6 *9149:D *9149:CLK 0.000125724
*RES
1 *8974:Y *9149:D 32.5821
*END
*D_NET *26 0.000588924
*CONN
*I *9150:D I *D sky130_fd_sc_hd__dfxtp_1
*I *8977:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *9150:D 0.000240382
2 *8977:X 0.000240382
3 *9150:D *8943:A 0
4 *9150:D *8967:B 0
5 *9150:D *8967:C 0
6 *9150:D *8976:B 0.000108161
*RES
1 *8977:X *9150:D 32.1759
*END
*D_NET *27 0.000374565
*CONN
*I *9151:D I *D sky130_fd_sc_hd__dfxtp_1
*I *8981:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *9151:D 0.000149959
2 *8981:X 0.000149959
3 *9151:D *8943:A 2.89114e-05
4 *9151:D *9151:CLK 4.57352e-05
5 *9151:D *316:54 0
*RES
1 *8981:X *9151:D 30.2473
*END
*D_NET *28 0.000620367
*CONN
*I *9152:D I *D sky130_fd_sc_hd__dfxtp_1
*I *8984:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *9152:D 0.000166109
2 *8984:X 0.000166109
3 *9152:D *8984:A 5.33882e-05
4 *9152:D *9152:CLK 0.000234761
*RES
1 *8984:X *9152:D 31.158
*END
*D_NET *29 0.000779332
*CONN
*I *9153:D I *D sky130_fd_sc_hd__dfxtp_1
*I *8985:X O *D sky130_fd_sc_hd__o21ba_1
*CAP
1 *9153:D 0.000389666
2 *8985:X 0.000389666
*RES
1 *8985:X *9153:D 32.7116
*END
*D_NET *30 0.00128824
*CONN
*I *9197:D I *D sky130_fd_sc_hd__dfxtp_1
*I *8989:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *9197:D 0.000375725
2 *8989:Y 0.000375725
3 *9197:D *8991:A 0.000536795
4 *9197:D *309:8 0
5 *9197:D *309:13 0
*RES
1 *8989:Y *9197:D 36.2116
*END
*D_NET *31 0.00182926
*CONN
*I *9198:D I *D sky130_fd_sc_hd__dfxtp_1
*I *8991:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *9198:D 0.000468799
2 *8991:Y 0.000468799
3 *9198:D *8991:A 0.000212851
4 *9198:D *8991:B 0.000394719
5 *9198:D *9199:CLK 0.000232266
6 *9198:D *309:13 1.02132e-05
7 *9198:D *309:15 4.16168e-05
*RES
1 *8991:Y *9198:D 37.8187
*END
*D_NET *32 0.00074921
*CONN
*I *9199:D I *D sky130_fd_sc_hd__dfxtp_1
*I *8993:Y O *D sky130_fd_sc_hd__nor3_1
*CAP
1 *9199:D 0.0002624
2 *8993:Y 0.0002624
3 *9199:D *8989:A 0
4 *9199:D *8993:C 2.27416e-05
5 *9199:D *464:8 0.000117388
6 *9199:D *475:7 8.42801e-05
7 *9199:D *475:11 0
*RES
1 *8993:Y *9199:D 32.7116
*END
*D_NET *33 0.000503522
*CONN
*I *9200:D I *D sky130_fd_sc_hd__dfxtp_1
*I *8996:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *9200:D 0.000157389
2 *8996:X 0.000157389
3 *9200:D *8994:B 0
4 *9200:D *485:7 0.000188743
*RES
1 *8996:X *9200:D 30.7473
*END
*D_NET *34 0.000492491
*CONN
*I *9201:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9000:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *9201:D 0.000232597
2 *9000:X 0.000232597
3 *9201:D *9201:CLK 2.72967e-05
*RES
1 *9000:X *9201:D 22.3009
*END
*D_NET *35 0.000889088
*CONN
*I *9202:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9001:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *9202:D 0.000284887
2 *9001:Y 0.000284887
3 *9202:D *8931:B 5.90443e-06
4 *9202:D *8988:A1 0.000101545
5 *9202:D *8988:B1 2.09897e-05
6 *9202:D *8988:C1 2.49388e-05
7 *9202:D *8997:A 0
8 *9202:D *146:7 4.90075e-05
9 *9202:D *153:8 0.000116928
10 *9202:D *486:12 0
*RES
1 *9001:Y *9202:D 33.1759
*END
*D_NET *36 0.000628427
*CONN
*I *9248:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9006:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *9248:D 0.000127526
2 *9006:X 0.000127526
3 *9248:D *9006:A 0.000175512
4 *9248:D *9248:CLK 3.32132e-06
5 *9248:D *9249:CLK 1.90303e-05
6 *9248:D *144:32 0.000175512
*RES
1 *9006:X *9248:D 30.8366
*END
*D_NET *37 0.000357285
*CONN
*I *9249:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9010:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *9249:D 0.000165205
2 *9010:X 0.000165205
3 *9249:D *9008:A 0
4 *9249:D *9009:B 0
5 *9249:D *9010:A 2.27416e-05
6 *9249:D *9249:CLK 4.1331e-06
*RES
1 *9010:X *9249:D 30.2473
*END
*D_NET *38 0.000435953
*CONN
*I *9250:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9013:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *9250:D 0.000177179
2 *9013:X 0.000177179
3 *9250:D *292:146 8.15939e-05
4 *9250:D *299:24 0
5 *9250:D *299:40 0
*RES
1 *9013:X *9250:D 30.9438
*END
*D_NET *39 0.000732068
*CONN
*I *9251:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9016:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *9251:D 0.000241554
2 *9016:X 0.000241554
3 *9251:D *9004:A 1.76204e-05
4 *9251:D *9005:C 8.32171e-05
5 *9251:D *9016:A 0.000137855
6 *9251:D *9017:B 0
7 *9251:D *9018:B 4.87669e-06
8 *9251:D *292:157 5.39166e-06
9 *9251:D *297:67 0
*RES
1 *9016:X *9251:D 32.6759
*END
*D_NET *40 0.00188937
*CONN
*I *9252:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9019:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *9252:D 0.000535391
2 *9019:X 0.000535391
3 *9252:D *8922:A 0.000316184
4 *9252:D *9018:C 0
5 *9252:D *9695:A 0.000128154
6 *9252:D *292:157 0.000148639
7 *9252:D *458:7 0.000225609
*RES
1 *9019:X *9252:D 39.3366
*END
*D_NET *41 0.000315295
*CONN
*I *9300:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9021:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *9300:D 0.000115028
2 *9021:X 0.000115028
3 *9300:D *9021:A 5.33978e-05
4 *9300:D *9300:CLK 3.18414e-05
*RES
1 *9021:X *9300:D 29.9259
*END
*D_NET *42 0.000648995
*CONN
*I *9301:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9025:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *9301:D 0.000182805
2 *9025:X 0.000182805
3 *9301:D *9024:B 9.24299e-05
4 *9301:D *9032:C1 0
5 *9301:D *313:68 0.000190955
*RES
1 *9025:X *9301:D 31.658
*END
*D_NET *43 0.0013687
*CONN
*I *9302:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9029:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *9302:D 0.000507983
2 *9029:X 0.000507983
3 *9302:D *8911:A_N 0
4 *9302:D *9029:A 0.000352731
5 *9302:D *136:8 0
6 *9302:D *428:8 0
7 *9302:D *428:31 0
*RES
1 *9029:X *9302:D 37.6223
*END
*D_NET *44 0.00181884
*CONN
*I *9303:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9032:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *9303:D 0.00049613
2 *9032:X 0.00049613
3 *9303:D *9022:A 0.000318101
4 *9303:D *9031:A1 0.000473704
5 *9303:D *222:10 3.47737e-05
6 *9303:D *408:30 0
7 *9303:D *419:8 0
*RES
1 *9032:X *9303:D 37.6402
*END
*D_NET *45 0.00103227
*CONN
*I *9353:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9034:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *9353:D 0.000361652
2 *9034:X 0.000361652
3 *9353:D *8897:C 0
4 *9353:D *9034:A 7.57773e-05
5 *9353:D *9372:CLK 0
6 *9353:D *9372:D 0.000129868
7 *9353:D *126:8 0.000103323
8 *9353:D *302:46 0
9 *9353:D *302:48 0
*RES
1 *9034:X *9353:D 34.783
*END
*D_NET *46 0.000614275
*CONN
*I *9354:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9038:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *9354:D 0.000235867
2 *9038:X 0.000235867
3 *9354:D *9034:A 0
4 *9354:D *9038:A 3.92757e-05
5 *9354:D *126:8 0
6 *9354:D *126:12 0
7 *9354:D *232:8 0.000103266
*RES
1 *9038:X *9354:D 32.1402
*END
*D_NET *47 0.000817732
*CONN
*I *9355:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9041:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *9355:D 0.000368549
2 *9041:X 0.000368549
3 *9355:D *9041:A 8.06331e-05
*RES
1 *9041:X *9355:D 34.2295
*END
*D_NET *48 0.000931736
*CONN
*I *9407:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9043:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *9407:D 0.000323482
2 *9043:X 0.000323482
3 *9407:D *9042:A_N 0
4 *9407:D *296:8 0.000154762
5 *9407:D *296:13 0.00013001
6 *9407:D *352:8 0
*RES
1 *9043:X *9407:D 33.908
*END
*D_NET *49 0.00105551
*CONN
*I *9408:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9047:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *9408:D 0.000423116
2 *9047:X 0.000423116
3 *9408:D *8891:A 2.79092e-05
4 *9408:D *9046:B 5.33882e-05
5 *9408:D *9047:A 0
6 *9408:D *9408:CLK 5.52302e-05
7 *9408:D *296:37 7.2754e-05
8 *9408:D *322:8 0
9 *19:8 *9408:D 0
*RES
1 *9047:X *9408:D 35.4259
*END
*D_NET *50 0.000189526
*CONN
*I *9462:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9048:Y O *D sky130_fd_sc_hd__nor4_1
*CAP
1 *9462:D 9.31022e-05
2 *9048:Y 9.31022e-05
3 *9462:D *9462:CLK 3.32132e-06
4 *9462:D *107:14 0
5 *9462:D *323:8 0
*RES
1 *9048:Y *9462:D 29.1045
*END
*D_NET *51 0.000265571
*CONN
*I *9518:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9054:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *9518:D 0.000106091
2 *9054:X 0.000106091
3 *9518:D *9054:A 5.33882e-05
*RES
1 *9054:X *9518:D 29.5152
*END
*D_NET *52 0.000798762
*CONN
*I *9519:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9058:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *9519:D 0.000133777
2 *9058:X 0.000133777
3 *9519:D *9058:A 0.000342623
4 *9519:D *9519:CLK 0.000188586
*RES
1 *9058:X *9519:D 31.8009
*END
*D_NET *53 0.000548296
*CONN
*I *9520:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9062:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *9520:D 0.000209143
2 *9062:X 0.000209143
3 *9520:D *311:17 0.00013001
*RES
1 *9062:X *9520:D 22.3009
*END
*D_NET *54 0.000571695
*CONN
*I *9521:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9065:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *9521:D 0.000187206
2 *9065:X 0.000187206
3 *9521:D *9064:A_N 6.75007e-05
4 *9521:D *9518:CLK 4.1331e-06
5 *9521:D *243:47 0
6 *9521:D *311:18 0.000125649
*RES
1 *9065:X *9521:D 32.1402
*END
*D_NET *55 0.0010001
*CONN
*I *9522:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9069:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *9522:D 0.000431031
2 *9069:X 0.000431031
3 *9522:D *9069:A 8.16036e-05
4 *9522:D *9072:B 0
5 *9522:D *9072:C 6.05161e-06
6 *9522:D *346:8 5.03772e-05
*RES
1 *9069:X *9522:D 35.4616
*END
*D_NET *56 0.000445365
*CONN
*I *9523:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9073:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *9523:D 0.000165695
2 *9073:X 0.000165695
3 *9523:D *9073:A 5.35423e-05
4 *9523:D *9084:A 5.62995e-05
5 *9523:D *9523:CLK 4.1331e-06
6 *9523:D *291:87 0
*RES
1 *9073:X *9523:D 30.658
*END
*D_NET *57 0.000380673
*CONN
*I *9524:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9077:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *9524:D 8.64185e-05
2 *9077:X 8.64185e-05
3 *9524:D *9077:A 0.000123556
4 *9524:D *9079:A_N 0
5 *9524:D *9525:CLK 8.42801e-05
6 *9524:D *180:9 0
*RES
1 *9077:X *9524:D 29.7473
*END
*D_NET *58 0.00102614
*CONN
*I *9525:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9080:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *9525:D 0.000484495
2 *9080:X 0.000484495
3 *9525:D *349:10 5.71472e-05
*RES
1 *9080:X *9525:D 26.1759
*END
*D_NET *59 0.000694715
*CONN
*I *9526:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9082:X O *D sky130_fd_sc_hd__o21ba_1
*CAP
1 *9526:D 0.000190565
2 *9082:X 0.000190565
3 *9526:D *9082:B1_N 3.58558e-05
4 *9526:D *9526:CLK 0.000277729
*RES
1 *9082:X *9526:D 31.8723
*END
*D_NET *60 0.000570329
*CONN
*I *9527:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9085:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *9527:D 0.000225133
2 *9085:X 0.000225133
3 *9527:D *9085:A 3.05446e-05
4 *9527:D *9527:CLK 8.95186e-05
*RES
1 *9085:X *9527:D 31.35
*END
*D_NET *61 0.000204406
*CONN
*I *9565:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9092:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *9565:D 7.55038e-05
2 *9092:X 7.55038e-05
3 *9565:D *9092:A 5.33978e-05
*RES
1 *9092:X *9565:D 29.1045
*END
*D_NET *62 0.000276291
*CONN
*I *9566:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9096:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *9566:D 7.22035e-05
2 *9096:X 7.22035e-05
3 *9566:D *9096:A 8.39e-05
4 *9566:D *9566:CLK 4.79842e-05
*RES
1 *9096:X *9566:D 29.7116
*END
*D_NET *63 0.000826132
*CONN
*I *9567:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9100:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *9567:D 0.00033612
2 *9100:X 0.00033612
3 *9567:D *9097:C 1.24368e-05
4 *9567:D *9565:CLK 0
5 *9567:D *9567:CLK 7.69167e-05
6 *9567:D *292:272 6.45381e-05
*RES
1 *9100:X *9567:D 33.158
*END
*D_NET *64 0.00102492
*CONN
*I *9568:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9103:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *9568:D 0.000364521
2 *9103:X 0.000364521
3 *9568:D *9102:C 7.92879e-05
4 *9568:D *9571:CLK 1.02504e-05
5 *9568:D *298:7 5.33005e-05
6 *9568:D *298:8 0.00015304
*RES
1 *9103:X *9568:D 33.4036
*END
*D_NET *65 0.000271408
*CONN
*I *9569:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9107:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *9569:D 9.4902e-05
2 *9107:X 9.4902e-05
3 *9569:D *9106:C 0
4 *9569:D *9569:CLK 8.16036e-05
*RES
1 *9107:X *9569:D 29.7116
*END
*D_NET *66 0.00119207
*CONN
*I *9570:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9111:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *9570:D 0.000458888
2 *9111:X 0.000458888
3 *9570:D *571:8 0.000274294
*RES
1 *9111:X *9570:D 26.9973
*END
*D_NET *67 0.000550405
*CONN
*I *9571:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9115:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *9571:D 0.000275203
2 *9115:X 0.000275203
*RES
1 *9115:X *9571:D 22.7116
*END
*D_NET *68 0.000522234
*CONN
*I *9572:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9118:Y O *D sky130_fd_sc_hd__clkinv_2
*CAP
1 *9572:D 0.000184481
2 *9118:Y 0.000184481
3 *9572:D *178:14 2.32625e-05
4 *9572:D *303:105 0.00013001
*RES
1 *9118:Y *9572:D 30.9795
*END
*D_NET *69 0.000736905
*CONN
*I *9573:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9119:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *9573:D 0.000368452
2 *9119:X 0.000368452
3 *9573:D *9119:A1 0
4 *9573:D *174:22 0
*RES
1 *9119:X *9573:D 32.8009
*END
*D_NET *70 0.000254412
*CONN
*I *9613:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9124:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *9613:D 9.93103e-05
2 *9124:X 9.93103e-05
3 *9613:D *9124:A 5.57914e-05
*RES
1 *9124:X *9613:D 29.7116
*END
*D_NET *71 0.000696326
*CONN
*I *9614:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9128:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *9614:D 0.000249083
2 *9128:X 0.000249083
3 *9614:D *9132:A 0.000103267
4 *9614:D *292:240 0
5 *9614:D *531:5 9.23789e-05
6 *9614:D *531:11 2.51343e-06
*RES
1 *9128:X *9614:D 32.2116
*END
*D_NET *72 0.000485141
*CONN
*I *9615:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9132:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *9615:D 0.000242571
2 *9132:X 0.000242571
*RES
1 *9132:X *9615:D 22.3009
*END
*D_NET *73 0.00174372
*CONN
*I *9616:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9135:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *9616:D 0.000441149
2 *9135:X 0.000441149
3 *9616:D *9134:A_N 0.000133211
4 *9616:D *9134:C 8.37363e-05
5 *9616:D *9135:A 1.04232e-05
6 *9616:D *320:27 0.000634055
*RES
1 *9135:X *9616:D 28.8143
*END
*D_NET *74 0.000423905
*CONN
*I *9617:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9139:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *9617:D 0.000108188
2 *9139:X 0.000108188
3 *9617:D *9136:A 8.95186e-05
4 *9617:D *9136:B 3.29354e-05
5 *9617:D *9139:A 7.4826e-05
6 *9617:D *9257:CLK 0
7 *9617:D *9617:CLK 1.02504e-05
*RES
1 *9139:X *9617:D 30.4036
*END
*D_NET *75 0.000875866
*CONN
*I *9618:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9143:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *9618:D 0.000217347
2 *9143:X 0.000217347
3 *9618:D *9618:CLK 6.89249e-05
4 *9618:D *171:10 0.000372248
*RES
1 *9143:X *9618:D 33.408
*END
*D_NET *76 0.0010422
*CONN
*I *9619:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9145:X O *D sky130_fd_sc_hd__o21ba_1
*CAP
1 *9619:D 0.000392686
2 *9145:X 0.000392686
3 *9619:D *9145:B1_N 0.000232399
4 *9619:D *544:7 2.44318e-05
*RES
1 *9145:X *9619:D 26.158
*END
*D_NET *77 0.000753734
*CONN
*I *9620:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9146:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *9620:D 0.000290756
2 *9146:X 0.000290756
3 *9620:D *9146:A1 4.48113e-05
4 *9620:D *83:8 3.92757e-05
5 *9620:D *545:18 8.81343e-05
*RES
1 *9146:X *9620:D 33.783
*END
*D_NET *78 0.000540017
*CONN
*I *9662:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9147:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *9662:D 0.000125417
2 *9147:Y 0.000125417
3 *9662:D *9147:B 0.00010326
4 *9662:D *9689:A 3.79467e-05
5 *9662:D *316:39 0.000128093
6 *9662:D *316:43 1.98839e-05
*RES
1 *9147:Y *9662:D 30.5688
*END
*D_NET *79 0.00140344
*CONN
*I *9117:D_N I *D sky130_fd_sc_hd__or4b_1
*I *9116:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *9117:D_N 0.0003057
2 *9116:X 0.0003057
3 *9117:D_N *8959:B 0
4 *9117:D_N *9117:B 0.00019451
5 *9117:D_N *9119:A1 0
6 *9117:D_N *174:22 0.000367389
7 *9117:D_N *178:14 4.35131e-05
8 *9117:D_N *178:16 0.000106542
9 *9117:D_N *271:21 0
10 *9117:D_N *573:8 8.00806e-05
*RES
1 *9116:X *9117:D_N 36.5286
*END
*D_NET *80 0.00101386
*CONN
*I *9118:A I *D sky130_fd_sc_hd__clkinv_2
*I *9117:X O *D sky130_fd_sc_hd__or4b_1
*CAP
1 *9118:A 0.000506929
2 *9117:X 0.000506929
3 *9118:A *8953:A 0
4 *9118:A *303:84 0
5 *9118:A *303:91 0
*RES
1 *9117:X *9118:A 34.975
*END
*D_NET *81 0.00689163
*CONN
*I *9121:D I *D sky130_fd_sc_hd__and4_1
*I *9141:A2 I *D sky130_fd_sc_hd__a21o_1
*I *9140:C I *D sky130_fd_sc_hd__and3_1
*I *9137:B I *D sky130_fd_sc_hd__or2_1
*I *9134:A_N I *D sky130_fd_sc_hd__and3b_1
*I *9136:B I *D sky130_fd_sc_hd__nand2_1
*I *9120:X O *D sky130_fd_sc_hd__and4_1
*CAP
1 *9121:D 0.000381285
2 *9141:A2 2.17614e-05
3 *9140:C 0.000138596
4 *9137:B 0
5 *9134:A_N 0.000247918
6 *9136:B 0.000933655
7 *9120:X 0.000420384
8 *81:48 0.000441823
9 *81:39 0.00025243
10 *81:32 0.000101264
11 *81:8 0.00125068
12 *81:7 0.0005157
13 *9121:D *9121:B 0.000408389
14 *9121:D *82:8 8.14134e-05
15 *9121:D *83:13 0.000126439
16 *9134:A_N *9135:A 6.45841e-05
17 *9136:B *9136:A 0.000195766
18 *9136:B *9257:CLK 0
19 *9136:B *320:27 6.2589e-06
20 *9136:B *542:7 2.32517e-05
21 *9136:B *542:18 0.000114111
22 *9140:C *9140:A 0.0002181
23 *9141:A2 *9140:A 9.71197e-05
24 *9141:A2 *9140:B 9.71197e-05
25 *81:7 *9129:A 0.000142882
26 *81:7 *9129:B 1.55885e-05
27 *81:7 *9129:C 3.19626e-05
28 *81:7 *83:33 0.000228558
29 *81:8 *542:18 4.66203e-05
30 *81:32 *83:46 0
31 *81:32 *542:18 2.82057e-05
32 *81:32 *542:25 5.80706e-06
33 *81:39 *9121:B 4.46186e-06
34 *81:39 *83:46 0
35 *81:39 *542:25 6.16744e-05
36 *81:48 *9121:B 2.16719e-05
37 *9616:D *9134:A_N 0.000133211
38 *9617:D *9136:B 3.29354e-05
*RES
1 *9120:X *81:7 21.7286
2 *81:7 *81:8 1.33929
3 *81:8 *9136:B 27.6571
4 *81:8 *9134:A_N 18.175
5 *81:7 *81:32 0.732143
6 *81:32 *9137:B 13.8
7 *81:32 *81:39 1.64286
8 *81:39 *9140:C 15.8625
9 *81:39 *81:48 0.732143
10 *81:48 *9141:A2 14.7464
11 *81:48 *9121:D 22.675
*END
*D_NET *82 0.00361303
*CONN
*I *9122:A2 I *D sky130_fd_sc_hd__a211oi_4
*I *9144:C I *D sky130_fd_sc_hd__or3_1
*I *9146:A2 I *D sky130_fd_sc_hd__o21a_1
*I *9121:X O *D sky130_fd_sc_hd__and4_1
*CAP
1 *9122:A2 0.000115513
2 *9144:C 0.000286282
3 *9146:A2 0.00027754
4 *9121:X 0.000283772
5 *82:19 0.000554702
6 *82:8 0.000714219
7 *9122:A2 *83:8 6.90529e-05
8 *9122:A2 *167:21 0
9 *9144:C *167:7 5.16736e-05
10 *9144:C *167:21 0.000454741
11 *9146:A2 *9121:A 2.89114e-05
12 *9146:A2 *9146:A1 0.000317082
13 *9146:A2 *544:7 1.65169e-05
14 *82:8 *9121:B 7.43578e-06
15 *82:8 *9145:A2 0.000295844
16 *82:19 *9145:A2 5.83304e-05
17 *82:19 *9145:B1_N 0
18 *82:19 *9146:A1 0
19 *9121:D *82:8 8.14134e-05
*RES
1 *9121:X *82:8 19.3357
2 *82:8 *9146:A2 18.8536
3 *82:8 *82:19 7.05357
4 *82:19 *9144:C 15.1929
5 *82:19 *9122:A2 11.4964
*END
*D_NET *83 0.0090785
*CONN
*I *9123:B I *D sky130_fd_sc_hd__and2b_1
*I *9146:B1 I *D sky130_fd_sc_hd__o21a_1
*I *9127:B I *D sky130_fd_sc_hd__and3_1
*I *9131:B I *D sky130_fd_sc_hd__and3_1
*I *9134:B I *D sky130_fd_sc_hd__and3b_1
*I *9138:B I *D sky130_fd_sc_hd__and3_1
*I *9142:B I *D sky130_fd_sc_hd__and3b_1
*I *9122:Y O *D sky130_fd_sc_hd__a211oi_4
*CAP
1 *9123:B 0.000503619
2 *9146:B1 0
3 *9127:B 0.000310316
4 *9131:B 0
5 *9134:B 7.58077e-05
6 *9138:B 0.000161147
7 *9142:B 0.000292113
8 *9122:Y 0.000354688
9 *83:58 0.000503619
10 *83:46 0.00102655
11 *83:33 0.00118642
12 *83:24 0.000749377
13 *83:13 0.00108588
14 *83:8 0.000954593
15 *9123:B *9123:A_N 8.07313e-05
16 *9123:B *9146:A1 0.000142856
17 *9127:B *9131:C 5.33702e-07
18 *9127:B *9132:A 0.000355002
19 *9134:B *9613:CLK 0
20 *9138:B *9138:C 1.67323e-05
21 *9142:B *9142:A_N 0.00018372
22 *9142:B *9142:C 2.07937e-05
23 *9142:B *96:5 5.33005e-05
24 *83:8 *9146:A1 0
25 *83:13 *9121:A 0
26 *83:13 *9121:B 6.8646e-06
27 *83:13 *9145:A2 0
28 *83:13 *9146:A1 0
29 *83:13 *96:5 0.000343598
30 *83:24 *9121:B 0
31 *83:24 *9121:C 1.69115e-05
32 *83:24 *9138:A 5.99221e-05
33 *83:24 *9145:A2 3.17148e-05
34 *83:24 *542:18 0
35 *83:24 *542:25 0
36 *83:24 *543:6 4.53031e-05
37 *83:33 *9138:C 1.57931e-05
38 *83:46 *9131:A 2.22999e-05
39 *83:46 *9131:C 9.33978e-07
40 *83:46 *9140:A 1.40415e-05
41 *83:46 *9613:CLK 0
42 *83:46 *320:27 0
43 *9121:D *83:13 0.000126439
44 *9122:A2 *83:8 6.90529e-05
45 *9620:D *83:8 3.92757e-05
46 *81:7 *83:33 0.000228558
47 *81:32 *83:46 0
48 *81:39 *83:46 0
*RES
1 *9122:Y *83:8 18.5545
2 *83:8 *83:13 14.7143
3 *83:13 *9142:B 13.1214
4 *83:13 *83:24 13.0714
5 *83:24 *9138:B 11.0679
6 *83:24 *83:33 9.55357
7 *83:33 *9134:B 14.8357
8 *83:33 *83:46 14.1607
9 *83:46 *9131:B 9.3
10 *83:46 *9127:B 13.5321
11 *83:8 *83:58 4.5
12 *83:58 *9146:B1 9.3
13 *83:58 *9123:B 18.0857
*END
*D_NET *84 0.00057563
*CONN
*I *9124:A I *D sky130_fd_sc_hd__clkbuf_1
*I *9123:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *9124:A 0.000259919
2 *9123:X 0.000259919
3 *9124:A *9613:CLK 0
4 *9124:A *520:9 0
5 *9613:D *9124:A 5.57914e-05
*RES
1 *9123:X *9124:A 32.5107
*END
*D_NET *85 0.000491731
*CONN
*I *9127:A I *D sky130_fd_sc_hd__and3_1
*I *9125:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *9127:A 0.000111748
2 *9125:Y 0.000111748
3 *9127:A *9125:A 0.000176766
4 *9127:A *520:20 9.1469e-05
*RES
1 *9125:Y *9127:A 30.3857
*END
*D_NET *86 0.000445953
*CONN
*I *9127:C I *D sky130_fd_sc_hd__and3_1
*I *9126:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *9127:C 0.000130482
2 *9126:X 0.000130482
3 *9127:C *9125:A 8.00806e-05
4 *9127:C *9132:A 3.94968e-06
5 *9127:C *531:13 0.00010096
*RES
1 *9126:X *9127:C 29.7786
*END
*D_NET *87 0.000437458
*CONN
*I *9128:A I *D sky130_fd_sc_hd__clkbuf_1
*I *9127:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *9128:A 0.000141716
2 *9127:X 0.000141716
3 *9128:A *9132:A 0.000154027
*RES
1 *9127:X *9128:A 21.9214
*END
*D_NET *88 0.000643212
*CONN
*I *9131:A I *D sky130_fd_sc_hd__and3_1
*I *9129:Y O *D sky130_fd_sc_hd__nand3_1
*CAP
1 *9131:A 0.000201446
2 *9129:Y 0.000201446
3 *9131:A *9613:CLK 0.000218019
4 *83:46 *9131:A 2.22999e-05
*RES
1 *9129:Y *9131:A 31.4036
*END
*D_NET *89 0.00145932
*CONN
*I *9131:C I *D sky130_fd_sc_hd__and3_1
*I *9130:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *9131:C 0.000512974
2 *9130:X 0.000512974
3 *9131:C *9130:B1 0.0001098
4 *9131:C *9613:CLK 0.0001139
5 *9131:C *320:10 4.03318e-05
6 *9131:C *540:19 0.000107109
7 *9131:C *540:36 6.07626e-05
8 *9127:B *9131:C 5.33702e-07
9 *83:46 *9131:C 9.33978e-07
*RES
1 *9130:X *9131:C 38.5464
*END
*D_NET *90 0.00268545
*CONN
*I *9132:A I *D sky130_fd_sc_hd__clkbuf_1
*I *9131:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *9132:A 0.000941634
2 *9131:X 0.000941634
3 *9132:A *9125:A 0
4 *9132:A *9126:B 6.26466e-05
5 *9132:A *9133:A2 0.000123288
6 *9132:A *9614:CLK 0
7 *9132:A *292:240 0
8 *9132:A *320:71 0
9 *9132:A *320:77 0
10 *9132:A *320:108 0
11 *9127:B *9132:A 0.000355002
12 *9127:C *9132:A 3.94968e-06
13 *9128:A *9132:A 0.000154027
14 *9614:D *9132:A 0.000103267
*RES
1 *9131:X *9132:A 45.1536
*END
*D_NET *91 0.00259855
*CONN
*I *9134:C I *D sky130_fd_sc_hd__and3b_1
*I *9133:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *9134:C 0.000896037
2 *9133:X 0.000896037
3 *9134:C *9133:A1 0.000337439
4 *9134:C *320:27 0.000385303
5 *9616:D *9134:C 8.37363e-05
*RES
1 *9133:X *9134:C 31.0464
*END
*D_NET *92 0.000651281
*CONN
*I *9135:A I *D sky130_fd_sc_hd__clkbuf_1
*I *9134:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *9135:A 0.000132029
2 *9134:X 0.000132029
3 *9135:A *320:27 0.000312215
4 *9134:A_N *9135:A 6.45841e-05
5 *9616:D *9135:A 1.04232e-05
*RES
1 *9134:X *9135:A 22.3321
*END
*D_NET *93 0.00168473
*CONN
*I *9138:A I *D sky130_fd_sc_hd__and3_1
*I *9136:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *9138:A 0.000484274
2 *9136:Y 0.000484274
3 *9138:A *9136:A 0.000445571
4 *9138:A *9139:A 0
5 *9138:A *9257:CLK 3.34672e-05
6 *9138:A *542:18 0
7 *9138:A *543:6 0.000177227
8 *83:24 *9138:A 5.99221e-05
*RES
1 *9136:Y *9138:A 36.5107
*END
*D_NET *94 0.00057551
*CONN
*I *9138:C I *D sky130_fd_sc_hd__and3_1
*I *9137:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *9138:C 0.000271493
2 *9137:X 0.000271493
3 *9138:B *9138:C 1.67323e-05
4 *83:33 *9138:C 1.57931e-05
*RES
1 *9137:X *9138:C 21.6714
*END
*D_NET *95 0.000997055
*CONN
*I *9139:A I *D sky130_fd_sc_hd__clkbuf_1
*I *9138:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *9139:A 0.00039947
2 *9138:X 0.00039947
3 *9139:A *9257:CLK 0
4 *9139:A *9617:CLK 0.000123288
5 *9139:A *171:10 0
6 *9139:A *543:6 0
7 *9138:A *9139:A 0
8 *9617:D *9139:A 7.4826e-05
*RES
1 *9138:X *9139:A 34.9393
*END
*D_NET *96 0.00264852
*CONN
*I *9145:A2 I *D sky130_fd_sc_hd__o21ba_1
*I *9142:A_N I *D sky130_fd_sc_hd__and3b_1
*I *9140:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *9145:A2 0.00020904
2 *9142:A_N 4.09704e-05
3 *9140:X 0.000111949
4 *96:5 0.00036196
5 *9142:A_N *9142:C 2.47724e-05
6 *9142:A_N *543:9 5.33433e-05
7 *9145:A2 *9121:A 0.000371533
8 *9145:A2 *9121:B 0
9 *9145:A2 *9121:C 8.91726e-05
10 *96:5 *9140:B 0.000357952
11 *96:5 *543:9 6.13217e-05
12 *9142:B *9142:A_N 0.00018372
13 *9142:B *96:5 5.33005e-05
14 *82:8 *9145:A2 0.000295844
15 *82:19 *9145:A2 5.83304e-05
16 *83:13 *9145:A2 0
17 *83:13 *96:5 0.000343598
18 *83:24 *9145:A2 3.17148e-05
*RES
1 *9140:X *96:5 13.9429
2 *96:5 *9142:A_N 11.0679
3 *96:5 *9145:A2 25.4071
*END
*D_NET *97 0.000710234
*CONN
*I *9142:C I *D sky130_fd_sc_hd__and3b_1
*I *9141:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *9142:C 0.000294929
2 *9141:X 0.000294929
3 *9142:C *543:9 7.48091e-05
4 *9142:A_N *9142:C 2.47724e-05
5 *9142:B *9142:C 2.07937e-05
*RES
1 *9141:X *9142:C 22.4214
*END
*D_NET *98 0.000457823
*CONN
*I *9143:A I *D sky130_fd_sc_hd__clkbuf_1
*I *9142:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *9143:A 0.000228911
2 *9142:X 0.000228911
*RES
1 *9142:X *9143:A 22.3321
*END
*D_NET *99 0.000872939
*CONN
*I *9145:B1_N I *D sky130_fd_sc_hd__o21ba_1
*I *9144:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *9145:B1_N 0.000161217
2 *9144:X 0.000161217
3 *9145:B1_N *171:10 0
4 *9145:B1_N *544:7 0.000318107
5 *9619:D *9145:B1_N 0.000232399
6 *82:19 *9145:B1_N 0
*RES
1 *9144:X *9145:B1_N 32.3679
*END
*D_NET *100 0.00311644
*CONN
*I *8880:A I *D sky130_fd_sc_hd__nor4_1
*I *9048:A I *D sky130_fd_sc_hd__nor4_1
*I *8872:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8880:A 0
2 *9048:A 0.000163895
3 *8872:X 0.00079769
4 *100:10 0.000961585
5 *9048:A *8880:B 0.000276186
6 *9048:A *9048:D 0.000232399
7 *9048:A *102:9 1.74352e-05
8 *100:10 *8872:C 1.56e-05
9 *100:10 *8872:D 7.94875e-05
10 *100:10 *9515:D 0.000491435
11 *100:10 *107:14 0
12 *9406:D *9048:A 8.07313e-05
*RES
1 *8872:X *100:10 30.6929
2 *100:10 *9048:A 15.1929
3 *100:10 *8880:A 9.3
*END
*D_NET *101 0.00278835
*CONN
*I *8880:B I *D sky130_fd_sc_hd__nor4_1
*I *9048:B I *D sky130_fd_sc_hd__nor4_1
*I *8873:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8880:B 0.000105104
2 *9048:B 0
3 *8873:X 0.000856881
4 *101:10 0.000961985
5 *8880:B *9048:C 0.00040765
6 *8880:B *102:9 5.71472e-05
7 *101:10 *8873:A 2.89016e-05
8 *101:10 *9481:D 1.05947e-05
9 *101:10 *323:8 8.39e-05
10 *9048:A *8880:B 0.000276186
*RES
1 *8873:X *101:10 29.6929
2 *101:10 *9048:B 9.3
3 *101:10 *8880:B 14.3714
*END
*D_NET *102 0.00199105
*CONN
*I *8880:C I *D sky130_fd_sc_hd__nor4_1
*I *9048:C I *D sky130_fd_sc_hd__nor4_1
*I *8874:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8880:C 0
2 *9048:C 0.000248728
3 *8874:X 0.000485746
4 *102:9 0.000734474
5 *102:9 *9479:D 2.56601e-05
6 *8880:B *9048:C 0.00040765
7 *8880:B *102:9 5.71472e-05
8 *9048:A *102:9 1.74352e-05
9 *9406:D *102:9 1.42064e-05
*RES
1 *8874:X *102:9 26.3714
2 *102:9 *9048:C 13.1214
3 *102:9 *8880:C 9.3
*END
*D_NET *103 0.00295185
*CONN
*I *8879:A I *D sky130_fd_sc_hd__or4_1
*I *8875:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8879:A 0.00119211
2 *8875:X 0.00119211
3 *8879:A *8875:C 1.15281e-05
4 *8879:A *8875:D 0.000196968
5 *8879:A *8876:A 0
6 *8879:A *8879:C 6.97972e-05
7 *8879:A *9501:CLK 2.89016e-05
8 *8879:A *9501:D 0.000234761
9 *8879:A *107:14 2.56694e-05
*RES
1 *8875:X *8879:A 43.3321
*END
*D_NET *104 0.000386387
*CONN
*I *8879:B I *D sky130_fd_sc_hd__or4_1
*I *8876:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8879:B 0.000166119
2 *8876:X 0.000166119
3 *8879:B *8876:A 5.41494e-05
4 *8879:B *9513:D 0
*RES
1 *8876:X *8879:B 29.9929
*END
*D_NET *105 0.00199134
*CONN
*I *8879:C I *D sky130_fd_sc_hd__or4_1
*I *8877:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8879:C 0.000960774
2 *8877:X 0.000960774
3 *8879:C *8876:A 0
4 *8879:C *9513:D 0
5 *8879:C *107:14 0
6 *8879:C *305:14 0
7 *8879:C *323:8 0
8 *8879:A *8879:C 6.97972e-05
*RES
1 *8877:X *8879:C 41.8857
*END
*D_NET *106 0.00354212
*CONN
*I *8879:D I *D sky130_fd_sc_hd__or4_1
*I *8878:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8879:D 0.00165876
2 *8878:X 0.00165876
3 *8879:D *869:DIODE 0.00022459
*RES
1 *8878:X *8879:D 38.0464
*END
*D_NET *107 0.00337212
*CONN
*I *8880:D I *D sky130_fd_sc_hd__nor4_1
*I *9048:D I *D sky130_fd_sc_hd__nor4_1
*I *8879:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8880:D 0
2 *9048:D 9.82313e-05
3 *8879:X 0.00105319
4 *107:14 0.00115142
5 *107:14 *8876:A 0.000175505
6 *107:14 *9462:CLK 0
7 *107:14 *9463:D 1.08359e-05
8 *107:14 *9499:D 0
9 *107:14 *9505:D 0
10 *107:14 *305:14 0.000397134
11 *107:14 *305:19 0.000227736
12 *107:14 *305:28 0
13 *107:14 *305:148 0
14 *107:14 *323:8 0
15 *8879:A *107:14 2.56694e-05
16 *8879:C *107:14 0
17 *9048:A *9048:D 0.000232399
18 *9462:D *107:14 0
19 *100:10 *107:14 0
*RES
1 *8879:X *107:14 40.2643
2 *107:14 *9048:D 11.4786
3 *107:14 *8880:D 9.3
*END
*D_NET *108 0.00175597
*CONN
*I *8889:A I *D sky130_fd_sc_hd__nor4_1
*I *8881:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8889:A 0.000857139
2 *8881:X 0.000857139
3 *8889:A *9417:CLK 3.18676e-05
4 *8889:A *9417:D 9.82585e-06
*RES
1 *8881:X *8889:A 38.1
*END
*D_NET *109 0.00103784
*CONN
*I *8889:B I *D sky130_fd_sc_hd__nor4_1
*I *8882:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8889:B 0.000405586
2 *8882:X 0.000405586
3 *8889:B *8889:C 0.000226666
*RES
1 *8882:X *8889:B 35.2429
*END
*D_NET *110 0.00245799
*CONN
*I *8889:C I *D sky130_fd_sc_hd__nor4_1
*I *8883:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8889:C 0.000784586
2 *8883:X 0.000784586
3 *8889:C *8883:A 3.92757e-05
4 *8889:C *8883:B 0
5 *8889:C *9459:D 0
6 *8889:C *116:8 0.000622874
7 *8889:B *8889:C 0.000226666
*RES
1 *8883:X *8889:C 45.35
*END
*D_NET *111 0.0032097
*CONN
*I *8888:A I *D sky130_fd_sc_hd__or4_1
*I *8884:X O *D sky130_fd_sc_hd__a211o_1
*CAP
1 *8888:A 0
2 *8884:X 0.0015003
3 *111:10 0.0015003
4 *111:10 *8884:A2 3.18676e-05
5 *111:10 *292:176 0.000177234
*RES
1 *8884:X *111:10 42.0321
2 *111:10 *8888:A 9.3
*END
*D_NET *112 0.00287393
*CONN
*I *8888:B I *D sky130_fd_sc_hd__or4_1
*I *8885:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8888:B 0.00130705
2 *8885:X 0.00130705
3 *8888:B *8887:B 5.19842e-06
4 *8888:B *9429:CLK 0.000230482
5 *8888:B *9437:CLK 1.92789e-05
6 *8888:B *9437:D 4.87669e-06
*RES
1 *8885:X *8888:B 34.3321
*END
*D_NET *113 0.00287656
*CONN
*I *8888:C I *D sky130_fd_sc_hd__or4_1
*I *8886:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8888:C 0
2 *8886:X 0.00109733
3 *113:9 0.00109733
4 *113:9 *8886:A 7.83366e-05
5 *113:9 *8886:C 0
6 *113:9 *8887:A 0
7 *113:9 *8887:B 0.000288474
8 *113:9 *8887:D 5.56943e-05
9 *113:9 *8888:D 2.89016e-05
10 *113:9 *9004:B 0
11 *113:9 *9429:CLK 0.000230482
12 *113:9 *9439:D 0
13 *113:9 *9447:D 0
14 *113:9 *292:146 0
15 *113:9 *292:150 0
16 *113:9 *292:176 0
*RES
1 *8886:X *113:9 40.9429
2 *113:9 *8888:C 9.3
*END
*D_NET *114 0.00082995
*CONN
*I *8888:D I *D sky130_fd_sc_hd__or4_1
*I *8887:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8888:D 0.000213423
2 *8887:X 0.000213423
3 *8888:D *8887:A 7.83587e-05
4 *8888:D *8887:B 0
5 *8888:D *292:176 0.000295844
6 *113:9 *8888:D 2.89016e-05
*RES
1 *8887:X *8888:D 32.4393
*END
*D_NET *115 0.00250754
*CONN
*I *8889:D I *D sky130_fd_sc_hd__nor4_1
*I *8888:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8889:D 0.0010256
2 *8888:X 0.0010256
3 *8889:D *9429:CLK 0.000451463
4 *8889:D *9429:D 4.87669e-06
*RES
1 *8888:X *8889:D 42.7429
*END
*D_NET *116 0.00596063
*CONN
*I *9042:B I *D sky130_fd_sc_hd__and2b_1
*I *9046:B I *D sky130_fd_sc_hd__and3_1
*I *8890:B I *D sky130_fd_sc_hd__and2b_1
*I *8889:Y O *D sky130_fd_sc_hd__nor4_1
*CAP
1 *9042:B 0.00024412
2 *9046:B 7.92092e-05
3 *8890:B 0
4 *8889:Y 0.0011298
5 *116:11 0.000319314
6 *116:8 0.00161402
7 *9042:B *9042:A_N 1.92905e-05
8 *9042:B *296:16 0.000264844
9 *9046:B *9047:A 5.33882e-05
10 *116:8 *8890:A_N 5.74562e-05
11 *116:8 *9044:B 0.000248788
12 *116:8 *296:16 0.000175519
13 *116:8 *363:15 8.27184e-06
14 *116:8 *363:19 7.17189e-05
15 *116:11 *8890:A_N 0.000312209
16 *8889:C *116:8 0.000622874
17 *9408:D *9046:B 5.33882e-05
18 *19:8 *9042:B 0.00027338
19 *19:8 *116:8 0.00041304
*RES
1 *8889:Y *116:8 32.7643
2 *116:8 *116:11 8.73214
3 *116:11 *8890:B 9.3
4 *116:11 *9046:B 20.175
5 *116:8 *9042:B 19.8536
*END
*D_NET *117 0.000617307
*CONN
*I *8891:A I *D sky130_fd_sc_hd__clkbuf_1
*I *8890:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *8891:A 0.000216419
2 *8890:X 0.000216419
3 *8891:A *9047:A 0.00015656
4 *9408:D *8891:A 2.79092e-05
*RES
1 *8890:X *8891:A 31.8321
*END
*D_NET *118 0.00201628
*CONN
*I *8896:A I *D sky130_fd_sc_hd__or4_1
*I *8892:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *8896:A 0.000696056
2 *8892:X 0.000696056
3 *8896:A *8892:A 0.000199175
4 *8896:A *8892:B 9.58181e-05
5 *8896:A *8892:C 2.41649e-05
6 *8896:A *8900:A 0.000186662
7 *8896:A *9356:D 0
8 *8896:A *301:47 0.000118349
*RES
1 *8892:X *8896:A 40.975
*END
*D_NET *119 0.000128841
*CONN
*I *8896:B I *D sky130_fd_sc_hd__or4_1
*I *8893:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8896:B 6.15172e-05
2 *8893:X 6.15172e-05
3 *8896:B *8893:B 5.80706e-06
*RES
1 *8893:X *8896:B 28.6357
*END
*D_NET *120 0.00131611
*CONN
*I *8896:C I *D sky130_fd_sc_hd__or4_1
*I *8894:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8896:C 0.000658056
2 *8894:X 0.000658056
3 *8896:C *8900:A 0
4 *8896:C *9356:D 0
*RES
1 *8894:X *8896:C 36.2071
*END
*D_NET *121 0.00215523
*CONN
*I *8896:D I *D sky130_fd_sc_hd__or4_1
*I *8895:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8896:D 0.00103797
2 *8895:X 0.00103797
3 *8896:D *9400:D 0
4 *8896:D *292:12 7.92879e-05
*RES
1 *8895:X *8896:D 42.5286
*END
*D_NET *122 0.00220433
*CONN
*I *8900:A I *D sky130_fd_sc_hd__nor2_1
*I *8896:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8900:A 0.000835542
2 *8896:X 0.000835542
3 *8900:A *8892:C 0
4 *8900:A *8900:B 5.80706e-06
5 *8900:A *9356:CLK 0
6 *8900:A *9356:D 0.000340781
7 *8900:A *9404:D 0
8 *8896:A *8900:A 0.000186662
9 *8896:C *8900:A 0
*RES
1 *8896:X *8900:A 43.4571
*END
*D_NET *123 0.00220889
*CONN
*I *8899:C I *D sky130_fd_sc_hd__or4_1
*I *8897:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8899:C 0.00087008
2 *8897:X 0.00087008
3 *8899:C *8897:A 0
4 *8899:C *8897:B 0.00022866
5 *8899:C *8899:A 9.90367e-05
6 *8899:C *8900:B 0.000105163
7 *8899:C *9364:D 0
8 *8899:C *9370:CLK 3.58684e-05
9 *8899:C *9370:D 0
*RES
1 *8897:X *8899:C 42.5643
*END
*D_NET *124 0.00207131
*CONN
*I *8899:D I *D sky130_fd_sc_hd__or4_1
*I *8898:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8899:D 0.000957106
2 *8898:X 0.000957106
3 *8899:D *8899:A 0
4 *8899:D *8899:B 0.000101873
5 *8899:D *8900:B 0
6 *8899:D *9360:D 0
7 *8899:D *9394:D 5.52238e-05
8 *8899:D *9396:CLK 0
9 *8899:D *307:55 0
*RES
1 *8898:X *8899:D 43.8679
*END
*D_NET *125 0.00149553
*CONN
*I *8900:B I *D sky130_fd_sc_hd__nor2_1
*I *8899:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8900:B 0.000615756
2 *8899:X 0.000615756
3 *8900:B *8899:B 0
4 *8900:B *9370:D 0.000153047
5 *8899:C *8900:B 0.000105163
6 *8899:D *8900:B 0
7 *8900:A *8900:B 5.80706e-06
*RES
1 *8899:X *8900:B 38.2964
*END
*D_NET *126 0.00662701
*CONN
*I *9033:B I *D sky130_fd_sc_hd__and2b_1
*I *9040:A I *D sky130_fd_sc_hd__and2_1
*I *8901:B I *D sky130_fd_sc_hd__and2b_1
*I *9037:A I *D sky130_fd_sc_hd__and3_1
*I *8900:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *9033:B 0.00014464
2 *9040:A 0.000191388
3 *8901:B 0.000338527
4 *9037:A 5.40981e-05
5 *8900:Y 0.00141318
6 *126:21 0.000728339
7 *126:12 0.000361248
8 *126:8 0.00166655
9 *8901:B *8901:A_N 6.58195e-05
10 *8901:B *9038:A 0
11 *8901:B *400:8 6.8646e-06
12 *9033:B *9034:A 9.71197e-05
13 *9033:B *9354:CLK 0.000196968
14 *9037:A *9037:C 0
15 *9040:A *9036:A 0
16 *9040:A *9037:B 0
17 *9040:A *9040:B 0.000170523
18 *9040:A *391:8 0
19 *9040:A *400:8 6.8646e-06
20 *126:8 *9033:A_N 5.10822e-05
21 *126:8 *9353:CLK 5.41609e-05
22 *126:12 *9034:A 0
23 *126:21 *9037:B 0.000401764
24 *126:21 *9037:C 5.83786e-05
25 *126:21 *9039:A 0.000230475
26 *126:21 *9039:B 0.000186669
27 *126:21 *9040:B 9.90367e-05
28 *9353:D *126:8 0.000103323
29 *9354:D *126:8 0
30 *9354:D *126:12 0
*RES
1 *8900:Y *126:8 31.3714
2 *126:8 *126:12 6.14286
3 *126:12 *9037:A 10.3357
4 *126:12 *126:21 11.1964
5 *126:21 *8901:B 19.8536
6 *126:21 *9040:A 18.175
7 *126:8 *9033:B 17.2107
*END
*D_NET *127 0.00579885
*CONN
*I *8902:A I *D sky130_fd_sc_hd__clkbuf_1
*I *8901:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *8902:A 0
2 *8901:X 0.000225825
3 *127:10 0.00201525
4 *127:7 0.00224107
5 *127:10 *8873:B 0
6 *127:10 *8873:D 0
7 *127:10 *9469:D 0
8 *127:10 *9471:D 0.000153054
9 *127:10 *9483:D 0
10 *127:10 *9485:CLK 0.000107503
11 *127:10 *9485:D 0.000294182
12 *127:10 *9489:CLK 0.000591433
13 *127:10 *9507:D 0.000170532
14 *127:10 *9509:D 0
15 *127:10 *9511:D 0
16 *127:10 *305:75 0
17 *127:10 *305:87 0
*RES
1 *8901:X *127:7 16.3893
2 *127:7 *127:10 47.4286
3 *127:10 *8902:A 9.3
*END
*D_NET *128 0.00196768
*CONN
*I *8910:A I *D sky130_fd_sc_hd__nor3_2
*I *8903:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8910:A 0.000585202
2 *8903:X 0.000585202
3 *8910:A *8910:B 5.52238e-05
4 *8910:A *8910:C 0.000534628
5 *8910:A *9316:CLK 7.39637e-05
6 *8910:A *9316:D 0
7 *8910:A *314:8 8.96049e-05
8 *8910:A *314:95 4.38511e-05
*RES
1 *8903:X *8910:A 41.5821
*END
*D_NET *129 0.00133946
*CONN
*I *8910:B I *D sky130_fd_sc_hd__nor3_2
*I *8904:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8910:B 0.00054365
2 *8904:X 0.00054365
3 *8910:B *8904:A 0.000142307
4 *8910:B *8904:B 0
5 *8910:B *8910:C 5.46298e-05
6 *8910:A *8910:B 5.52238e-05
*RES
1 *8904:X *8910:B 38.3143
*END
*D_NET *130 0.00107115
*CONN
*I *8909:A I *D sky130_fd_sc_hd__or4_1
*I *8905:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8909:A 0.000304117
2 *8905:X 0.000304117
3 *8909:A *8909:C 6.76043e-05
4 *8909:A *8909:D 0.000214584
5 *8909:A *131:17 0.000180727
*RES
1 *8905:X *8909:A 24.9214
*END
*D_NET *131 0.00312182
*CONN
*I *8909:B I *D sky130_fd_sc_hd__or4_1
*I *8906:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8909:B 0
2 *8906:X 0.00089972
3 *131:17 0.00089972
4 *131:17 *8905:A 0.000106299
5 *131:17 *8905:B 0.000521022
6 *131:17 *8905:C 0.000128161
7 *131:17 *8905:D 5.52238e-05
8 *131:17 *8906:A 2.56694e-05
9 *131:17 *8909:D 0.00010748
10 *131:17 *9320:D 0.000158512
11 *131:17 *9324:D 0
12 *131:17 *290:11 3.92854e-05
13 *8909:A *131:17 0.000180727
*RES
1 *8906:X *131:17 47.8
2 *131:17 *8909:B 9.3
*END
*D_NET *132 0.00129878
*CONN
*I *8909:C I *D sky130_fd_sc_hd__or4_1
*I *8907:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8909:C 0.000458666
2 *8907:X 0.000458666
3 *8909:C *8910:C 0.000313843
4 *8909:A *8909:C 6.76043e-05
*RES
1 *8907:X *8909:C 27.7786
*END
*D_NET *133 0.0027026
*CONN
*I *8909:D I *D sky130_fd_sc_hd__or4_1
*I *8908:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8909:D 0.000868869
2 *8908:X 0.000868869
3 *8909:D *8905:B 5.58941e-05
4 *8909:D *8908:B 2.61853e-05
5 *8909:D *8908:C 0
6 *8909:D *9310:D 0.000511901
7 *8909:D *290:11 4.8813e-05
8 *8909:A *8909:D 0.000214584
9 *131:17 *8909:D 0.00010748
*RES
1 *8908:X *8909:D 43.7786
*END
*D_NET *134 0.0037043
*CONN
*I *8910:C I *D sky130_fd_sc_hd__nor3_2
*I *8909:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8910:C 0.00134666
2 *8909:X 0.00134666
3 *8910:C *8907:A 5.33005e-05
4 *8910:C *9314:D 4.05411e-05
5 *8910:C *9318:D 1.40415e-05
6 *8909:C *8910:C 0.000313843
7 *8910:A *8910:C 0.000534628
8 *8910:B *8910:C 5.46298e-05
*RES
1 *8909:X *8910:C 42.9929
*END
*D_NET *135 0.00794823
*CONN
*I *9020:B I *D sky130_fd_sc_hd__and2b_1
*I *8911:B I *D sky130_fd_sc_hd__and2b_1
*I *9028:A I *D sky130_fd_sc_hd__and3_1
*I *9024:A I *D sky130_fd_sc_hd__and3_1
*I *9032:C1 I *D sky130_fd_sc_hd__o211a_1
*I *8910:Y O *D sky130_fd_sc_hd__nor3_2
*CAP
1 *9020:B 0.000127508
2 *8911:B 0.000233475
3 *9028:A 0.000467774
4 *9024:A 0
5 *9032:C1 0.000269493
6 *8910:Y 0.00103977
7 *135:37 0.000788996
8 *135:36 0.000585504
9 *135:26 0.000767462
10 *135:8 0.00170648
11 *8911:B *9026:A 6.05161e-06
12 *8911:B *428:31 0.000235164
13 *9020:B *9300:CLK 0
14 *9028:A *9026:A 5.66157e-05
15 *9028:A *9028:B 0.000748714
16 *9028:A *9028:C 4.00121e-05
17 *9028:A *9029:A 1.00375e-05
18 *9028:A *313:8 0
19 *9028:A *313:10 0
20 *9028:A *313:56 0
21 *9028:A *313:65 0
22 *9032:C1 *9024:B 0
23 *9032:C1 *9032:B1 5.80706e-06
24 *9032:C1 *9346:CLK 9.24395e-05
25 *135:26 *9024:B 3.19626e-05
26 *135:26 *9024:C 1.16848e-05
27 *135:26 *9025:A 0.000242822
28 *135:36 *9026:B 0.000180764
29 *135:36 *9028:B 4.00276e-06
30 *135:37 *9026:A 0.000175519
31 *135:37 *9028:B 9.22948e-05
32 *135:37 *9029:A 2.78729e-05
33 *135:37 *9300:CLK 0
34 *9301:D *9032:C1 0
*RES
1 *8910:Y *135:8 31.0321
2 *135:8 *9032:C1 19.0857
3 *135:8 *135:26 12.3036
4 *135:26 *9024:A 9.3
5 *135:26 *135:36 9.16071
6 *135:36 *135:37 2.85714
7 *135:37 *9028:A 25.0857
8 *135:37 *8911:B 18.0321
9 *135:36 *9020:B 16.05
*END
*D_NET *136 0.0057347
*CONN
*I *8912:A I *D sky130_fd_sc_hd__clkbuf_1
*I *8911:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *8912:A 0
2 *8911:X 0.000190365
3 *136:9 0.00244466
4 *136:8 0.00263502
5 *136:8 *8911:A_N 0.000103267
6 *136:8 *428:21 2.78822e-05
7 *136:8 *429:8 0.000171375
8 *136:9 *9031:A1 0.000142856
9 *136:9 *429:8 1.92789e-05
10 *9302:D *136:8 0
*RES
1 *8911:X *136:8 22.675
2 *136:8 *136:9 41.6071
3 *136:9 *8912:A 9.3
*END
*D_NET *137 0.00184245
*CONN
*I *8914:D I *D sky130_fd_sc_hd__or4_1
*I *8913:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8914:D 0.000889622
2 *8913:X 0.000889622
3 *8914:D *8914:B 5.33786e-05
4 *8914:D *9261:D 9.82585e-06
*RES
1 *8913:X *8914:D 38.5107
*END
*D_NET *138 0.000323722
*CONN
*I *8920:A I *D sky130_fd_sc_hd__nor2_1
*I *8914:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8920:A 0.000158957
2 *8914:X 0.000158957
3 *8920:A *8914:B 0
4 *8920:A *8914:C 0
5 *8920:A *143:10 5.80706e-06
*RES
1 *8914:X *8920:A 30.0821
*END
*D_NET *139 0.00215238
*CONN
*I *8919:A I *D sky130_fd_sc_hd__or4_1
*I *8915:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8919:A 0.0010032
2 *8915:X 0.0010032
3 *8919:A *8919:C 0.000129868
4 *8919:A *9283:D 1.61086e-05
*RES
1 *8915:X *8919:A 40.0464
*END
*D_NET *140 0.000603619
*CONN
*I *8919:B I *D sky130_fd_sc_hd__or4_1
*I *8916:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8919:B 0.000282167
2 *8916:X 0.000282167
3 *8919:B *8916:A 0
4 *8919:B *8916:B 3.92854e-05
*RES
1 *8916:X *8919:B 32.0107
*END
*D_NET *141 0.00130033
*CONN
*I *8919:C I *D sky130_fd_sc_hd__or4_1
*I *8917:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8919:C 0.000585231
2 *8917:X 0.000585231
3 *8919:C *292:112 0
4 *8919:A *8919:C 0.000129868
*RES
1 *8917:X *8919:C 37.8857
*END
*D_NET *142 0.00222437
*CONN
*I *8919:D I *D sky130_fd_sc_hd__or4_1
*I *8918:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8919:D 0.000881303
2 *8918:X 0.000881303
3 *8919:D *9295:D 1.76204e-05
4 *8919:D *300:85 0.000444143
*RES
1 *8918:X *8919:D 31.6
*END
*D_NET *143 0.00423035
*CONN
*I *8920:B I *D sky130_fd_sc_hd__nor2_1
*I *8919:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8920:B 0
2 *8919:X 0.00200141
3 *143:10 0.00200141
4 *143:10 *9285:D 6.76152e-05
5 *143:10 *299:24 6.74911e-05
6 *143:10 *299:40 8.66132e-05
7 *8920:A *143:10 5.80706e-06
*RES
1 *8919:X *143:10 43.8536
2 *143:10 *8920:B 9.3
*END
*D_NET *144 0.00673375
*CONN
*I *8921:B I *D sky130_fd_sc_hd__and2b_1
*I *9018:A I *D sky130_fd_sc_hd__and3_1
*I *9015:C I *D sky130_fd_sc_hd__and3b_1
*I *9005:B I *D sky130_fd_sc_hd__and3b_1
*I *9012:C I *D sky130_fd_sc_hd__and3b_1
*I *9009:A I *D sky130_fd_sc_hd__and3_1
*I *8920:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *8921:B 6.33107e-05
2 *9018:A 0
3 *9015:C 0
4 *9005:B 0
5 *9012:C 0
6 *9009:A 0.000194391
7 *8920:Y 0.000655087
8 *144:49 0.00068174
9 *144:41 0.000732374
10 *144:32 0.000559487
11 *144:21 0.000470324
12 *144:9 0.000874259
13 *8921:B *9004:A 6.98669e-05
14 *8921:B *9018:C 0.00020212
15 *9009:A *9008:B 1.91418e-05
16 *9009:A *9009:B 0.00014789
17 *9009:A *9011:B1 1.17968e-05
18 *9009:A *9013:A 0.000327466
19 *9009:A *9249:CLK 0
20 *144:9 *9002:A 0.000177347
21 *144:9 *9002:C 1.95435e-05
22 *144:9 *299:40 0.000139907
23 *144:9 *447:28 8.56752e-05
24 *144:21 *447:28 2.14757e-05
25 *144:32 *9005:A_N 0
26 *144:32 *9005:C 0.000108118
27 *144:32 *9006:A 8.89481e-05
28 *144:32 *9009:B 6.05161e-06
29 *144:32 *9011:B1 0
30 *144:32 *9012:B 7.83587e-05
31 *144:32 *9249:CLK 0.000278837
32 *144:32 *208:16 0
33 *144:32 *297:12 5.90443e-06
34 *144:32 *436:10 0
35 *144:32 *447:28 5.52238e-05
36 *144:41 *9005:C 0.000187019
37 *144:41 *9017:B 0
38 *144:49 *9003:A 2.95641e-05
39 *144:49 *9004:A 2.8266e-06
40 *144:49 *9014:B 0.000219828
41 *144:49 *9015:B 5.19842e-06
42 *144:49 *9018:C 1.02504e-05
43 *144:49 *457:9 2.89016e-05
44 *9248:D *144:32 0.000175512
*RES
1 *8920:Y *144:9 19.0143
2 *144:9 *9009:A 23.8179
3 *144:9 *144:21 0.535714
4 *144:21 *9012:C 9.3
5 *144:21 *144:32 16.2143
6 *144:32 *9005:B 13.8
7 *144:32 *144:41 7.05357
8 *144:41 *9015:C 9.3
9 *144:41 *144:49 12.9464
10 *144:49 *9018:A 13.8
11 *144:49 *8921:B 16.3536
*END
*D_NET *145 0.00115086
*CONN
*I *8922:A I *D sky130_fd_sc_hd__clkbuf_1
*I *8921:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *8922:A 0.000285897
2 *8921:X 0.000285897
3 *8922:A *9018:C 0.00012501
4 *8922:A *9695:A 3.14078e-05
5 *8922:A *292:157 0.000106467
6 *9252:D *8922:A 0.000316184
*RES
1 *8921:X *8922:A 34.7071
*END
*D_NET *146 0.0045287
*CONN
*I *9001:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *8932:A I *D sky130_fd_sc_hd__and2_1
*I *8923:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *9001:A1 0.000329396
2 *8932:A 0.000370368
3 *8923:Y 0.000765691
4 *146:7 0.00146545
5 *8932:A *8932:B 4.88637e-05
6 *8932:A *8995:C 3.25078e-05
7 *8932:A *8999:B 0.000142307
8 *8932:A *154:8 0.000107494
9 *8932:A *310:62 0.000180764
10 *9001:A1 *8995:A_N 0
11 *9001:A1 *8995:C 5.66647e-05
12 *9001:A1 *8997:A 2.28598e-05
13 *9001:A1 *8997:B 0
14 *9001:A1 *8999:B 0.000196282
15 *9001:A1 *8999:C 3.38136e-05
16 *9001:A1 *9001:A2 0.000225365
17 *9001:A1 *9001:B1 0.000316134
18 *9001:A1 *153:8 0
19 *9001:A1 *200:8 0
20 *9001:A1 *205:7 9.99644e-06
21 *146:7 *8923:A 9.24825e-05
22 *146:7 *8988:A1 3.2875e-05
23 *146:7 *9202:CLK 5.03772e-05
24 *9202:D *146:7 4.90075e-05
*RES
1 *8923:Y *146:7 23.3714
2 *146:7 *8932:A 20.9964
3 *146:7 *9001:A1 22.9964
*END
*D_NET *147 0.00202531
*CONN
*I *8925:C I *D sky130_fd_sc_hd__or3_1
*I *8924:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8925:C 0.00101265
2 *8924:X 0.00101265
3 *8925:C *8925:B 0
4 *8925:C *9241:D 0
*RES
1 *8924:X *8925:C 42.2964
*END
*D_NET *148 0.00194886
*CONN
*I *8988:C1 I *D sky130_fd_sc_hd__a311o_1
*I *8931:A I *D sky130_fd_sc_hd__nor2_1
*I *8925:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *8988:C1 0.000321042
2 *8931:A 1.91209e-05
3 *8925:X 0.000119776
4 *148:7 0.00045994
5 *8988:C1 *8931:B 0.000412149
6 *8988:C1 *8988:A1 0.00015656
7 *8988:C1 *8988:B1 5.52238e-05
8 *8988:C1 *8999:B 0
9 *8988:C1 *154:7 0.000122091
10 *8988:C1 *310:22 9.02916e-05
11 *8988:C1 *486:12 0
12 *148:7 *8925:A 1.3701e-05
13 *148:7 *310:22 0.000154027
14 *9202:D *8988:C1 2.49388e-05
*RES
1 *8925:X *148:7 12.3179
2 *148:7 *8931:A 9.72857
3 *148:7 *8988:C1 27.5857
*END
*D_NET *149 0.00264781
*CONN
*I *8930:A I *D sky130_fd_sc_hd__or4_1
*I *8926:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8930:A 0.00101996
2 *8926:X 0.00101996
3 *8930:A *8926:A 0.000415671
4 *8930:A *8930:D 3.99614e-06
5 *8930:A *9225:D 0.000174195
6 *8930:A *153:8 1.40306e-05
*RES
1 *8926:X *8930:A 45.9571
*END
*D_NET *150 0.000736419
*CONN
*I *8930:B I *D sky130_fd_sc_hd__or4_1
*I *8927:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8930:B 0.000273784
2 *8927:X 0.000273784
3 *8930:B *8927:C 0.000124679
4 *8930:B *8930:C 6.0038e-05
5 *8930:B *9225:D 0
6 *8930:B *153:8 4.1331e-06
*RES
1 *8927:X *8930:B 33.1179
*END
*D_NET *151 0.00129402
*CONN
*I *8930:C I *D sky130_fd_sc_hd__or4_1
*I *8928:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8930:C 0.000588546
2 *8928:X 0.000588546
3 *8930:C *856:DIODE 0
4 *8930:C *8927:C 1.76135e-05
5 *8930:C *8927:D 0
6 *8930:C *8928:D 3.92757e-05
7 *8930:C *9217:D 0
8 *8930:C *153:8 0
9 *8930:B *8930:C 6.0038e-05
*RES
1 *8928:X *8930:C 37.8857
*END
*D_NET *152 0.00269864
*CONN
*I *8930:D I *D sky130_fd_sc_hd__or4_1
*I *8929:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8930:D 0.00117732
2 *8929:X 0.00117732
3 *8930:D *8927:C 8.03068e-05
4 *8930:D *8929:A 6.05161e-06
5 *8930:D *9227:D 0.00025364
6 *8930:A *8930:D 3.99614e-06
*RES
1 *8929:X *8930:D 33.1179
*END
*D_NET *153 0.00389622
*CONN
*I *8988:B1 I *D sky130_fd_sc_hd__a311o_1
*I *8931:B I *D sky130_fd_sc_hd__nor2_1
*I *8930:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8988:B1 7.40034e-05
2 *8931:B 0.000304835
3 *8930:X 0.00114313
4 *153:8 0.00152197
5 *8931:B *310:22 0.000144773
6 *8988:B1 *8988:A1 2.23592e-05
7 *153:8 *8928:A 5.5782e-05
8 *153:8 *8928:C 0
9 *153:8 *8928:D 0
10 *153:8 *8997:A 0
11 *153:8 *9001:A2 0
12 *153:8 *9217:D 0
13 *153:8 *309:8 0
14 *153:8 *309:13 0
15 *153:8 *309:112 0
16 *153:8 *309:121 0
17 *8930:A *153:8 1.40306e-05
18 *8930:B *153:8 4.1331e-06
19 *8930:C *153:8 0
20 *8988:C1 *8931:B 0.000412149
21 *8988:C1 *8988:B1 5.52238e-05
22 *9001:A1 *153:8 0
23 *9202:D *8931:B 5.90443e-06
24 *9202:D *8988:B1 2.09897e-05
25 *9202:D *153:8 0.000116928
*RES
1 *8930:X *153:8 35.2554
2 *153:8 *8931:B 21.5411
3 *153:8 *8988:B1 15.4518
*END
*D_NET *154 0.00450098
*CONN
*I *8995:C I *D sky130_fd_sc_hd__and3b_1
*I *8932:B I *D sky130_fd_sc_hd__and2_1
*I *8999:A I *D sky130_fd_sc_hd__and3_1
*I *8931:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *8995:C 0.000269751
2 *8932:B 5.45299e-05
3 *8999:A 0.000216063
4 *8931:Y 0.000565941
5 *154:8 0.000355971
6 *154:7 0.00092107
7 *8932:B *8933:A 9.60939e-05
8 *8932:B *310:62 9.60939e-05
9 *8995:C *8999:C 0.000173804
10 *8995:C *9200:CLK 0.000175502
11 *8995:C *9202:CLK 4.58194e-05
12 *8999:A *8933:A 0.000198698
13 *8999:A *8999:B 1.92905e-05
14 *8999:A *8999:C 0.000434488
15 *8999:A *9000:A 4.884e-05
16 *154:7 *310:22 2.99605e-05
17 *154:7 *486:12 0.000185394
18 *154:8 *8999:B 0
19 *154:8 *8999:C 0.000246049
20 *8932:A *8932:B 4.88637e-05
21 *8932:A *8995:C 3.25078e-05
22 *8932:A *154:8 0.000107494
23 *8988:C1 *154:7 0.000122091
24 *9001:A1 *8995:C 5.66647e-05
*RES
1 *8931:Y *154:7 20.9071
2 *154:7 *154:8 3.16071
3 *154:8 *8999:A 20.0857
4 *154:8 *8932:B 15.5679
5 *154:7 *8995:C 19.8714
*END
*D_NET *155 0.00175516
*CONN
*I *8933:A I *D sky130_fd_sc_hd__clkbuf_1
*I *8932:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *8933:A 0.000691953
2 *8932:X 0.000691953
3 *8933:A *8999:B 4.57445e-05
4 *8933:A *8999:C 1.08359e-05
5 *8933:A *310:62 1.98839e-05
6 *8932:B *8933:A 9.60939e-05
7 *8999:A *8933:A 0.000198698
*RES
1 *8932:X *8933:A 41.225
*END
*D_NET *156 0.000741963
*CONN
*I *8935:C I *D sky130_fd_sc_hd__or3_1
*I *8934:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8935:C 0.000343369
2 *8934:X 0.000343369
3 *8935:C *8934:B 0
4 *8935:C *8935:A 5.52238e-05
5 *8935:C *8935:B 0
*RES
1 *8934:X *8935:C 33.3679
*END
*D_NET *157 0.00205053
*CONN
*I *8969:C1 I *D sky130_fd_sc_hd__a211o_1
*I *8941:A I *D sky130_fd_sc_hd__nor2_1
*I *8935:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *8969:C1 0.000210798
2 *8941:A 6.52224e-05
3 *8935:X 0.000351823
4 *157:6 0.000627843
5 *8941:A *8969:B1 0.00010096
6 *8969:C1 *8943:A 1.24368e-05
7 *8969:C1 *8969:A1 0.000128161
8 *8969:C1 *8969:A2 5.7661e-06
9 *8969:C1 *8969:B1 0.000321745
10 *8969:C1 *316:43 4.87854e-05
11 *8969:C1 *516:8 0.000151333
12 *157:6 *8935:B 2.56601e-05
*RES
1 *8935:X *157:6 20.3
2 *157:6 *8941:A 15.1571
3 *157:6 *8969:C1 19.9786
*END
*D_NET *158 0.00368426
*CONN
*I *8940:A I *D sky130_fd_sc_hd__or4_1
*I *8936:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8940:A 0.00160268
2 *8936:X 0.00160268
3 *8940:A *863:DIODE 0.000129595
4 *8940:A *8936:D 0
5 *8940:A *8940:C 7.84126e-05
6 *8940:A *291:44 0.000270885
*RES
1 *8936:X *8940:A 49.6536
*END
*D_NET *159 0.00125442
*CONN
*I *8940:B I *D sky130_fd_sc_hd__or4_1
*I *8937:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8940:B 0.000460662
2 *8937:X 0.000460662
3 *8940:B *8937:A 3.92854e-05
4 *8940:B *8937:C 7.30305e-05
5 *8940:B *8940:C 5.41794e-05
6 *8940:B *8940:D 2.56694e-05
7 *8940:B *291:44 0.000140933
8 *8940:B *317:6 0
9 *8940:B *317:37 0
10 *8940:B *317:58 0
*RES
1 *8937:X *8940:B 36.3679
*END
*D_NET *160 0.00120236
*CONN
*I *8940:C I *D sky130_fd_sc_hd__or4_1
*I *8938:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8940:C 0.000422592
2 *8938:X 0.000422592
3 *8940:C *291:44 0.000224583
4 *8940:A *8940:C 7.84126e-05
5 *8940:B *8940:C 5.41794e-05
*RES
1 *8938:X *8940:C 36.2786
*END
*D_NET *161 0.00170784
*CONN
*I *8940:D I *D sky130_fd_sc_hd__or4_1
*I *8939:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *8940:D 0.000841086
2 *8939:X 0.000841086
3 *8940:B *8940:D 2.56694e-05
*RES
1 *8939:X *8940:D 37.8857
*END
*D_NET *162 0.00600356
*CONN
*I *8969:B1 I *D sky130_fd_sc_hd__a211o_1
*I *8941:B I *D sky130_fd_sc_hd__nor2_1
*I *8940:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8969:B1 0.000281269
2 *8941:B 0
3 *8940:X 0.002189
4 *162:13 0.00247027
5 *8969:B1 *8943:A 5.7616e-05
6 *8969:B1 *8969:A1 2.82057e-05
7 *8969:B1 *163:6 0
8 *162:13 *8937:C 0
9 *162:13 *9162:D 0
10 *162:13 *9164:CLK 0.000254375
11 *162:13 *9164:D 0.000128093
12 *162:13 *9182:D 2.89016e-05
13 *162:13 *291:44 4.37999e-05
14 *162:13 *316:16 9.9325e-05
15 *162:13 *317:16 0
16 *8941:A *8969:B1 0.00010096
17 *8969:C1 *8969:B1 0.000321745
*RES
1 *8940:X *162:13 47.7643
2 *162:13 *8941:B 9.3
3 *162:13 *8969:B1 25.175
*END
*D_NET *163 0.00363132
*CONN
*I *8976:C I *D sky130_fd_sc_hd__and3b_1
*I *8980:C I *D sky130_fd_sc_hd__and3b_1
*I *8983:C I *D sky130_fd_sc_hd__and3b_1
*I *8942:B I *D sky130_fd_sc_hd__and2b_1
*I *8941:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *8976:C 0.000296192
2 *8980:C 3.34103e-05
3 *8983:C 9.6302e-05
4 *8942:B 2.12584e-05
5 *8941:Y 0.000262413
6 *163:27 0.000507627
7 *163:18 0.000606614
8 *163:6 0.000615959
9 *8942:B *187:17 0.000142856
10 *8942:B *316:43 0.000142856
11 *8976:C *8981:A 7.83587e-05
12 *8976:C *185:12 7.80714e-06
13 *8976:C *185:16 7.02611e-05
14 *8976:C *316:54 9.40167e-05
15 *8980:C *8979:A 5.33005e-05
16 *8983:C *8983:B 5.30637e-06
17 *163:6 *8942:A_N 0
18 *163:6 *8943:A 3.57163e-05
19 *163:18 *8943:A 6.8551e-05
20 *163:27 *8943:A 0
21 *163:27 *185:12 2.8266e-06
22 *163:27 *186:8 0.000101545
23 *163:27 *316:54 0.000388146
24 *8969:B1 *163:6 0
*RES
1 *8941:Y *163:6 18.4786
2 *163:6 *8942:B 15.1571
3 *163:6 *163:18 10.0536
4 *163:18 *8983:C 10.6571
5 *163:18 *163:27 9.48214
6 *163:27 *8980:C 14.3357
7 *163:27 *8976:C 18.1393
*END
*D_NET *164 0.00325191
*CONN
*I *8943:A I *D sky130_fd_sc_hd__clkbuf_1
*I *8942:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *8943:A 0.00106089
2 *8942:X 0.00106089
3 *8943:A *8976:B 2.79092e-05
4 *8943:A *8981:A 0.000252373
5 *8943:A *9150:CLK 0.000225616
6 *8943:A *185:12 0.000148911
7 *8943:A *186:8 4.25716e-05
8 *8943:A *186:14 5.23497e-05
9 *8943:A *316:43 8.00431e-05
10 *8943:A *316:57 9.71197e-05
11 *8969:B1 *8943:A 5.7616e-05
12 *8969:C1 *8943:A 1.24368e-05
13 *9150:D *8943:A 0
14 *9151:D *8943:A 2.89114e-05
15 *163:6 *8943:A 3.57163e-05
16 *163:18 *8943:A 6.8551e-05
17 *163:27 *8943:A 0
*RES
1 *8942:X *8943:A 49.3679
*END
*D_NET *165 0.000468403
*CONN
*I *8946:A I *D sky130_fd_sc_hd__or2_1
*I *8944:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8946:A 0.000231298
2 *8944:X 0.000231298
3 *8946:A *8944:B 5.80706e-06
4 *8946:A *9619:CLK 0
5 *8946:A *171:10 0
*RES
1 *8944:X *8946:A 30.9036
*END
*D_NET *166 0.00173064
*CONN
*I *8946:B I *D sky130_fd_sc_hd__or2_1
*I *8945:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8946:B 0.000638399
2 *8945:X 0.000638399
3 *8946:B *8945:A 0
4 *8946:B *8945:B 5.80706e-06
5 *8946:B *8945:C 5.38886e-05
6 *8946:B *9649:D 2.27416e-05
7 *8946:B *9691:A 9.90367e-05
8 *8946:B *292:194 0.000272371
*RES
1 *8945:X *8946:B 39.9393
*END
*D_NET *167 0.00215147
*CONN
*I *9122:C1 I *D sky130_fd_sc_hd__a211oi_4
*I *8951:B I *D sky130_fd_sc_hd__nor3_1
*I *9144:A I *D sky130_fd_sc_hd__or3_1
*I *8946:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *9122:C1 0
2 *8951:B 0.000341203
3 *9144:A 0
4 *8946:X 0.000167775
5 *167:21 0.000435287
6 *167:7 0.000261859
7 *8951:B *8951:A 0.00010326
8 *8951:B *8951:C 0
9 *8951:B *294:24 0.00014285
10 *8951:B *545:18 0
11 *167:7 *171:15 5.33433e-05
12 *167:21 *9122:B1 3.48705e-05
13 *167:21 *171:15 0.000104611
14 *9122:A2 *167:21 0
15 *9144:C *167:7 5.16736e-05
16 *9144:C *167:21 0.000454741
*RES
1 *8946:X *167:7 12.7286
2 *167:7 *9144:A 9.3
3 *167:7 *167:21 4.78571
4 *167:21 *8951:B 24.6393
5 *167:21 *9122:C1 9.3
*END
*D_NET *168 0.00149671
*CONN
*I *8950:A I *D sky130_fd_sc_hd__or3_2
*I *8947:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8950:A 0.000695772
2 *8947:X 0.000695772
3 *8950:A *8947:C 0
4 *8950:A *9257:CLK 0
5 *8950:A *171:10 0.000105163
*RES
1 *8947:X *8950:A 40.2786
*END
*D_NET *169 0.00127148
*CONN
*I *8950:B I *D sky130_fd_sc_hd__or3_2
*I *8948:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8950:B 0.00063574
2 *8948:X 0.00063574
3 *8950:B *8948:A 0
4 *8950:B *171:10 0
*RES
1 *8948:X *8950:B 37.6
*END
*D_NET *170 0.00142566
*CONN
*I *8950:C I *D sky130_fd_sc_hd__or3_2
*I *8949:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8950:C 0.000635449
2 *8949:X 0.000635449
3 *8950:C *9629:CLK 0.000154762
4 *8950:C *292:207 0
*RES
1 *8949:X *8950:C 38.7071
*END
*D_NET *171 0.00443709
*CONN
*I *9122:B1 I *D sky130_fd_sc_hd__a211oi_4
*I *8951:C I *D sky130_fd_sc_hd__nor3_1
*I *9144:B I *D sky130_fd_sc_hd__or3_1
*I *8950:X O *D sky130_fd_sc_hd__or3_2
*CAP
1 *9122:B1 0.00010117
2 *8951:C 0.000210327
3 *9144:B 0
4 *8950:X 0.00131054
5 *171:15 0.000553919
6 *171:10 0.00155296
7 *8951:C *8951:A 3.79467e-05
8 *8946:A *171:10 0
9 *8950:A *171:10 0.000105163
10 *8950:B *171:10 0
11 *8951:B *8951:C 0
12 *9139:A *171:10 0
13 *9145:B1_N *171:10 0
14 *9618:D *171:10 0.000372248
15 *167:7 *171:15 5.33433e-05
16 *167:21 *9122:B1 3.48705e-05
17 *167:21 *171:15 0.000104611
*RES
1 *8950:X *171:10 42.05
2 *171:10 *9144:B 9.3
3 *171:10 *171:15 3.41071
4 *171:15 *8951:C 22.3714
5 *171:15 *9122:B1 1.61607
*END
*D_NET *172 0.00141116
*CONN
*I *8954:A I *D sky130_fd_sc_hd__or2_1
*I *8952:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8954:A 0.000664316
2 *8952:X 0.000664316
3 *8954:A *8952:A 5.83233e-05
4 *8954:A *8952:C 2.42082e-05
5 *8954:A *9596:D 0
*RES
1 *8952:X *8954:A 39.7429
*END
*D_NET *173 0.0011906
*CONN
*I *8954:B I *D sky130_fd_sc_hd__or2_1
*I *8953:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8954:B 0.000465606
2 *8953:X 0.000465606
3 *8954:B *8953:A 2.14658e-05
4 *8954:B *8953:B 9.80173e-05
5 *8954:B *8953:C 0.000139907
*RES
1 *8953:X *8954:B 35.725
*END
*D_NET *174 0.00263644
*CONN
*I *8959:B I *D sky130_fd_sc_hd__nor3_1
*I *9090:C1 I *D sky130_fd_sc_hd__a211oi_4
*I *9117:A I *D sky130_fd_sc_hd__or4b_1
*I *8954:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *8959:B 0.000200408
2 *9090:C1 0
3 *9117:A 4.55471e-05
4 *8954:X 0.000223835
5 *174:22 0.000453104
6 *174:8 0.000522078
7 *8959:B *8959:A 7.38748e-05
8 *8959:B *9517:CLK 0.000272371
9 *8959:B *270:6 0
10 *8959:B *574:17 4.46186e-06
11 *9117:A *9119:B1 5.52238e-05
12 *174:8 *9117:B 5.99125e-05
13 *174:8 *303:84 6.58195e-05
14 *174:22 *9117:C 6.97494e-05
15 *174:22 *9119:A1 0
16 *174:22 *9119:B1 0.000222666
17 *174:22 *574:17 0
18 *9117:D_N *8959:B 0
19 *9117:D_N *174:22 0.000367389
20 *9573:D *174:22 0
*RES
1 *8954:X *174:8 22.9786
2 *174:8 *9117:A 10.2643
3 *174:8 *174:22 15.8571
4 *174:22 *9090:C1 9.3
5 *174:22 *8959:B 23.1393
*END
*D_NET *175 0.00152877
*CONN
*I *8958:A I *D sky130_fd_sc_hd__or3_2
*I *8955:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *8958:A 0.000493896
2 *8955:X 0.000493896
3 *8958:A *8955:C 0
4 *8958:A *8958:B 7.43578e-06
5 *8958:A *8958:C 0.000304781
6 *8958:A *302:107 2.10446e-05
7 *8958:A *302:116 0.00020772
*RES
1 *8955:X *8958:A 39.0286
*END
*D_NET *176 0.0023307
*CONN
*I *8958:B I *D sky130_fd_sc_hd__or3_2
*I *8956:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8958:B 0.000716838
2 *8956:X 0.000716838
3 *8958:B *178:14 0.000889591
4 *8958:A *8958:B 7.43578e-06
*RES
1 *8956:X *8958:B 38.2071
*END
*D_NET *177 0.00139889
*CONN
*I *8958:C I *D sky130_fd_sc_hd__or3_2
*I *8957:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8958:C 0.0003711
2 *8957:X 0.0003711
3 *8958:C *8957:A 0.000145584
4 *8958:C *9578:CLK 7.71417e-05
5 *8958:C *9580:D 0
6 *8958:C *302:116 0.000129185
7 *8958:A *8958:C 0.000304781
*RES
1 *8957:X *8958:C 36.9929
*END
*D_NET *178 0.00511085
*CONN
*I *8959:C I *D sky130_fd_sc_hd__nor3_1
*I *9090:B1 I *D sky130_fd_sc_hd__a211oi_4
*I *9117:B I *D sky130_fd_sc_hd__or4b_1
*I *8958:X O *D sky130_fd_sc_hd__or3_2
*CAP
1 *8959:C 0.000213421
2 *9090:B1 0
3 *9117:B 0.000195613
4 *8958:X 0.001245
5 *178:16 0.000269094
6 *178:14 0.00153191
7 *9117:B *9119:B1 0.000107431
8 *9117:B *271:21 1.19402e-05
9 *9117:B *303:84 0
10 *178:14 *271:21 2.78729e-05
11 *178:14 *303:105 0.000120439
12 *178:16 *271:21 7.08001e-05
13 *8958:B *178:14 0.000889591
14 *9117:D_N *9117:B 0.00019451
15 *9117:D_N *178:14 4.35131e-05
16 *9117:D_N *178:16 0.000106542
17 *9572:D *178:14 2.32625e-05
18 *174:8 *9117:B 5.99125e-05
*RES
1 *8958:X *178:14 36.1571
2 *178:14 *178:16 2.55357
3 *178:16 *9117:B 19.3893
4 *178:16 *9090:B1 4.5
5 *178:14 *8959:C 17.2107
*END
*D_NET *179 0.00188165
*CONN
*I *8961:C I *D sky130_fd_sc_hd__or3_2
*I *8960:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8961:C 0.000755764
2 *8960:X 0.000755764
3 *8961:C *8960:C 0.000157807
4 *8961:C *312:59 0.000212314
*RES
1 *8960:X *8961:C 41.0107
*END
*D_NET *180 0.00468965
*CONN
*I *9081:C1 I *D sky130_fd_sc_hd__a211o_1
*I *8966:B I *D sky130_fd_sc_hd__nor3_1
*I *9052:C1 I *D sky130_fd_sc_hd__a311oi_4
*I *8961:X O *D sky130_fd_sc_hd__or3_2
*CAP
1 *9081:C1 0.000284933
2 *8966:B 0.000342528
3 *9052:C1 0
4 *8961:X 0.000491999
5 *180:17 0.000929637
6 *180:9 0.000794175
7 *8966:B *8966:A 0.000654859
8 *8966:B *9052:A1 5.33005e-05
9 *9081:C1 *9081:B1 2.00925e-05
10 *9081:C1 *184:12 0
11 *9081:C1 *242:13 0.000232399
12 *9081:C1 *291:87 0
13 *9081:C1 *351:16 3.17148e-05
14 *180:9 *8961:A 2.79092e-05
15 *180:9 *9074:B 0
16 *180:9 *9077:A 0
17 *180:9 *9562:D 0
18 *180:9 *9685:A 0.000257651
19 *180:9 *243:7 2.59355e-05
20 *180:9 *291:87 0.000509479
21 *180:17 *9052:B1 3.30352e-05
22 *9524:D *180:9 0
23 *14:11 *9081:C1 0
*RES
1 *8961:X *180:9 30.1929
2 *180:9 *9052:C1 9.3
3 *180:9 *180:17 4.96429
4 *180:17 *8966:B 15.9964
5 *180:17 *9081:C1 23.4875
*END
*D_NET *181 0.0019273
*CONN
*I *8965:A I *D sky130_fd_sc_hd__or3_2
*I *8962:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8965:A 0.000905444
2 *8962:X 0.000905444
3 *8965:A *8965:C 0.000103267
4 *8965:A *9528:D 0
5 *8965:A *291:75 1.3142e-05
*RES
1 *8962:X *8965:A 38.8143
*END
*D_NET *182 0.000514857
*CONN
*I *8965:B I *D sky130_fd_sc_hd__or3_2
*I *8963:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8965:B 0.000179369
2 *8963:X 0.000179369
3 *8965:B *8963:A 5.3116e-05
4 *8965:B *8965:C 2.51343e-06
5 *8965:B *291:75 0.00010049
*RES
1 *8963:X *8965:B 31.1
*END
*D_NET *183 0.00213493
*CONN
*I *8965:C I *D sky130_fd_sc_hd__or3_2
*I *8964:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *8965:C 0.000575203
2 *8964:X 0.000575203
3 *8965:C *9528:D 0
4 *8965:C *9550:D 0
5 *8965:C *291:75 0.000878742
6 *8965:A *8965:C 0.000103267
7 *8965:B *8965:C 2.51343e-06
*RES
1 *8964:X *8965:C 40.975
*END
*D_NET *184 0.00450005
*CONN
*I *9052:B1 I *D sky130_fd_sc_hd__a311oi_4
*I *8966:C I *D sky130_fd_sc_hd__nor3_1
*I *9081:B1 I *D sky130_fd_sc_hd__a211o_1
*I *8965:X O *D sky130_fd_sc_hd__or3_2
*CAP
1 *9052:B1 0.00017128
2 *8966:C 0.000148888
3 *9081:B1 0.000147398
4 *8965:X 0.00127076
5 *184:25 0.000320168
6 *184:12 0.00141815
7 *8966:C *8966:A 0.000179019
8 *8966:C *9052:A2 9.90431e-05
9 *9052:B1 *8966:A 0.000102207
10 *9052:B1 *9052:A1 2.72319e-05
11 *9081:B1 *9081:A1 6.8646e-06
12 *9081:B1 *242:13 2.14314e-05
13 *184:12 *9081:A1 6.8646e-06
14 *184:12 *9082:A1 4.25809e-05
15 *184:12 *9084:B 0
16 *184:12 *9526:CLK 0
17 *184:12 *9528:D 0
18 *184:12 *291:75 0
19 *184:12 *293:8 0
20 *184:12 *293:10 0
21 *184:12 *350:8 9.42298e-05
22 *184:12 *351:12 0
23 *184:12 *351:16 0
24 *9081:C1 *9081:B1 2.00925e-05
25 *9081:C1 *184:12 0
26 *14:11 *8966:C 0.000351529
27 *14:11 *9081:B1 3.92757e-05
28 *180:17 *9052:B1 3.30352e-05
*RES
1 *8965:X *184:12 36.675
2 *184:12 *9081:B1 16.4071
3 *184:12 *184:25 4.5
4 *184:25 *8966:C 13.9607
5 *184:25 *9052:B1 12.7286
*END
*D_NET *185 0.00393134
*CONN
*I *8979:B I *D sky130_fd_sc_hd__or2_1
*I *8976:A_N I *D sky130_fd_sc_hd__and3b_1
*I *8978:B I *D sky130_fd_sc_hd__and2_1
*I *8968:C I *D sky130_fd_sc_hd__and3_1
*I *8967:X O *D sky130_fd_sc_hd__and4_1
*CAP
1 *8979:B 0
2 *8976:A_N 0
3 *8978:B 0.00013108
4 *8968:C 0.000198002
5 *8967:X 0.000244276
6 *185:16 0.000570659
7 *185:12 0.000463474
8 *185:5 0.000466172
9 *8968:C *8968:A 0
10 *8968:C *8968:B 0
11 *8968:C *186:8 0.00010096
12 *8968:C *316:54 0.000226021
13 *8978:B *8979:A 0.000447622
14 *8978:B *8980:A_N 0.000360894
15 *185:12 *8979:A 0.000229456
16 *185:12 *8981:A 6.42242e-05
17 *185:16 *316:54 0.000198698
18 *185:16 *514:8 0
19 *8943:A *185:12 0.000148911
20 *8976:C *185:12 7.80714e-06
21 *8976:C *185:16 7.02611e-05
22 *163:27 *185:12 2.8266e-06
*RES
1 *8967:X *185:5 13.1214
2 *185:5 *185:12 13.9464
3 *185:12 *185:16 9.23214
4 *185:16 *8968:C 18.2821
5 *185:16 *8978:B 18.8714
6 *185:12 *8976:A_N 9.3
7 *185:5 *8979:B 9.3
*END
*D_NET *186 0.00166103
*CONN
*I *8983:A_N I *D sky130_fd_sc_hd__and3b_1
*I *8969:A2 I *D sky130_fd_sc_hd__a211o_1
*I *8985:A2 I *D sky130_fd_sc_hd__o21ba_1
*I *8968:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *8983:A_N 0
2 *8969:A2 2.30644e-05
3 *8985:A2 5.36218e-05
4 *8968:X 0.000240628
5 *186:14 0.000223283
6 *186:8 0.000387225
7 *8969:A2 *316:43 1.28984e-05
8 *8985:A2 *8969:A1 0.000142797
9 *8985:A2 *8985:B1_N 5.80217e-05
10 *8985:A2 *516:8 1.02504e-05
11 *186:8 *316:54 7.33494e-06
12 *186:14 *8969:A1 3.17148e-05
13 *186:14 *8985:B1_N 4.97121e-06
14 *186:14 *316:54 0.000162027
15 *8943:A *186:8 4.25716e-05
16 *8943:A *186:14 5.23497e-05
17 *8968:C *186:8 0.00010096
18 *8969:C1 *8969:A2 5.7661e-06
19 *163:27 *186:8 0.000101545
*RES
1 *8968:X *186:8 17.6214
2 *186:8 *186:14 3.82143
3 *186:14 *8985:A2 15.7464
4 *186:14 *8969:A2 14.2688
5 *186:8 *8983:A_N 13.8
*END
*D_NET *187 0.00509028
*CONN
*I *8974:B I *D sky130_fd_sc_hd__nor3_1
*I *8971:A I *D sky130_fd_sc_hd__nor2_1
*I *9147:B I *D sky130_fd_sc_hd__nor2_1
*I *8985:B1_N I *D sky130_fd_sc_hd__o21ba_1
*I *8969:X O *D sky130_fd_sc_hd__a211o_1
*CAP
1 *8974:B 0.000166562
2 *8971:A 0.000616744
3 *9147:B 0.000183881
4 *8985:B1_N 0.000177021
5 *8969:X 0
6 *187:25 0.000910978
7 *187:17 0.00105685
8 *187:4 0.000922323
9 *8971:A *316:7 3.55552e-05
10 *8974:B *8974:A 5.33433e-05
11 *8974:B *8974:C 3.57366e-05
12 *8974:B *316:86 0
13 *8985:B1_N *8984:A 0
14 *8985:B1_N *9152:CLK 1.92905e-05
15 *8985:B1_N *316:54 4.35131e-05
16 *9147:B *9689:A 0
17 *9147:B *316:8 0
18 *9147:B *316:12 0
19 *187:17 *9152:CLK 1.02821e-05
20 *187:17 *316:43 0.00049194
21 *187:25 *9689:A 0
22 *187:25 *316:8 0
23 *187:25 *316:86 0
24 *8942:B *187:17 0.000142856
25 *8985:A2 *8985:B1_N 5.80217e-05
26 *9148:D *8971:A 5.71472e-05
27 *9662:D *9147:B 0.00010326
28 *186:14 *8985:B1_N 4.97121e-06
*RES
1 *8969:X *187:4 9.3
2 *187:4 *8985:B1_N 21.9964
3 *187:4 *187:17 14.8929
4 *187:17 *9147:B 16.9607
5 *187:17 *187:25 2.25
6 *187:25 *8971:A 23.3893
7 *187:25 *8974:B 16.8179
*END
*D_NET *188 0.00127602
*CONN
*I *8971:B I *D sky130_fd_sc_hd__nor2_1
*I *8970:Y O *D sky130_fd_sc_hd__xnor2_1
*CAP
1 *8971:B 0.000544677
2 *8970:Y 0.000544677
3 *8971:B *492:9 0.000186662
4 *8971:B *492:28 0
*RES
1 *8970:Y *8971:B 35.7964
*END
*D_NET *189 0.00181694
*CONN
*I *8975:B I *D sky130_fd_sc_hd__or2_1
*I *8974:A I *D sky130_fd_sc_hd__nor3_1
*I *8972:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *8975:B 0.00018716
2 *8974:A 0.000330201
3 *8972:X 0
4 *189:5 0.000517361
5 *8974:A *8967:B 0
6 *8974:A *8967:C 0
7 *8974:A *8974:C 9.61455e-05
8 *8974:A *9149:CLK 0.000175519
9 *8974:A *316:89 5.33005e-05
10 *8974:A *503:17 0.000245349
11 *8974:A *503:35 6.18948e-05
12 *8975:B *8967:B 0
13 *8975:B *492:41 0
14 *8975:B *503:35 4.1331e-06
15 *8974:B *8974:A 5.33433e-05
16 *9149:D *8974:A 9.25281e-05
*RES
1 *8972:X *189:5 13.8
2 *189:5 *8974:A 22.3536
3 *189:5 *8975:B 17.2643
*END
*D_NET *190 0.00199719
*CONN
*I *8974:C I *D sky130_fd_sc_hd__nor3_1
*I *8973:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *8974:C 0.000657447
2 *8973:Y 0.000657447
3 *8974:C *316:89 0.000485543
4 *8974:C *492:28 6.04069e-05
5 *8974:C *492:41 0
6 *8974:C *512:9 0
7 *8974:A *8974:C 9.61455e-05
8 *8974:B *8974:C 3.57366e-05
9 *9148:D *8974:C 4.46186e-06
*RES
1 *8973:Y *8974:C 40.2071
*END
*D_NET *191 0.00149141
*CONN
*I *8976:B I *D sky130_fd_sc_hd__and3b_1
*I *8975:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *8976:B 0.000645007
2 *8975:X 0.000645007
3 *8976:B *8975:A 2.47833e-05
4 *8976:B *513:7 4.05411e-05
5 *8943:A *8976:B 2.79092e-05
6 *9150:D *8976:B 0.000108161
*RES
1 *8975:X *8976:B 36.1536
*END
*D_NET *192 0.000433522
*CONN
*I *8977:A I *D sky130_fd_sc_hd__clkbuf_1
*I *8976:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *8977:A 0.000216761
2 *8976:X 0.000216761
*RES
1 *8976:X *8977:A 22.3321
*END
*D_NET *193 0.00125608
*CONN
*I *8980:A_N I *D sky130_fd_sc_hd__and3b_1
*I *8982:B I *D sky130_fd_sc_hd__or2_1
*I *8978:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *8980:A_N 0.000216325
2 *8982:B 0.000213415
3 *8978:X 0
4 *193:4 0.00042974
5 *8982:B *8968:A 0
6 *8982:B *8968:B 3.5707e-05
7 *8978:B *8980:A_N 0.000360894
*RES
1 *8978:X *193:4 9.3
2 *193:4 *8982:B 22.3714
3 *193:4 *8980:A_N 13.5321
*END
*D_NET *194 0.000539365
*CONN
*I *8980:B I *D sky130_fd_sc_hd__and3b_1
*I *8979:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *8980:B 0.000186474
2 *8979:X 0.000186474
3 *8980:B *8979:A 0.000166416
*RES
1 *8979:X *8980:B 22.0286
*END
*D_NET *195 0.000971554
*CONN
*I *8981:A I *D sky130_fd_sc_hd__clkbuf_1
*I *8980:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *8981:A 0.00023088
2 *8980:X 0.00023088
3 *8981:A *316:54 0.000114838
4 *8943:A *8981:A 0.000252373
5 *8976:C *8981:A 7.83587e-05
6 *185:12 *8981:A 6.42242e-05
*RES
1 *8980:X *8981:A 33.7429
*END
*D_NET *196 0.000641265
*CONN
*I *8983:B I *D sky130_fd_sc_hd__and3b_1
*I *8982:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *8983:B 0.000142463
2 *8982:X 0.000142463
3 *8983:B *8982:A 7.77652e-05
4 *8983:B *8984:A 0.000273269
5 *8983:C *8983:B 5.30637e-06
*RES
1 *8982:X *8983:B 22.4393
*END
*D_NET *197 0.00119746
*CONN
*I *8984:A I *D sky130_fd_sc_hd__clkbuf_1
*I *8983:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *8984:A 0.000428412
2 *8983:X 0.000428412
3 *8984:A *8982:A 1.39841e-05
4 *8984:A *515:8 0
5 *8983:B *8984:A 0.000273269
6 *8985:B1_N *8984:A 0
7 *9152:D *8984:A 5.33882e-05
*RES
1 *8983:X *8984:A 34.6714
*END
*D_NET *198 0.00254383
*CONN
*I *8993:A I *D sky130_fd_sc_hd__nor3_1
*I *8987:B I *D sky130_fd_sc_hd__and2_1
*I *8994:B I *D sky130_fd_sc_hd__or2_1
*I *8986:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *8993:A 0.000172648
2 *8987:B 0.000101859
3 *8994:B 0.000216244
4 *8986:X 0.000103262
5 *198:12 0.000594578
6 *198:6 0.000552384
7 *8987:B *8996:A 4.58194e-05
8 *8993:A *8993:C 0.000276211
9 *8994:B *8987:A 0.000125731
10 *8994:B *8994:A 0.000275809
11 *198:6 *8993:C 7.92879e-05
12 *9200:D *8994:B 0
*RES
1 *8986:X *198:6 16.05
2 *198:6 *198:12 5.03571
3 *198:12 *8994:B 19.0857
4 *198:12 *8987:B 15.5857
5 *198:6 *8993:A 17.2107
*END
*D_NET *199 0.00252572
*CONN
*I *8988:A3 I *D sky130_fd_sc_hd__a311o_1
*I *8998:B I *D sky130_fd_sc_hd__or2_1
*I *8997:B I *D sky130_fd_sc_hd__nand2_1
*I *8995:A_N I *D sky130_fd_sc_hd__and3b_1
*I *8987:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *8988:A3 0.000172819
2 *8998:B 0
3 *8997:B 0.000277298
4 *8995:A_N 0.000124508
5 *8987:X 0.000275748
6 *199:7 0.000401806
7 *199:5 0.000448567
8 *8988:A3 *8988:A2 5.49489e-05
9 *8988:A3 *8998:A 0.000356028
10 *8988:A3 *200:8 0.000136039
11 *8995:A_N *8999:C 0
12 *8997:B *9001:B1 0.000126629
13 *8997:B *200:8 0.000151333
14 *9001:A1 *8995:A_N 0
15 *9001:A1 *8997:B 0
*RES
1 *8987:X *199:5 13.5321
2 *199:5 *199:7 4.5
3 *199:7 *8995:A_N 15.7464
4 *199:7 *8997:B 19.55
5 *199:5 *8998:B 9.3
6 *199:5 *8988:A3 14.3714
*END
*D_NET *200 0.00475753
*CONN
*I *8991:A I *D sky130_fd_sc_hd__nor2_1
*I *8993:B I *D sky130_fd_sc_hd__nor3_1
*I *8989:B I *D sky130_fd_sc_hd__nor2_1
*I *9001:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *8988:X O *D sky130_fd_sc_hd__a311o_1
*CAP
1 *8991:A 0.000320161
2 *8993:B 0.000174051
3 *8989:B 3.38401e-05
4 *9001:B1 0.000476622
5 *8988:X 0.00037297
6 *200:28 0.000539125
7 *200:19 0.000181103
8 *200:8 0.000951943
9 *8991:A *8989:A 3.57163e-05
10 *8991:A *8990:B 2.00751e-05
11 *8991:A *8991:B 3.84291e-05
12 *8991:A *309:8 0
13 *8991:A *464:8 0
14 *8993:B *8989:A 9.90367e-05
15 *8993:B *8993:C 2.27416e-05
16 *200:28 *8989:A 1.19309e-05
17 *8988:A3 *200:8 0.000136039
18 *8997:B *9001:B1 0.000126629
19 *8997:B *200:8 0.000151333
20 *9001:A1 *9001:B1 0.000316134
21 *9001:A1 *200:8 0
22 *9197:D *8991:A 0.000536795
23 *9198:D *8991:A 0.000212851
*RES
1 *8988:X *200:8 19.7643
2 *200:8 *9001:B1 20.5143
3 *200:8 *200:19 1.64286
4 *200:19 *8989:B 14.3357
5 *200:19 *200:28 0.732143
6 *200:28 *8993:B 16.8
7 *200:28 *8991:A 23.5679
*END
*D_NET *201 0.00121641
*CONN
*I *8991:B I *D sky130_fd_sc_hd__nor2_1
*I *8990:Y O *D sky130_fd_sc_hd__xnor2_1
*CAP
1 *8991:B 0.000391163
2 *8990:Y 0.000391163
3 *8991:B *8992:A2 0
4 *8991:B *464:8 0
5 *8991:B *464:11 9.33978e-07
6 *8991:A *8991:B 3.84291e-05
7 *9198:D *8991:B 0.000394719
*RES
1 *8990:Y *8991:B 35.4571
*END
*D_NET *202 0.00178312
*CONN
*I *8993:C I *D sky130_fd_sc_hd__nor3_1
*I *8992:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *8993:C 0.000536223
2 *8992:Y 0.000536223
3 *8993:C *8986:C 0
4 *8993:C *8989:A 0
5 *8993:C *8992:A1 0.000202842
6 *8993:C *8992:B1 5.30637e-06
7 *8993:C *475:11 0.000101545
8 *8993:A *8993:C 0.000276211
9 *8993:B *8993:C 2.27416e-05
10 *9199:D *8993:C 2.27416e-05
11 *198:6 *8993:C 7.92879e-05
*RES
1 *8992:Y *8993:C 39.7429
*END
*D_NET *203 0.000730856
*CONN
*I *8995:B I *D sky130_fd_sc_hd__and3b_1
*I *8994:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *8995:B 0.000264852
2 *8994:X 0.000264852
3 *8995:B *8994:A 2.56601e-05
4 *8995:B *9200:CLK 0.000175493
*RES
1 *8994:X *8995:B 31.6357
*END
*D_NET *204 0.00132216
*CONN
*I *8996:A I *D sky130_fd_sc_hd__clkbuf_1
*I *8995:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *8996:A 0.000532441
2 *8995:X 0.000532441
3 *8996:A *8987:A 9.22948e-05
4 *8996:A *8994:A 9.25014e-06
5 *8996:A *9200:CLK 0.000109918
6 *8987:B *8996:A 4.58194e-05
*RES
1 *8995:X *8996:A 35.7786
*END
*D_NET *205 0.00254777
*CONN
*I *9001:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *8999:B I *D sky130_fd_sc_hd__and3_1
*I *8997:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *9001:A2 9.15951e-05
2 *8999:B 0.000405834
3 *8997:Y 7.70538e-05
4 *205:7 0.000574483
5 *8999:B *8997:A 0.000172091
6 *8999:B *9661:CLK 0.000172815
7 *8999:B *310:22 0.000123946
8 *8999:B *486:12 0.000161679
9 *9001:A2 *8997:A 0.00010038
10 *205:7 *8997:A 2.89114e-05
11 *8932:A *8999:B 0.000142307
12 *8933:A *8999:B 4.57445e-05
13 *8988:C1 *8999:B 0
14 *8999:A *8999:B 1.92905e-05
15 *9001:A1 *8999:B 0.000196282
16 *9001:A1 *9001:A2 0.000225365
17 *9001:A1 *205:7 9.99644e-06
18 *153:8 *9001:A2 0
19 *154:8 *8999:B 0
*RES
1 *8997:Y *205:7 14.7464
2 *205:7 *8999:B 25.0857
3 *205:7 *9001:A2 16.6036
*END
*D_NET *206 0.00215292
*CONN
*I *8999:C I *D sky130_fd_sc_hd__and3_1
*I *8998:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *8999:C 0.000450367
2 *8998:X 0.000450367
3 *8999:C *9000:A 9.99644e-06
4 *8999:C *310:62 0.0002253
5 *8999:C *310:75 0.000117903
6 *8933:A *8999:C 1.08359e-05
7 *8995:A_N *8999:C 0
8 *8995:C *8999:C 0.000173804
9 *8999:A *8999:C 0.000434488
10 *9001:A1 *8999:C 3.38136e-05
11 *154:8 *8999:C 0.000246049
*RES
1 *8998:X *8999:C 39.5821
*END
*D_NET *207 0.00154764
*CONN
*I *9000:A I *D sky130_fd_sc_hd__clkbuf_1
*I *8999:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *9000:A 0.000744402
2 *8999:X 0.000744402
3 *9000:A *8994:A 0
4 *9000:A *310:62 0
5 *8999:A *9000:A 4.884e-05
6 *8999:C *9000:A 9.99644e-06
*RES
1 *8999:X *9000:A 37.5464
*END
*D_NET *208 0.00435584
*CONN
*I *9014:B I *D sky130_fd_sc_hd__or2_1
*I *9003:B I *D sky130_fd_sc_hd__and2_1
*I *9012:A_N I *D sky130_fd_sc_hd__and3b_1
*I *9002:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *9014:B 0.000236083
2 *9003:B 0
3 *9012:A_N 0.000284574
4 *9002:X 0
5 *208:16 0.000649813
6 *208:4 0.000698305
7 *9012:A_N *9002:A 0.00014285
8 *9012:A_N *447:28 0.000393949
9 *9014:B *9003:A 0.000702563
10 *9014:B *209:13 4.59075e-05
11 *208:16 *9006:A 0
12 *208:16 *9011:A1 5.48581e-05
13 *208:16 *292:146 0.000927115
14 *208:16 *297:12 0
15 *144:32 *208:16 0
16 *144:49 *9014:B 0.000219828
*RES
1 *9002:X *208:4 9.3
2 *208:4 *9012:A_N 14.7821
3 *208:4 *208:16 20.6607
4 *208:16 *9003:B 9.3
5 *208:16 *9014:B 16.8179
*END
*D_NET *209 0.00332124
*CONN
*I *9017:B I *D sky130_fd_sc_hd__or2_1
*I *9015:A_N I *D sky130_fd_sc_hd__and3b_1
*I *9004:B I *D sky130_fd_sc_hd__nand2_1
*I *9003:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *9017:B 0.000509616
2 *9015:A_N 0
3 *9004:B 0.0001063
4 *9003:X 0
5 *209:13 0.000791602
6 *209:4 0.000388286
7 *9004:B *292:146 0.000227736
8 *9017:B *9003:A 5.52238e-05
9 *9017:B *9004:A 0
10 *9017:B *9005:C 0
11 *9017:B *9015:B 4.38128e-05
12 *9017:B *9017:A 0
13 *9017:B *297:67 0.00025263
14 *9017:B *297:79 0.000227014
15 *9017:B *457:9 0
16 *9017:B *458:8 0
17 *209:13 *9003:A 0.000486441
18 *209:13 *9015:B 0.000186669
19 *9014:B *209:13 4.59075e-05
20 *9251:D *9017:B 0
21 *113:9 *9004:B 0
22 *144:41 *9017:B 0
*RES
1 *9003:X *209:4 9.3
2 *209:4 *9004:B 21.1571
3 *209:4 *209:13 6.28571
4 *209:13 *9015:A_N 9.3
5 *209:13 *9017:B 29.2821
*END
*D_NET *210 0.0034362
*CONN
*I *9018:B I *D sky130_fd_sc_hd__and3_1
*I *9005:C I *D sky130_fd_sc_hd__and3b_1
*I *9004:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *9018:B 0.000653073
2 *9005:C 0.000212199
3 *9004:Y 0.000291237
4 *210:5 0.00115651
5 *9005:C *9016:A 0.000200413
6 *9005:C *297:12 0.000152919
7 *9018:B *9004:A 0.000183526
8 *9018:B *9018:C 1.40415e-05
9 *210:5 *9004:A 1.65169e-05
10 *210:5 *297:36 0.000172536
11 *9017:B *9005:C 0
12 *9251:D *9005:C 8.32171e-05
13 *9251:D *9018:B 4.87669e-06
14 *144:32 *9005:C 0.000108118
15 *144:41 *9005:C 0.000187019
*RES
1 *9004:Y *210:5 13.1214
2 *210:5 *9005:C 25.0321
3 *210:5 *9018:B 17.6393
*END
*D_NET *211 0.000912918
*CONN
*I *9006:A I *D sky130_fd_sc_hd__clkbuf_1
*I *9005:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *9006:A 0.000224019
2 *9005:X 0.000224019
3 *9006:A *297:12 0.00020042
4 *9248:D *9006:A 0.000175512
5 *144:32 *9006:A 8.89481e-05
6 *208:16 *9006:A 0
*RES
1 *9005:X *9006:A 33.3321
*END
*D_NET *212 0.00127772
*CONN
*I *9009:B I *D sky130_fd_sc_hd__and3_1
*I *9007:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *9009:B 0.00045252
2 *9007:Y 0.00045252
3 *9009:B *9009:C 1.46768e-06
4 *9009:B *9249:CLK 6.74815e-05
5 *9009:B *447:28 0.000149784
6 *9009:A *9009:B 0.00014789
7 *9249:D *9009:B 0
8 *144:32 *9009:B 6.05161e-06
*RES
1 *9007:Y *9009:B 35.4214
*END
*D_NET *213 0.00030724
*CONN
*I *9009:C I *D sky130_fd_sc_hd__and3_1
*I *9008:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *9009:C 0.000124313
2 *9008:X 0.000124313
3 *9009:C *9008:B 0
4 *9009:C *292:272 5.71472e-05
5 *9009:B *9009:C 1.46768e-06
*RES
1 *9008:X *9009:C 29.3679
*END
*D_NET *214 0.000437304
*CONN
*I *9010:A I *D sky130_fd_sc_hd__clkbuf_1
*I *9009:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *9010:A 0.000207281
2 *9009:X 0.000207281
3 *9249:D *9010:A 2.27416e-05
*RES
1 *9009:X *9010:A 22.3321
*END
*D_NET *215 0.00028595
*CONN
*I *9012:B I *D sky130_fd_sc_hd__and3b_1
*I *9011:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *9012:B 7.53904e-05
2 *9011:X 7.53904e-05
3 *9012:B *9011:B1 2.79092e-05
4 *9012:B *436:20 2.89016e-05
5 *144:32 *9012:B 7.83587e-05
*RES
1 *9011:X *9012:B 29.1714
*END
*D_NET *216 0.00115342
*CONN
*I *9013:A I *D sky130_fd_sc_hd__clkbuf_1
*I *9012:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *9013:A 0.000308169
2 *9012:X 0.000308169
3 *9013:A *9008:B 0.000131247
4 *9013:A *9011:B1 7.83659e-05
5 *9009:A *9013:A 0.000327466
*RES
1 *9012:X *9013:A 35.1
*END
*D_NET *217 0.00114785
*CONN
*I *9015:B I *D sky130_fd_sc_hd__and3b_1
*I *9014:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *9015:B 0.000374071
2 *9014:X 0.000374071
3 *9015:B *9003:A 0.000146591
4 *9015:B *457:9 1.74352e-05
5 *9017:B *9015:B 4.38128e-05
6 *144:49 *9015:B 5.19842e-06
7 *209:13 *9015:B 0.000186669
*RES
1 *9014:X *9015:B 24.0643
*END
*D_NET *218 0.00113653
*CONN
*I *9016:A I *D sky130_fd_sc_hd__clkbuf_1
*I *9015:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *9016:A 0.000252176
2 *9015:X 0.000252176
3 *9016:A *292:146 0
4 *9016:A *292:150 0
5 *9016:A *292:157 1.92789e-05
6 *9016:A *297:8 0.00012401
7 *9016:A *297:12 0.000150625
8 *9016:A *297:67 0
9 *9005:C *9016:A 0.000200413
10 *9251:D *9016:A 0.000137855
*RES
1 *9015:X *9016:A 34.6357
*END
*D_NET *219 0.00181953
*CONN
*I *9018:C I *D sky130_fd_sc_hd__and3_1
*I *9017:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *9018:C 0.000689546
2 *9017:X 0.000689546
3 *9018:C *9004:A 0
4 *9018:C *9017:A 8.90199e-05
5 *9018:C *9695:A 0
6 *9018:C *458:8 0
7 *8921:B *9018:C 0.00020212
8 *8922:A *9018:C 0.00012501
9 *9018:B *9018:C 1.40415e-05
10 *9252:D *9018:C 0
11 *144:49 *9018:C 1.02504e-05
*RES
1 *9017:X *9018:C 39.1357
*END
*D_NET *220 0.000433522
*CONN
*I *9019:A I *D sky130_fd_sc_hd__clkbuf_1
*I *9018:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *9019:A 0.000216761
2 *9018:X 0.000216761
*RES
1 *9018:X *9019:A 22.3321
*END
*D_NET *221 0.000737445
*CONN
*I *9021:A I *D sky130_fd_sc_hd__clkbuf_1
*I *9020:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *9021:A 0.000330314
2 *9020:X 0.000330314
3 *9021:A *9300:CLK 2.34204e-05
4 *9300:D *9021:A 5.33978e-05
*RES
1 *9020:X *9021:A 33.0286
*END
*D_NET *222 0.00181665
*CONN
*I *9032:A1 I *D sky130_fd_sc_hd__o211a_1
*I *9024:B I *D sky130_fd_sc_hd__and3_1
*I *9022:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *9032:A1 0
2 *9024:B 0.000443981
3 *9022:Y 0.000104162
4 *222:10 0.000548143
5 *9024:B *9025:A 8.90199e-05
6 *9024:B *9032:A2 0.00014285
7 *9024:B *9664:A 0.000140933
8 *9024:B *419:8 0
9 *222:10 *9022:B 5.71472e-05
10 *222:10 *9032:B1 0.000131251
11 *222:10 *419:8 0
12 *9032:C1 *9024:B 0
13 *9301:D *9024:B 9.24299e-05
14 *9303:D *222:10 3.47737e-05
15 *135:26 *9024:B 3.19626e-05
*RES
1 *9022:Y *222:10 21.0857
2 *222:10 *9024:B 26.7286
3 *222:10 *9032:A1 9.3
*END
*D_NET *223 0.000282678
*CONN
*I *9024:C I *D sky130_fd_sc_hd__and3_1
*I *9023:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *9024:C 0.000135497
2 *9023:X 0.000135497
3 *9024:C *408:10 0
4 *135:26 *9024:C 1.16848e-05
*RES
1 *9023:X *9024:C 29.3679
*END
*D_NET *224 0.000482487
*CONN
*I *9025:A I *D sky130_fd_sc_hd__clkbuf_1
*I *9024:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *9025:A 7.53227e-05
2 *9024:X 7.53227e-05
3 *9024:B *9025:A 8.90199e-05
4 *135:26 *9025:A 0.000242822
*RES
1 *9024:X *9025:A 21.5107
*END
*D_NET *225 0.00227089
*CONN
*I *9028:B I *D sky130_fd_sc_hd__and3_1
*I *9026:Y O *D sky130_fd_sc_hd__nand3_1
*CAP
1 *9028:B 0.00033762
2 *9026:Y 0.00033762
3 *9028:B *9028:C 0.000650854
4 *9028:B *9029:A 9.9784e-05
5 *9028:A *9028:B 0.000748714
6 *135:36 *9028:B 4.00276e-06
7 *135:37 *9028:B 9.22948e-05
*RES
1 *9026:Y *9028:B 39.2964
*END
*D_NET *226 0.00189569
*CONN
*I *9028:C I *D sky130_fd_sc_hd__and3_1
*I *9027:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *9028:C 0.000240859
2 *9027:X 0.000240859
3 *9028:C *9029:A 0.000723106
4 *9028:A *9028:C 4.00121e-05
5 *9028:B *9028:C 0.000650854
*RES
1 *9027:X *9028:C 37.5643
*END
*D_NET *227 0.00230816
*CONN
*I *9029:A I *D sky130_fd_sc_hd__clkbuf_1
*I *9028:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *9029:A 0.000547315
2 *9028:X 0.000547315
3 *9029:A *313:10 0
4 *9028:A *9029:A 1.00375e-05
5 *9028:B *9029:A 9.9784e-05
6 *9028:C *9029:A 0.000723106
7 *9302:D *9029:A 0.000352731
8 *135:37 *9029:A 2.78729e-05
*RES
1 *9028:X *9029:A 42.5464
*END
*D_NET *228 0.00271937
*CONN
*I *9032:A2 I *D sky130_fd_sc_hd__o211a_1
*I *9030:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *9032:A2 0.000764484
2 *9030:Y 0.000764484
3 *9032:A2 *9030:B 7.32272e-05
4 *9032:A2 *9664:A 0.000145019
5 *9032:A2 *291:9 0.000691792
6 *9032:A2 *291:59 0.000137515
7 *9024:B *9032:A2 0.00014285
*RES
1 *9030:Y *9032:A2 35.1714
*END
*D_NET *229 0.00107945
*CONN
*I *9032:B1 I *D sky130_fd_sc_hd__o211a_1
*I *9031:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *9032:B1 0.000375737
2 *9031:X 0.000375737
3 *9032:B1 *840:DIODE 0
4 *9032:B1 *9022:B 0.000190917
5 *9032:C1 *9032:B1 5.80706e-06
6 *222:10 *9032:B1 0.000131251
*RES
1 *9031:X *9032:B1 33.3679
*END
*D_NET *230 0.000962795
*CONN
*I *9034:A I *D sky130_fd_sc_hd__clkbuf_1
*I *9033:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *9034:A 0.00030191
2 *9033:X 0.00030191
3 *9034:A *9354:CLK 3.30237e-05
4 *9034:A *9372:D 0.000153054
5 *9033:B *9034:A 9.71197e-05
6 *9353:D *9034:A 7.57773e-05
7 *9354:D *9034:A 0
8 *126:12 *9034:A 0
*RES
1 *9033:X *9034:A 33.4393
*END
*D_NET *231 0.00135006
*CONN
*I *9037:B I *D sky130_fd_sc_hd__and3_1
*I *9035:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *9037:B 0.000454504
2 *9035:X 0.000454504
3 *9037:B *232:8 0
4 *9037:B *391:8 3.92854e-05
5 *9040:A *9037:B 0
6 *126:21 *9037:B 0.000401764
*RES
1 *9035:X *9037:B 34.8857
*END
*D_NET *232 0.00151415
*CONN
*I *9039:B I *D sky130_fd_sc_hd__xnor2_1
*I *9037:C I *D sky130_fd_sc_hd__and3_1
*I *9036:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *9039:B 6.05511e-05
2 *9037:C 9.92374e-05
3 *9036:Y 0.000325222
4 *232:8 0.00048501
5 *9037:C *9038:A 9.71197e-05
6 *9037:C *9039:A 0
7 *232:8 *9033:A_N 0
8 *232:8 *9036:A 6.39262e-05
9 *232:8 *9036:B 0
10 *232:8 *391:8 3.47737e-05
11 *9037:A *9037:C 0
12 *9037:B *232:8 0
13 *9354:D *232:8 0.000103266
14 *126:21 *9037:C 5.83786e-05
15 *126:21 *9039:B 0.000186669
*RES
1 *9036:Y *232:8 24.8
2 *232:8 *9037:C 11.6571
3 *232:8 *9039:B 11.0679
*END
*D_NET *233 0.000443525
*CONN
*I *9038:A I *D sky130_fd_sc_hd__clkbuf_1
*I *9037:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *9038:A 0.000153565
2 *9037:X 0.000153565
3 *8901:B *9038:A 0
4 *9037:C *9038:A 9.71197e-05
5 *9354:D *9038:A 3.92757e-05
*RES
1 *9037:X *9038:A 30.1893
*END
*D_NET *234 0.000981824
*CONN
*I *9040:B I *D sky130_fd_sc_hd__and2_1
*I *9039:Y O *D sky130_fd_sc_hd__xnor2_1
*CAP
1 *9040:B 0.000240154
2 *9039:Y 0.000240154
3 *9040:B *9039:A 4.72917e-05
4 *9040:B *9041:A 0.000106299
5 *9040:B *400:8 7.83659e-05
6 *9040:A *9040:B 0.000170523
7 *126:21 *9040:B 9.90367e-05
*RES
1 *9039:Y *9040:B 33.4393
*END
*D_NET *235 0.000641062
*CONN
*I *9041:A I *D sky130_fd_sc_hd__clkbuf_1
*I *9040:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *9041:A 0.000147131
2 *9040:X 0.000147131
3 *9041:A *8901:A_N 3.34295e-05
4 *9041:A *400:8 0.000126439
5 *9040:B *9041:A 0.000106299
6 *9355:D *9041:A 8.06331e-05
*RES
1 *9040:X *9041:A 31.975
*END
*D_NET *236 0.000409539
*CONN
*I *9043:A I *D sky130_fd_sc_hd__clkbuf_1
*I *9042:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *9043:A 0.000204769
2 *9042:X 0.000204769
*RES
1 *9042:X *9043:A 22.0286
*END
*D_NET *237 0.0020235
*CONN
*I *9046:A I *D sky130_fd_sc_hd__and3_1
*I *9044:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *9046:A 0.000542288
2 *9044:Y 0.000542288
3 *9046:A *9044:A 0.000105163
4 *9046:A *9046:C 0.000181803
5 *9046:A *296:13 0.000312222
6 *9046:A *296:37 0.000339738
7 *9046:A *352:8 0
*RES
1 *9044:Y *9046:A 37.8143
*END
*D_NET *238 0.00138462
*CONN
*I *9046:C I *D sky130_fd_sc_hd__and3_1
*I *9045:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *9046:C 0.000479959
2 *9045:X 0.000479959
3 *9046:C *296:37 6.16744e-05
4 *9046:C *296:39 8.15939e-05
5 *9046:C *322:8 9.96331e-05
6 *9046:A *9046:C 0.000181803
*RES
1 *9045:X *9046:C 35.4929
*END
*D_NET *239 0.000726847
*CONN
*I *9047:A I *D sky130_fd_sc_hd__clkbuf_1
*I *9046:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *9047:A 0.000258449
2 *9046:X 0.000258449
3 *8891:A *9047:A 0.00015656
4 *9046:B *9047:A 5.33882e-05
5 *9408:D *9047:A 0
*RES
1 *9046:X *9047:A 32.7429
*END
*D_NET *240 0.00748723
*CONN
*I *9067:B I *D sky130_fd_sc_hd__or2_1
*I *9071:A2 I *D sky130_fd_sc_hd__a21o_1
*I *9066:B I *D sky130_fd_sc_hd__nand2_1
*I *9070:A I *D sky130_fd_sc_hd__nand2_1
*I *9075:A1 I *D sky130_fd_sc_hd__a21o_1
*I *9051:C I *D sky130_fd_sc_hd__and4_2
*I *9074:B I *D sky130_fd_sc_hd__and3_1
*I *9064:A_N I *D sky130_fd_sc_hd__and3b_1
*I *9049:X O *D sky130_fd_sc_hd__and4_2
*CAP
1 *9067:B 0
2 *9071:A2 0.00011192
3 *9066:B 2.23854e-05
4 *9070:A 0
5 *9075:A1 0
6 *9051:C 4.30706e-05
7 *9074:B 0.000133133
8 *9064:A_N 0.000157744
9 *9049:X 0.000232486
10 *240:70 0.000552089
11 *240:35 0.000272479
12 *240:31 0.000258048
13 *240:24 0.00041188
14 *240:20 0.000924545
15 *240:17 0.00128809
16 *240:7 0.000586102
17 *9051:C *9074:A 0.000181803
18 *9051:C *259:5 9.02232e-05
19 *9064:A_N *9064:C 5.52238e-05
20 *9064:A_N *9065:A 7.83587e-05
21 *9064:A_N *243:34 6.62983e-05
22 *9064:A_N *243:43 2.27416e-05
23 *9064:A_N *243:47 0
24 *9071:A2 *9067:A 0.000320024
25 *9071:A2 *9068:C 9.24825e-05
26 *9074:B *9074:A 0.000316184
27 *9074:B *9079:A_N 0
28 *9074:B *242:13 6.73591e-05
29 *9074:B *243:8 0
30 *9074:B *259:5 0.000129595
31 *240:7 *9065:A 2.59355e-05
32 *240:7 *324:45 0.000144773
33 *240:17 *9060:A1 7.79781e-06
34 *240:17 *9065:A 5.66157e-05
35 *240:17 *311:18 0
36 *240:17 *324:45 0
37 *240:17 *335:47 2.10446e-05
38 *240:20 *9059:C 2.89016e-05
39 *240:20 *9066:A 0.000186662
40 *240:31 *241:10 0.000191877
41 *240:31 *241:14 3.34295e-05
42 *240:35 *241:14 0.000100831
43 *240:35 *243:8 2.16719e-05
44 *240:70 *9060:A1 7.80714e-06
45 *240:70 *9061:A 0.000178111
46 *240:70 *9522:CLK 0
47 *240:70 *311:18 0
48 *9521:D *9064:A_N 6.75007e-05
49 *180:9 *9074:B 0
*RES
1 *9049:X *240:7 16.8
2 *240:7 *9064:A_N 17.6214
3 *240:7 *240:17 3.76786
4 *240:17 *240:20 14.8929
5 *240:20 *240:24 3.83929
6 *240:24 *240:31 8.32143
7 *240:31 *240:35 6.44643
8 *240:35 *9074:B 22.3357
9 *240:35 *9051:C 11.0679
10 *240:31 *9075:A1 13.8
11 *240:24 *9070:A 9.3
12 *240:20 *9066:B 9.72857
13 *240:17 *240:70 12.5893
14 *240:70 *9071:A2 13.1214
15 *240:70 *9067:B 9.3
*END
*D_NET *241 0.0025151
*CONN
*I *9070:B I *D sky130_fd_sc_hd__nand2_1
*I *9075:A2 I *D sky130_fd_sc_hd__a21o_1
*I *9051:D I *D sky130_fd_sc_hd__and4_2
*I *9074:C I *D sky130_fd_sc_hd__and3_1
*I *9050:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *9070:B 0
2 *9075:A2 5.12134e-05
3 *9051:D 6.92516e-05
4 *9074:C 0.000222832
5 *9050:X 0.000108498
6 *241:14 0.000339564
7 *241:10 0.000166225
8 *241:8 0.000176029
9 *9051:D *9074:A 8.46829e-05
10 *9074:C *9074:A 0.000348512
11 *9075:A2 *243:7 4.87854e-05
12 *241:8 *9072:A 8.00806e-05
13 *241:8 *9084:A 1.58163e-05
14 *241:8 *243:81 0.000125724
15 *241:10 *243:81 0.000227736
16 *241:14 *243:8 7.6644e-05
17 *241:14 *243:81 4.73656e-05
18 *240:31 *241:10 0.000191877
19 *240:31 *241:14 3.34295e-05
20 *240:35 *241:14 0.000100831
*RES
1 *9050:X *241:8 15.9786
2 *241:8 *241:10 2.85714
3 *241:10 *241:14 6.14286
4 *241:14 *9074:C 12.5946
5 *241:14 *9051:D 10.2464
6 *241:10 *9075:A2 14.7464
7 *241:8 *9070:B 13.8
*END
*D_NET *242 0.00540822
*CONN
*I *9079:A_N I *D sky130_fd_sc_hd__and3b_1
*I *9081:A2 I *D sky130_fd_sc_hd__a211o_1
*I *9082:A2 I *D sky130_fd_sc_hd__o21ba_1
*I *9083:A2 I *D sky130_fd_sc_hd__a21o_1
*I *9052:A3 I *D sky130_fd_sc_hd__a311oi_4
*I *9051:X O *D sky130_fd_sc_hd__and4_2
*CAP
1 *9079:A_N 0.000548566
2 *9081:A2 0
3 *9082:A2 9.37907e-05
4 *9083:A2 0.000106187
5 *9052:A3 0
6 *9051:X 0.000111223
7 *242:23 0.000272431
8 *242:16 0.000148192
9 *242:13 0.000887286
10 *242:5 0.00147134
11 *9079:A_N *8961:A 0
12 *9079:A_N *9077:A 0
13 *9079:A_N *9079:B 0
14 *9079:A_N *9525:CLK 0.000175512
15 *9079:A_N *243:8 0
16 *9082:A2 *9082:A1 0.000116481
17 *9082:A2 *9082:B1_N 4.16876e-06
18 *9082:A2 *9526:CLK 7.83462e-05
19 *9082:A2 *350:8 5.66157e-05
20 *9083:A2 *9083:A1 9.16173e-05
21 *9083:A2 *350:5 2.59355e-05
22 *242:5 *259:5 0.000186669
23 *242:13 *9074:A 4.72818e-05
24 *242:13 *259:5 9.90367e-05
25 *242:16 *9081:A1 0.000174797
26 *242:16 *9082:B1_N 6.32633e-05
27 *242:23 *9081:A1 1.02504e-05
28 *242:23 *9082:B1_N 0.000105417
29 *242:23 *350:8 0.000212627
30 *9074:B *9079:A_N 0
31 *9074:B *242:13 6.73591e-05
32 *9081:B1 *242:13 2.14314e-05
33 *9081:C1 *242:13 0.000232399
34 *9524:D *9079:A_N 0
*RES
1 *9051:X *242:5 11.0679
2 *242:5 *242:13 14.5536
3 *242:13 *242:16 6.75
4 *242:16 *9052:A3 13.8
5 *242:16 *242:23 2.85714
6 *242:23 *9083:A2 15.9786
7 *242:23 *9082:A2 16.5857
8 *242:13 *9081:A2 9.3
9 *242:5 *9079:A_N 28.425
*END
*D_NET *243 0.0132066
*CONN
*I *9072:B I *D sky130_fd_sc_hd__and3_1
*I *9084:A I *D sky130_fd_sc_hd__and2_1
*I *9068:A I *D sky130_fd_sc_hd__and3_1
*I *9053:B I *D sky130_fd_sc_hd__and2b_1
*I *9061:B I *D sky130_fd_sc_hd__and3_1
*I *9057:B I *D sky130_fd_sc_hd__and3_1
*I *9064:B I *D sky130_fd_sc_hd__and3b_1
*I *9076:B I *D sky130_fd_sc_hd__and3b_1
*I *9079:B I *D sky130_fd_sc_hd__and3b_1
*I *9052:Y O *D sky130_fd_sc_hd__a311oi_4
*CAP
1 *9072:B 0.000408751
2 *9084:A 0.000683382
3 *9068:A 0.000467456
4 *9053:B 0.000228526
5 *9061:B 0.000338798
6 *9057:B 7.26505e-05
7 *9064:B 0
8 *9076:B 0
9 *9079:B 0.000515351
10 *9052:Y 0.000648008
11 *243:81 0.00132535
12 *243:47 0.000681758
13 *243:43 0.000812023
14 *243:34 0.000809053
15 *243:31 0.0011212
16 *243:22 0.000446834
17 *243:8 0.000964969
18 *243:7 0.00104189
19 *9053:B *324:8 0
20 *9053:B *324:17 0
21 *9053:B *345:6 6.71264e-05
22 *9057:B *335:47 9.60939e-05
23 *9061:B *9060:A2 0
24 *9061:B *9060:B1 6.98302e-05
25 *9061:B *9061:A 2.51343e-06
26 *9061:B *9061:C 9.06855e-05
27 *9061:B *324:45 0
28 *9061:B *344:8 0.000139653
29 *9061:B *344:10 5.41794e-05
30 *9068:A *9066:A 0
31 *9068:A *9068:C 2.8266e-06
32 *9068:A *9076:A_N 1.17968e-05
33 *9068:A *311:18 0
34 *9068:A *349:10 0
35 *9072:B *9050:A 0
36 *9072:B *9069:A 0
37 *9072:B *9072:A 0.000180173
38 *9072:B *9072:C 1.88619e-05
39 *9079:B *9076:C 4.58096e-05
40 *9079:B *9079:C 1.40306e-05
41 *9079:B *9525:CLK 0
42 *9079:B *349:10 0
43 *9084:A *9073:A 5.52302e-05
44 *243:7 *9075:B1 6.05161e-06
45 *243:8 *9076:C 0.000144323
46 *243:22 *9076:C 0.00017091
47 *243:22 *9077:A 2.32625e-05
48 *243:31 *9076:A_N 9.60939e-05
49 *243:31 *9079:C 7.83659e-05
50 *243:43 *9063:A3 6.05161e-06
51 *243:43 *9064:C 0.000102783
52 *243:43 *345:6 2.04825e-05
53 *243:47 *9063:A3 0.00015234
54 *243:47 *9065:A 0
55 *243:47 *324:45 0
56 *243:47 *344:10 0.000190155
57 *243:47 *345:6 2.14474e-05
58 *243:81 *9068:B 0
59 *243:81 *9072:A 4.00025e-05
60 *243:81 *9075:B1 0
61 *243:81 *9076:C 4.46186e-06
62 *9064:A_N *243:34 6.62983e-05
63 *9064:A_N *243:43 2.27416e-05
64 *9064:A_N *243:47 0
65 *9074:B *243:8 0
66 *9075:A2 *243:7 4.87854e-05
67 *9079:A_N *9079:B 0
68 *9079:A_N *243:8 0
69 *9521:D *243:47 0
70 *9522:D *9072:B 0
71 *9523:D *9084:A 5.62995e-05
72 *180:9 *243:7 2.59355e-05
73 *240:35 *243:8 2.16719e-05
74 *241:8 *9084:A 1.58163e-05
75 *241:8 *243:81 0.000125724
76 *241:10 *243:81 0.000227736
77 *241:14 *243:8 7.6644e-05
78 *241:14 *243:81 4.73656e-05
*RES
1 *9052:Y *243:7 21.3179
2 *243:7 *243:8 3.76786
3 *243:8 *9079:B 22.9607
4 *243:8 *243:22 9.98214
5 *243:22 *9076:B 9.3
6 *243:22 *243:31 6.89286
7 *243:31 *243:34 10.375
8 *243:34 *9064:B 9.3
9 *243:34 *243:43 9.00893
10 *243:43 *243:47 5.66964
11 *243:47 *9057:B 14.7464
12 *243:47 *9061:B 19.7286
13 *243:43 *9053:B 18.3268
14 *243:31 *9068:A 22.05
15 *243:7 *243:81 5.89286
16 *243:81 *9084:A 25.5679
17 *243:81 *9072:B 21.1571
*END
*D_NET *244 0.000649244
*CONN
*I *9054:A I *D sky130_fd_sc_hd__clkbuf_1
*I *9053:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *9054:A 0.000297928
2 *9053:X 0.000297928
3 *9054:A *324:8 0
4 *9518:D *9054:A 5.33882e-05
*RES
1 *9053:X *9054:A 32.6179
*END
*D_NET *245 0.00162797
*CONN
*I *9057:A I *D sky130_fd_sc_hd__and3_1
*I *9055:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *9057:A 0.000363647
2 *9055:Y 0.000363647
3 *9057:A *9057:C 0.000428268
4 *9057:A *9058:A 4.43256e-05
5 *9057:A *9059:C 5.33005e-05
6 *9057:A *324:39 0
7 *9057:A *335:8 7.80714e-06
8 *9057:A *335:18 6.98302e-05
9 *9057:A *335:22 0.00010326
10 *9057:A *335:47 0.000193883
*RES
1 *9055:Y *9057:A 37.4571
*END
*D_NET *246 0.00112468
*CONN
*I *9057:C I *D sky130_fd_sc_hd__and3_1
*I *9056:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *9057:C 0.000171023
2 *9056:X 0.000171023
3 *9057:C *9058:A 0.000274288
4 *9057:C *335:8 8.00806e-05
5 *9057:C *344:8 0
6 *9057:A *9057:C 0.000428268
*RES
1 *9056:X *9057:C 33.1179
*END
*D_NET *247 0.00247556
*CONN
*I *9058:A I *D sky130_fd_sc_hd__clkbuf_1
*I *9057:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *9058:A 0.000882731
2 *9057:X 0.000882731
3 *9058:A *9062:A 0
4 *9058:A *9519:CLK 4.88637e-05
5 *9058:A *291:143 0
6 *9057:A *9058:A 4.43256e-05
7 *9057:C *9058:A 0.000274288
8 *9519:D *9058:A 0.000342623
*RES
1 *9057:X *9058:A 40.0464
*END
*D_NET *248 0.00101232
*CONN
*I *9061:A I *D sky130_fd_sc_hd__and3_1
*I *9059:Y O *D sky130_fd_sc_hd__nand3_1
*CAP
1 *9061:A 0.000364673
2 *9059:Y 0.000364673
3 *9061:A *9060:A1 7.83587e-05
4 *9061:A *9060:A2 2.39876e-05
5 *9061:A *9061:C 0
6 *9061:A *9522:CLK 0
7 *9061:B *9061:A 2.51343e-06
8 *240:70 *9061:A 0.000178111
*RES
1 *9059:Y *9061:A 34.8679
*END
*D_NET *249 0.00133525
*CONN
*I *9061:C I *D sky130_fd_sc_hd__and3_1
*I *9060:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *9061:C 0.000503741
2 *9060:X 0.000503741
3 *9061:C *9060:B1 0.000212314
4 *9061:C *311:17 2.47724e-05
5 *9061:A *9061:C 0
6 *9061:B *9061:C 9.06855e-05
*RES
1 *9060:X *9061:C 35.1
*END
*D_NET *250 0.00151306
*CONN
*I *9062:A I *D sky130_fd_sc_hd__clkbuf_1
*I *9061:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *9062:A 0.000437399
2 *9061:X 0.000437399
3 *9062:A *9684:A 0.000322084
4 *9062:A *311:10 0.000316184
5 *9058:A *9062:A 0
*RES
1 *9061:X *9062:A 37.3679
*END
*D_NET *251 0.000606176
*CONN
*I *9064:C I *D sky130_fd_sc_hd__and3b_1
*I *9063:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *9064:C 0.000221201
2 *9063:X 0.000221201
3 *9064:C *9063:B1 5.7661e-06
4 *9064:A_N *9064:C 5.52238e-05
5 *243:43 *9064:C 0.000102783
*RES
1 *9063:X *9064:C 22.85
*END
*D_NET *252 0.000378275
*CONN
*I *9065:A I *D sky130_fd_sc_hd__clkbuf_1
*I *9064:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *9065:A 0.000102717
2 *9064:X 0.000102717
3 *9065:A *324:45 1.19309e-05
4 *9064:A_N *9065:A 7.83587e-05
5 *240:7 *9065:A 2.59355e-05
6 *240:17 *9065:A 5.66157e-05
7 *243:47 *9065:A 0
*RES
1 *9064:X *9065:A 29.7786
*END
*D_NET *253 0.000725605
*CONN
*I *9068:B I *D sky130_fd_sc_hd__and3_1
*I *9066:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *9068:B 0.000308691
2 *9066:Y 0.000308691
3 *9068:B *9050:A 2.42082e-05
4 *9068:B *9050:B 6.81335e-05
5 *9068:B *9066:A 0
6 *9068:B *9068:C 3.94968e-06
7 *9068:B *9069:A 1.19309e-05
8 *9068:B *346:14 0
9 *243:81 *9068:B 0
*RES
1 *9066:Y *9068:B 32.3321
*END
*D_NET *254 0.000883099
*CONN
*I *9068:C I *D sky130_fd_sc_hd__and3_1
*I *9067:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *9068:C 0.000294389
2 *9067:X 0.000294389
3 *9068:C *9066:A 5.7616e-05
4 *9068:C *9067:A 1.00073e-05
5 *9068:C *346:14 0.000127439
6 *9068:A *9068:C 2.8266e-06
7 *9068:B *9068:C 3.94968e-06
8 *9071:A2 *9068:C 9.24825e-05
*RES
1 *9067:X *9068:C 32.6357
*END
*D_NET *255 0.0011862
*CONN
*I *9069:A I *D sky130_fd_sc_hd__clkbuf_1
*I *9068:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *9069:A 0.000416967
2 *9068:X 0.000416967
3 *9069:A *9050:A 0
4 *9069:A *9072:C 3.92757e-05
5 *9069:A *346:8 4.75715e-05
6 *9069:A *346:14 0.000171886
7 *9068:B *9069:A 1.19309e-05
8 *9072:B *9069:A 0
9 *9522:D *9069:A 8.16036e-05
*RES
1 *9068:X *9069:A 36.475
*END
*D_NET *256 0.000811193
*CONN
*I *9072:A I *D sky130_fd_sc_hd__and3_1
*I *9070:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *9072:A 0.000255468
2 *9070:Y 0.000255468
3 *9072:A *9073:A 0
4 *9072:A *9523:CLK 0
5 *9072:B *9072:A 0.000180173
6 *241:8 *9072:A 8.00806e-05
7 *243:81 *9072:A 4.00025e-05
*RES
1 *9070:Y *9072:A 33.7964
*END
*D_NET *257 0.00106407
*CONN
*I *9072:C I *D sky130_fd_sc_hd__and3_1
*I *9071:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *9072:C 0.00049994
2 *9071:X 0.00049994
3 *9069:A *9072:C 3.92757e-05
4 *9072:B *9072:C 1.88619e-05
5 *9522:D *9072:C 6.05161e-06
*RES
1 *9071:X *9072:C 33.7607
*END
*D_NET *258 0.000616733
*CONN
*I *9073:A I *D sky130_fd_sc_hd__clkbuf_1
*I *9072:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *9073:A 0.00025398
2 *9072:X 0.00025398
3 *9073:A *9523:CLK 0
4 *9072:A *9073:A 0
5 *9084:A *9073:A 5.52302e-05
6 *9523:D *9073:A 5.35423e-05
*RES
1 *9072:X *9073:A 32.5107
*END
*D_NET *259 0.00206404
*CONN
*I *9076:A_N I *D sky130_fd_sc_hd__and3b_1
*I *9078:B I *D sky130_fd_sc_hd__or2_1
*I *9074:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *9076:A_N 0.000215967
2 *9078:B 4.13892e-05
3 *9074:X 0.000319715
4 *259:5 0.000577072
5 *9076:A_N *9078:A 1.74352e-05
6 *9076:A_N *9079:C 0.000100831
7 *9076:A_N *349:10 0
8 *9078:B *9078:A 5.71472e-05
9 *259:5 *9051:A 7.15846e-05
10 *259:5 *9074:A 1.02936e-05
11 *259:5 *9078:A 1.02936e-05
12 *259:5 *348:9 2.89016e-05
13 *9051:C *259:5 9.02232e-05
14 *9068:A *9076:A_N 1.17968e-05
15 *9074:B *259:5 0.000129595
16 *242:5 *259:5 0.000186669
17 *242:13 *259:5 9.90367e-05
18 *243:31 *9076:A_N 9.60939e-05
*RES
1 *9074:X *259:5 16.8179
2 *259:5 *9078:B 10.5679
3 *259:5 *9076:A_N 22.2464
*END
*D_NET *260 0.00143443
*CONN
*I *9076:C I *D sky130_fd_sc_hd__and3b_1
*I *9075:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *9076:C 0.000284964
2 *9075:X 0.000284964
3 *9076:C *9075:B1 5.97719e-05
4 *9076:C *9077:A 0.000360894
5 *9076:C *348:6 7.83366e-05
6 *9076:C *349:10 0
7 *9079:B *9076:C 4.58096e-05
8 *243:8 *9076:C 0.000144323
9 *243:22 *9076:C 0.00017091
10 *243:81 *9076:C 4.46186e-06
*RES
1 *9075:X *9076:C 36.5107
*END
*D_NET *261 0.00216855
*CONN
*I *9077:A I *D sky130_fd_sc_hd__clkbuf_1
*I *9076:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *9077:A 0.000771251
2 *9076:X 0.000771251
3 *9077:A *9525:CLK 8.94281e-05
4 *9077:A *312:79 2.89114e-05
5 *9076:C *9077:A 0.000360894
6 *9079:A_N *9077:A 0
7 *9524:D *9077:A 0.000123556
8 *180:9 *9077:A 0
9 *243:22 *9077:A 2.32625e-05
*RES
1 *9076:X *9077:A 38.4036
*END
*D_NET *262 0.00222076
*CONN
*I *9079:C I *D sky130_fd_sc_hd__and3b_1
*I *9078:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *9079:C 0.000658406
2 *9078:X 0.000658406
3 *9079:C *9080:A 0.000710724
4 *9079:C *349:10 0
5 *9076:A_N *9079:C 0.000100831
6 *9079:B *9079:C 1.40306e-05
7 *243:31 *9079:C 7.83659e-05
*RES
1 *9078:X *9079:C 42.5107
*END
*D_NET *263 0.0020194
*CONN
*I *9080:A I *D sky130_fd_sc_hd__clkbuf_1
*I *9079:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *9080:A 0.00065434
2 *9079:X 0.00065434
3 *9080:A *9518:CLK 0
4 *9079:C *9080:A 0.000710724
*RES
1 *9079:X *9080:A 38.6714
*END
*D_NET *264 0.00109339
*CONN
*I *9082:B1_N I *D sky130_fd_sc_hd__o21ba_1
*I *9081:X O *D sky130_fd_sc_hd__a211o_1
*CAP
1 *9082:B1_N 0.000341831
2 *9081:X 0.000341831
3 *9082:B1_N *9085:A 5.49489e-05
4 *9082:B1_N *9526:CLK 0.000146071
5 *9082:A2 *9082:B1_N 4.16876e-06
6 *9526:D *9082:B1_N 3.58558e-05
7 *242:16 *9082:B1_N 6.32633e-05
8 *242:23 *9082:B1_N 0.000105417
*RES
1 *9081:X *9082:B1_N 35.2964
*END
*D_NET *265 0.000445139
*CONN
*I *9084:B I *D sky130_fd_sc_hd__and2_1
*I *9083:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *9084:B 0.000158493
2 *9083:X 0.000158493
3 *9084:B *351:12 0.000128154
4 *184:12 *9084:B 0
*RES
1 *9083:X *9084:B 30.1893
*END
*D_NET *266 0.00140993
*CONN
*I *9085:A I *D sky130_fd_sc_hd__clkbuf_1
*I *9084:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *9085:A 0.000662216
2 *9084:X 0.000662216
3 *9082:B1_N *9085:A 5.49489e-05
4 *9527:D *9085:A 3.05446e-05
*RES
1 *9084:X *9085:A 28.6
*END
*D_NET *267 0.000724443
*CONN
*I *9087:A I *D sky130_fd_sc_hd__clkbuf_2
*I *9086:X O *D sky130_fd_sc_hd__and4_1
*CAP
1 *9087:A 0.000188229
2 *9086:X 0.000188229
3 *9087:A *271:47 0.000155469
4 *9087:A *298:7 4.01768e-05
5 *9087:A *548:24 0.00015234
6 *9087:A *569:8 0
*RES
1 *9086:X *9087:A 32.3143
*END
*D_NET *268 0.00778426
*CONN
*I *9102:A_N I *D sky130_fd_sc_hd__and3b_1
*I *9109:A2 I *D sky130_fd_sc_hd__a21o_1
*I *9108:A I *D sky130_fd_sc_hd__nand2_1
*I *9113:A1 I *D sky130_fd_sc_hd__a21o_1
*I *9105:B I *D sky130_fd_sc_hd__or2_1
*I *9104:B I *D sky130_fd_sc_hd__nand2_1
*I *9112:B I *D sky130_fd_sc_hd__and3_1
*I *9089:C I *D sky130_fd_sc_hd__and4_1
*I *9116:A2 I *D sky130_fd_sc_hd__a31o_1
*I *9087:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *9102:A_N 0
2 *9109:A2 0.000292932
3 *9108:A 0
4 *9113:A1 4.00275e-05
5 *9105:B 0
6 *9104:B 0.000254883
7 *9112:B 0.00021953
8 *9089:C 0.000166821
9 *9116:A2 0
10 *9087:X 0.000282728
11 *268:68 0.000292932
12 *268:45 0.000687166
13 *268:24 0.000281158
14 *268:20 0.000416499
15 *268:18 0.000589616
16 *268:16 0.000217987
17 *268:14 0.000363831
18 *268:9 0.0005433
19 *9089:C *9089:A 2.44318e-05
20 *9089:C *9089:D 0.000186669
21 *9089:C *9116:A3 0.000274288
22 *9089:C *9116:B1 2.44318e-05
23 *9104:B *9104:A 0
24 *9104:B *9106:A 0
25 *9104:B *570:8 1.19309e-05
26 *9109:A2 *9106:B 0.000187826
27 *9109:A2 *9108:B 9.71197e-05
28 *9109:A2 *9110:A 0.000227539
29 *9109:A2 *9110:C 0.000304785
30 *9109:A2 *271:33 1.21258e-05
31 *9109:A2 *271:68 7.15962e-05
32 *9112:B *9112:C 3.94968e-06
33 *9112:B *9114:A_N 0.000188586
34 *268:9 *9102:B 1.39726e-05
35 *268:9 *271:47 0.000119718
36 *268:9 *569:8 0
37 *268:14 *9102:B 3.67257e-05
38 *268:14 *9571:CLK 0
39 *268:14 *271:36 0.000114296
40 *268:14 *271:47 2.6949e-05
41 *268:16 *9108:B 0.000106533
42 *268:16 *9113:B1 2.48938e-05
43 *268:16 *9571:CLK 0
44 *268:16 *269:26 0
45 *268:16 *271:33 7.57773e-05
46 *268:16 *271:36 6.8646e-06
47 *268:18 *9113:B1 0.00010326
48 *268:18 *9116:A1 2.31791e-05
49 *268:18 *269:26 0
50 *268:20 *9116:A1 0.000169405
51 *268:20 *269:26 0
52 *268:24 *9116:A1 0.000254337
53 *268:24 *9116:A3 0
54 *268:24 *269:26 0
55 *268:24 *269:28 0
56 *268:45 *572:5 4.27935e-05
57 *268:45 *572:9 0.000400867
*RES
1 *9087:X *268:9 24.1393
2 *268:9 *268:14 10.4286
3 *268:14 *268:16 3.16071
4 *268:16 *268:18 1.64286
5 *268:18 *268:20 2.25
6 *268:20 *268:24 7.66071
7 *268:24 *9116:A2 9.3
8 *268:24 *9089:C 13.9429
9 *268:20 *9112:B 16.925
10 *268:18 *268:45 12.8393
11 *268:45 *9104:B 22.8179
12 *268:45 *9105:B 9.3
13 *268:16 *9113:A1 14.3357
14 *268:14 *268:68 4.5
15 *268:68 *9108:A 9.3
16 *268:68 *9109:A2 18.2107
17 *268:9 *9102:A_N 9.3
*END
*D_NET *269 0.00339043
*CONN
*I *9112:C I *D sky130_fd_sc_hd__and3_1
*I *9089:D I *D sky130_fd_sc_hd__and4_1
*I *9116:A3 I *D sky130_fd_sc_hd__a31o_1
*I *9113:A2 I *D sky130_fd_sc_hd__a21o_1
*I *9108:B I *D sky130_fd_sc_hd__nand2_1
*I *9088:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *9112:C 1.47801e-05
2 *9089:D 9.20838e-05
3 *9116:A3 8.50032e-05
4 *9113:A2 0
5 *9108:B 0.000175017
6 *9088:X 0.000154352
7 *269:28 0.000252315
8 *269:26 0.000352663
9 *269:7 0.00031294
10 *269:5 0.00055493
11 *9089:D *9089:B 5.71472e-05
12 *9108:B *9110:A 2.28499e-05
13 *9108:B *271:33 9.47028e-05
14 *9108:B *271:36 5.66157e-05
15 *9116:A3 *9089:A 0.000142856
16 *9116:A3 *9116:A1 0
17 *9116:A3 *9116:B1 0.00014285
18 *269:26 *9089:B 4.46186e-06
19 *269:26 *572:25 0.000152919
20 *269:28 *9089:B 5.33882e-05
21 *9089:C *9089:D 0.000186669
22 *9089:C *9116:A3 0.000274288
23 *9109:A2 *9108:B 9.71197e-05
24 *9112:B *9112:C 3.94968e-06
25 *268:16 *9108:B 0.000106533
26 *268:16 *269:26 0
27 *268:18 *269:26 0
28 *268:20 *269:26 0
29 *268:24 *9116:A3 0
30 *268:24 *269:26 0
31 *268:24 *269:28 0
*RES
1 *9088:X *269:5 11.8893
2 *269:5 *269:7 2.17857
3 *269:7 *9108:B 23.05
4 *269:7 *9113:A2 9.3
5 *269:5 *269:26 9.78571
6 *269:26 *269:28 1.64286
7 *269:28 *9116:A3 17.1214
8 *269:28 *9089:D 15.9786
9 *269:26 *9112:C 13.9964
*END
*D_NET *270 0.00209137
*CONN
*I *9090:A2 I *D sky130_fd_sc_hd__a211oi_4
*I *9119:A2 I *D sky130_fd_sc_hd__o21a_1
*I *9117:C I *D sky130_fd_sc_hd__or4b_1
*I *9089:X O *D sky130_fd_sc_hd__and4_1
*CAP
1 *9090:A2 0
2 *9119:A2 0
3 *9117:C 0.000282843
4 *9089:X 0.000206587
5 *270:11 0.000574087
6 *270:6 0.000497831
7 *9117:C *9119:A1 7.43578e-06
8 *9117:C *9119:B1 0.000127639
9 *270:6 *8959:A 7.38748e-05
10 *270:6 *573:8 0
11 *270:11 *8959:A 4.46186e-06
12 *270:11 *9119:A1 0.000167577
13 *270:11 *574:17 7.92879e-05
14 *8959:B *270:6 0
15 *174:22 *9117:C 6.97494e-05
*RES
1 *9089:X *270:6 17.8714
2 *270:6 *270:11 11.0357
3 *270:11 *9117:C 14.7821
4 *270:11 *9119:A2 9.3
5 *270:6 *9090:A2 13.8
*END
*D_NET *271 0.011313
*CONN
*I *9106:B I *D sky130_fd_sc_hd__and3_1
*I *9110:B I *D sky130_fd_sc_hd__and3_1
*I *9095:B I *D sky130_fd_sc_hd__and3_1
*I *9099:B I *D sky130_fd_sc_hd__and3_1
*I *9091:B I *D sky130_fd_sc_hd__and2b_1
*I *9102:B I *D sky130_fd_sc_hd__and3b_1
*I *9114:B I *D sky130_fd_sc_hd__and3b_1
*I *9119:B1 I *D sky130_fd_sc_hd__o21a_1
*I *9090:Y O *D sky130_fd_sc_hd__a211oi_4
*CAP
1 *9106:B 0.00061942
2 *9110:B 0
3 *9095:B 0.00050087
4 *9099:B 0.000361368
5 *9091:B 0
6 *9102:B 0.000178926
7 *9114:B 8.51244e-05
8 *9119:B1 0.000470471
9 *9090:Y 5.23568e-05
10 *271:68 0.000739137
11 *271:47 0.00150725
12 *271:36 0.00105494
13 *271:33 0.000662513
14 *271:21 0.000734538
15 *271:7 0.000860444
16 *9095:B *9091:A_N 5.06983e-05
17 *9095:B *9092:A 5.71472e-05
18 *9095:B *9095:A 0.000123902
19 *9095:B *9095:C 2.51343e-06
20 *9095:B *548:9 0.000137874
21 *9099:B *9091:A_N 3.80277e-05
22 *9099:B *9099:A 2.72859e-05
23 *9106:B *9106:C 1.40415e-05
24 *9114:B *9114:C 1.40306e-05
25 *9119:B1 *303:84 6.74911e-05
26 *9119:B1 *303:91 9.80029e-05
27 *271:7 *9517:CLK 3.67257e-05
28 *271:21 *9114:C 0.000106403
29 *271:21 *9116:A1 0.000275094
30 *271:21 *9584:CLK 0
31 *271:21 *303:91 4.00025e-05
32 *271:21 *303:105 7.92975e-05
33 *271:21 *573:8 0
34 *271:33 *9113:B1 0.000119618
35 *271:33 *9114:C 0.000260993
36 *271:33 *9116:A1 0.000200413
37 *271:33 *9571:CLK 7.39637e-05
38 *271:36 *9111:A 0
39 *271:47 *9102:C 0
40 *271:47 *9565:CLK 0
41 *271:47 *9571:CLK 0
42 *271:47 *548:18 4.43698e-05
43 *271:47 *548:20 1.37292e-05
44 *271:47 *548:24 7.79781e-06
45 *271:47 *568:10 0
46 *271:47 *569:8 0
47 *9087:A *271:47 0.000155469
48 *9108:B *271:33 9.47028e-05
49 *9108:B *271:36 5.66157e-05
50 *9109:A2 *9106:B 0.000187826
51 *9109:A2 *271:33 1.21258e-05
52 *9109:A2 *271:68 7.15962e-05
53 *9117:A *9119:B1 5.52238e-05
54 *9117:B *9119:B1 0.000107431
55 *9117:B *271:21 1.19402e-05
56 *9117:C *9119:B1 0.000127639
57 *9117:D_N *271:21 0
58 *174:22 *9119:B1 0.000222666
59 *178:14 *271:21 2.78729e-05
60 *178:16 *271:21 7.08001e-05
61 *268:9 *9102:B 1.39726e-05
62 *268:9 *271:47 0.000119718
63 *268:14 *9102:B 3.67257e-05
64 *268:14 *271:36 0.000114296
65 *268:14 *271:47 2.6949e-05
66 *268:16 *271:33 7.57773e-05
67 *268:16 *271:36 6.8646e-06
*RES
1 *9090:Y *271:7 14.7464
2 *271:7 *9119:B1 23.4786
3 *271:7 *271:21 8.625
4 *271:21 *9114:B 14.7464
5 *271:21 *271:33 12.5714
6 *271:33 *271:36 9.48214
7 *271:36 *9102:B 15.9786
8 *271:36 *271:47 17.9821
9 *271:47 *9091:B 9.3
10 *271:47 *9099:B 13.9607
11 *271:47 *9095:B 18.8893
12 *271:33 *271:68 1.76786
13 *271:68 *9110:B 9.3
14 *271:68 *9106:B 17.2286
*END
*D_NET *272 0.000621564
*CONN
*I *9092:A I *D sky130_fd_sc_hd__clkbuf_1
*I *9091:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *9092:A 0.000255509
2 *9091:X 0.000255509
3 *9092:A *9565:CLK 0
4 *9092:A *548:9 0
5 *9095:B *9092:A 5.71472e-05
6 *9565:D *9092:A 5.33978e-05
*RES
1 *9091:X *9092:A 32.2071
*END
*D_NET *273 0.000971448
*CONN
*I *9095:A I *D sky130_fd_sc_hd__and3_1
*I *9093:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *9095:A 0.000332571
2 *9093:Y 0.000332571
3 *9095:A *9095:C 0
4 *9095:A *292:272 0.000177941
5 *9095:A *548:9 4.46186e-06
6 *9095:A *548:18 0
7 *9095:B *9095:A 0.000123902
*RES
1 *9093:Y *9095:A 34.3679
*END
*D_NET *274 0.000613182
*CONN
*I *9095:C I *D sky130_fd_sc_hd__and3_1
*I *9094:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *9095:C 0.000302681
2 *9094:X 0.000302681
3 *9095:C *9094:A 5.30637e-06
4 *9095:C *9096:A 0
5 *9095:A *9095:C 0
6 *9095:B *9095:C 2.51343e-06
*RES
1 *9094:X *9095:C 32.2071
*END
*D_NET *275 0.000898869
*CONN
*I *9096:A I *D sky130_fd_sc_hd__clkbuf_1
*I *9095:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *9096:A 0.000407484
2 *9095:X 0.000407484
3 *9096:A *9566:CLK 0
4 *9095:C *9096:A 0
5 *9566:D *9096:A 8.39e-05
*RES
1 *9095:X *9096:A 34.1536
*END
*D_NET *276 0.000603339
*CONN
*I *9099:A I *D sky130_fd_sc_hd__and3_1
*I *9097:Y O *D sky130_fd_sc_hd__nand3_1
*CAP
1 *9099:A 0.000207083
2 *9097:Y 0.000207083
3 *9099:A *9008:A 0
4 *9099:A *9099:C 6.28435e-05
5 *9099:A *9100:A 9.90431e-05
6 *9099:B *9099:A 2.72859e-05
*RES
1 *9097:Y *9099:A 31.5286
*END
*D_NET *277 0.000465803
*CONN
*I *9099:C I *D sky130_fd_sc_hd__and3_1
*I *9098:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *9099:C 0.000135546
2 *9098:X 0.000135546
3 *9099:C *9565:CLK 7.6644e-05
4 *9099:C *292:272 5.52238e-05
5 *9099:A *9099:C 6.28435e-05
*RES
1 *9098:X *9099:C 29.7786
*END
*D_NET *278 0.00123872
*CONN
*I *9100:A I *D sky130_fd_sc_hd__clkbuf_1
*I *9099:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *9100:A 0.000506975
2 *9099:X 0.000506975
3 *9100:A *9008:A 0.000125724
4 *9099:A *9100:A 9.90431e-05
*RES
1 *9099:X *9100:A 34.2964
*END
*D_NET *279 0.00133432
*CONN
*I *9102:C I *D sky130_fd_sc_hd__and3b_1
*I *9101:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *9102:C 0.000425465
2 *9101:X 0.000425465
3 *9102:C *9101:A1 0.000229456
4 *9102:C *9565:CLK 3.20153e-05
5 *9102:C *9571:CLK 5.07157e-05
6 *9102:C *298:8 6.8646e-06
7 *9102:C *298:22 6.35798e-05
8 *9102:C *568:10 2.14757e-05
9 *9568:D *9102:C 7.92879e-05
10 *271:47 *9102:C 0
*RES
1 *9101:X *9102:C 37.2964
*END
*D_NET *280 0.0011592
*CONN
*I *9103:A I *D sky130_fd_sc_hd__clkbuf_1
*I *9102:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *9103:A 0.0005796
2 *9102:X 0.0005796
3 *9103:A *9571:CLK 0
4 *9103:A *298:8 0
5 *9103:A *298:22 0
*RES
1 *9102:X *9103:A 35.7964
*END
*D_NET *281 0.00124803
*CONN
*I *9106:A I *D sky130_fd_sc_hd__and3_1
*I *9104:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *9106:A 0.000286057
2 *9104:Y 0.000286057
3 *9106:A *9088:A 0
4 *9106:A *9104:A 0.000177941
5 *9106:A *9106:C 0.000369811
6 *9106:A *9109:A1 0
7 *9106:A *570:8 0.000128161
8 *9106:A *571:8 0
9 *9104:B *9106:A 0
*RES
1 *9104:Y *9106:A 35.0107
*END
*D_NET *282 0.000968582
*CONN
*I *9106:C I *D sky130_fd_sc_hd__and3_1
*I *9105:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *9106:C 0.000279397
2 *9105:X 0.000279397
3 *9106:C *9104:A 2.59355e-05
4 *9106:A *9106:C 0.000369811
5 *9106:B *9106:C 1.40415e-05
6 *9569:D *9106:C 0
*RES
1 *9105:X *9106:C 33.4214
*END
*D_NET *283 0.000335761
*CONN
*I *9107:A I *D sky130_fd_sc_hd__clkbuf_1
*I *9106:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *9107:A 0.000167881
2 *9106:X 0.000167881
*RES
1 *9106:X *9107:A 21.5107
*END
*D_NET *284 0.000511811
*CONN
*I *9110:A I *D sky130_fd_sc_hd__and3_1
*I *9108:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *9110:A 0.000130711
2 *9108:Y 0.000130711
3 *9108:B *9110:A 2.28499e-05
4 *9109:A2 *9110:A 0.000227539
*RES
1 *9108:Y *9110:A 21.1893
*END
*D_NET *285 0.00055657
*CONN
*I *9110:C I *D sky130_fd_sc_hd__and3_1
*I *9109:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *9110:C 0.000125893
2 *9109:X 0.000125893
3 *9109:A2 *9110:C 0.000304785
*RES
1 *9109:X *9110:C 21.4571
*END
*D_NET *286 0.000461116
*CONN
*I *9111:A I *D sky130_fd_sc_hd__clkbuf_1
*I *9110:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *9111:A 0.000230558
2 *9110:X 0.000230558
3 *9111:A *571:8 0
4 *271:36 *9111:A 0
*RES
1 *9110:X *9111:A 31.4214
*END
*D_NET *287 0.000367286
*CONN
*I *9114:A_N I *D sky130_fd_sc_hd__and3b_1
*I *9112:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *9114:A_N 8.935e-05
2 *9112:X 8.935e-05
3 *9112:B *9114:A_N 0.000188586
*RES
1 *9112:X *9114:A_N 20.7786
*END
*D_NET *288 0.000831488
*CONN
*I *9114:C I *D sky130_fd_sc_hd__and3b_1
*I *9113:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *9114:C 0.000191761
2 *9113:X 0.000191761
3 *9114:C *9115:A 0
4 *9114:C *9571:CLK 6.65398e-05
5 *9114:C *9584:CLK 0
6 *9114:B *9114:C 1.40306e-05
7 *271:21 *9114:C 0.000106403
8 *271:33 *9114:C 0.000260993
*RES
1 *9113:X *9114:C 32.8143
*END
*D_NET *289 0.000759327
*CONN
*I *9115:A I *D sky130_fd_sc_hd__clkbuf_1
*I *9114:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *9115:A 0.000361306
2 *9114:X 0.000361306
3 *9115:A *9571:CLK 0
4 *9115:A *9584:CLK 3.67142e-05
5 *9114:C *9115:A 0
*RES
1 *9114:X *9115:A 32.5464
*END
*D_NET *290 0.0153827
*CONN
*I *9665:A I *D sky130_fd_sc_hd__clkbuf_16
*I *841:DIODE I *D sky130_fd_sc_hd__diode_2
*I *9664:A I *D sky130_fd_sc_hd__clkbuf_16
*I *840:DIODE I *D sky130_fd_sc_hd__diode_2
*I *9663:X O *D sky130_fd_sc_hd__clkbuf_16
*CAP
1 *9665:A 0.000248194
2 *841:DIODE 0
3 *9664:A 0.000371233
4 *840:DIODE 0.000129488
5 *9663:X 0
6 *290:43 0.00216897
7 *290:20 0.00220228
8 *290:11 0.00278712
9 *290:4 0.00300634
10 *840:DIODE *9346:CLK 1.52978e-05
11 *9664:A *9346:CLK 9.71197e-05
12 *290:11 *8905:B 0
13 *290:11 *8905:D 5.38886e-05
14 *290:11 *9306:D 0.000662725
15 *290:11 *9310:D 0.000226954
16 *290:11 *9314:CLK 0.00131732
17 *290:11 *9320:D 0.000286706
18 *290:11 *9324:CLK 0.000177227
19 *290:11 *308:8 0.00015134
20 *290:11 *308:10 5.66157e-05
21 *290:11 *308:51 0.000633941
22 *290:20 *9314:CLK 8.79015e-05
23 *290:20 *9314:D 1.76204e-05
24 *290:20 *9344:CLK 2.44318e-05
25 *290:20 *9344:D 0.000222874
26 *290:20 *9346:CLK 6.30388e-05
27 *290:20 *9346:D 0
28 *8909:D *290:11 4.8813e-05
29 *9024:B *9664:A 0.000140933
30 *9032:A2 *9664:A 0.000145019
31 *9032:B1 *840:DIODE 0
32 *131:17 *290:11 3.92854e-05
*RES
1 *9663:X *290:4 9.3
2 *290:4 *290:11 42.3929
3 *290:11 *290:20 28.5893
4 *290:20 *840:DIODE 16.05
5 *290:20 *9664:A 20.4964
6 *290:4 *290:43 38.5
7 *290:43 *841:DIODE 9.3
8 *290:43 *9665:A 12.3179
*END
*D_NET *291 0.0507893
*CONN
*I *9686:A I *D sky130_fd_sc_hd__clkbuf_16
*I *9682:A I *D sky130_fd_sc_hd__clkbuf_16
*I *856:DIODE I *D sky130_fd_sc_hd__diode_2
*I *9681:A I *D sky130_fd_sc_hd__clkbuf_16
*I *855:DIODE I *D sky130_fd_sc_hd__diode_2
*I *9687:A I *D sky130_fd_sc_hd__clkbuf_16
*I *861:DIODE I *D sky130_fd_sc_hd__diode_2
*I *9684:A I *D sky130_fd_sc_hd__clkbuf_16
*I *858:DIODE I *D sky130_fd_sc_hd__diode_2
*I *9683:A I *D sky130_fd_sc_hd__clkbuf_16
*I *857:DIODE I *D sky130_fd_sc_hd__diode_2
*I *860:DIODE I *D sky130_fd_sc_hd__diode_2
*I *852:DIODE I *D sky130_fd_sc_hd__diode_2
*I *842:DIODE I *D sky130_fd_sc_hd__diode_2
*I *859:DIODE I *D sky130_fd_sc_hd__diode_2
*I *9685:A I *D sky130_fd_sc_hd__clkbuf_16
*I *9666:A I *D sky130_fd_sc_hd__clkbuf_16
*I *9677:A I *D sky130_fd_sc_hd__clkbuf_16
*I *863:DIODE I *D sky130_fd_sc_hd__diode_2
*I *9690:A I *D sky130_fd_sc_hd__clkbuf_16
*I *9694:A I *D sky130_fd_sc_hd__clkbuf_16
*I *867:DIODE I *D sky130_fd_sc_hd__diode_2
*I *862:DIODE I *D sky130_fd_sc_hd__diode_2
*I *9688:A I *D sky130_fd_sc_hd__clkbuf_16
*I *9664:X O *D sky130_fd_sc_hd__clkbuf_16
*CAP
1 *9686:A 0
2 *9682:A 3.08975e-05
3 *856:DIODE 6.3267e-05
4 *9681:A 0.000156597
5 *855:DIODE 0
6 *9687:A 0
7 *861:DIODE 0
8 *9684:A 0.000467035
9 *858:DIODE 0
10 *9683:A 0.00013783
11 *857:DIODE 0
12 *860:DIODE 6.10747e-05
13 *852:DIODE 0.000153056
14 *842:DIODE 0
15 *859:DIODE 0
16 *9685:A 0.000195179
17 *9666:A 0.000138363
18 *9677:A 9.8029e-05
19 *863:DIODE 7.58715e-05
20 *9690:A 0
21 *9694:A 0.000221828
22 *867:DIODE 0
23 *862:DIODE 0
24 *9688:A 0.000943989
25 *9664:X 0
26 *291:202 0.000225349
27 *291:191 0.00164343
28 *291:180 0.00164983
29 *291:174 0.00205093
30 *291:168 0.00287813
31 *291:143 0.00114108
32 *291:138 0.00103015
33 *291:136 0.00143511
34 *291:134 0.00240932
35 *291:121 0.000776954
36 *291:87 0.00140336
37 *291:85 0.00172754
38 *291:75 0.00141083
39 *291:72 0.000906154
40 *291:64 0.00256968
41 *291:63 0.00276663
42 *291:59 0.00058671
43 *291:44 0.00121104
44 *291:29 0.00154168
45 *291:13 0.00151701
46 *291:10 0.00453494
47 *291:9 0.00440982
48 *291:4 0.00103107
49 *9666:A *293:8 6.05161e-06
50 *9684:A *311:10 1.56e-05
51 *9684:A *311:50 0.000141326
52 *9685:A *9562:CLK 0.000186662
53 *9685:A *9562:D 0.000188586
54 *291:9 *9030:B 1.74352e-05
55 *291:10 *9176:D 0
56 *291:10 *9178:D 0
57 *291:10 *9180:D 0
58 *291:10 *317:16 0
59 *291:29 *8939:A 4.23086e-05
60 *291:29 *8939:B 0.00010326
61 *291:29 *317:16 0
62 *291:59 *313:8 2.49484e-05
63 *291:59 *313:56 7.2754e-05
64 *291:59 *313:65 6.19181e-05
65 *291:59 *408:30 0
66 *291:63 *313:8 4.46186e-06
67 *291:63 *313:10 0.000213802
68 *291:64 *9550:D 0.000106455
69 *291:75 *8963:C 0
70 *291:75 *8964:B 0
71 *291:75 *9550:D 0
72 *291:85 *8962:A 3.69047e-06
73 *291:85 *9523:CLK 0
74 *291:85 *9528:D 0
75 *291:85 *293:8 0.000206341
76 *291:85 *293:82 0.000534222
77 *291:87 *9523:CLK 0
78 *291:87 *351:12 0
79 *291:134 *8929:C 0.000317594
80 *291:134 *8929:D 0
81 *291:134 *9207:D 0
82 *291:134 *9209:D 1.5942e-05
83 *291:136 *9209:D 5.5782e-05
84 *291:136 *9239:CLK 0
85 *291:136 *9239:D 8.16036e-05
86 *291:136 *9241:CLK 6.58289e-05
87 *291:136 *311:50 0.000134726
88 *291:136 *311:54 0
89 *291:138 *9241:CLK 3.2006e-05
90 *291:138 *311:50 2.6949e-05
91 *291:143 *9241:CLK 4.38511e-05
92 *291:143 *9519:CLK 0.000208678
93 *291:143 *311:50 2.8266e-06
94 *291:174 *8904:B 0
95 *291:174 *8904:C 0
96 *291:174 *8927:D 0.000539217
97 *291:174 *9231:D 0
98 *291:174 *9233:D 0
99 *291:174 *9344:CLK 0
100 *291:174 *9344:D 0
101 *291:174 *9348:D 0
102 *291:174 *314:29 0
103 *291:174 *314:40 0
104 *291:191 *8907:A 0
105 *291:191 *8907:B 0
106 *291:191 *314:7 1.40415e-05
107 *8930:C *856:DIODE 0
108 *8940:A *863:DIODE 0.000129595
109 *8940:A *291:44 0.000270885
110 *8940:B *291:44 0.000140933
111 *8940:C *291:44 0.000224583
112 *8965:A *291:75 1.3142e-05
113 *8965:B *291:75 0.00010049
114 *8965:C *291:75 0.000878742
115 *9032:A2 *291:9 0.000691792
116 *9032:A2 *291:59 0.000137515
117 *9058:A *291:143 0
118 *9062:A *9684:A 0.000322084
119 *9081:C1 *291:87 0
120 *9523:D *291:87 0
121 *162:13 *291:44 4.37999e-05
122 *180:9 *9685:A 0.000257651
123 *180:9 *291:87 0.000509479
124 *184:12 *291:75 0
*RES
1 *9664:X *291:4 9.3
2 *291:4 *291:9 15.7321
3 *291:9 *291:10 69.3393
4 *291:10 *291:13 11.1964
5 *291:13 *9688:A 20.1214
6 *291:13 *862:DIODE 9.3
7 *291:10 *291:29 8.26786
8 *291:29 *867:DIODE 9.3
9 *291:29 *9694:A 12.925
10 *291:29 *291:44 20.1607
11 *291:44 *9690:A 9.3
12 *291:44 *863:DIODE 11.4786
13 *291:4 *291:59 11.1607
14 *291:59 *291:63 9.48214
15 *291:63 *291:64 42.0179
16 *291:64 *9677:A 10.6571
17 *291:64 *291:72 4.5
18 *291:72 *291:75 21.9286
19 *291:75 *9666:A 11.4786
20 *291:75 *291:85 16.4107
21 *291:85 *291:87 22.8929
22 *291:87 *9685:A 19.6929
23 *291:87 *859:DIODE 13.8
24 *291:85 *842:DIODE 13.8
25 *291:72 *852:DIODE 15.9786
26 *291:63 *291:121 1.76786
27 *291:121 *860:DIODE 19.9429
28 *291:121 *291:134 16.5179
29 *291:134 *291:136 19.5536
30 *291:136 *291:138 1.03571
31 *291:138 *291:143 21.125
32 *291:143 *857:DIODE 9.3
33 *291:143 *9683:A 11.4786
34 *291:138 *858:DIODE 13.8
35 *291:136 *9684:A 24.1929
36 *291:134 *291:168 18.5893
37 *291:168 *291:174 44.3214
38 *291:174 *861:DIODE 9.3
39 *291:174 *291:180 2.58929
40 *291:180 *9687:A 9.3
41 *291:180 *291:191 32.3571
42 *291:191 *855:DIODE 9.3
43 *291:191 *9681:A 11.8893
44 *291:168 *291:202 2.17857
45 *291:202 *856:DIODE 19.9429
46 *291:202 *9682:A 9.83571
47 *291:59 *9686:A 13.8
*END
*D_NET *292 0.0626597
*CONN
*I *9671:A I *D sky130_fd_sc_hd__clkbuf_16
*I *846:DIODE I *D sky130_fd_sc_hd__diode_2
*I *9670:A I *D sky130_fd_sc_hd__clkbuf_16
*I *844:DIODE I *D sky130_fd_sc_hd__diode_2
*I *9668:A I *D sky130_fd_sc_hd__clkbuf_16
*I *866:DIODE I *D sky130_fd_sc_hd__diode_2
*I *9693:A I *D sky130_fd_sc_hd__clkbuf_16
*I *843:DIODE I *D sky130_fd_sc_hd__diode_2
*I *9667:A I *D sky130_fd_sc_hd__clkbuf_16
*I *864:DIODE I *D sky130_fd_sc_hd__diode_2
*I *865:DIODE I *D sky130_fd_sc_hd__diode_2
*I *9692:A I *D sky130_fd_sc_hd__clkbuf_16
*I *9691:A I *D sky130_fd_sc_hd__clkbuf_16
*I *9695:A I *D sky130_fd_sc_hd__clkbuf_16
*I *868:DIODE I *D sky130_fd_sc_hd__diode_2
*I *845:DIODE I *D sky130_fd_sc_hd__diode_2
*I *9672:A I *D sky130_fd_sc_hd__clkbuf_16
*I *847:DIODE I *D sky130_fd_sc_hd__diode_2
*I *9673:A I *D sky130_fd_sc_hd__clkbuf_16
*I *848:DIODE I *D sky130_fd_sc_hd__diode_2
*I *9674:A I *D sky130_fd_sc_hd__clkbuf_16
*I *849:DIODE I *D sky130_fd_sc_hd__diode_2
*I *9675:A I *D sky130_fd_sc_hd__clkbuf_16
*I *9676:A I *D sky130_fd_sc_hd__clkbuf_16
*I *9696:A I *D sky130_fd_sc_hd__clkbuf_16
*I *869:DIODE I *D sky130_fd_sc_hd__diode_2
*I *9678:A I *D sky130_fd_sc_hd__clkbuf_16
*I *853:DIODE I *D sky130_fd_sc_hd__diode_2
*I *851:DIODE I *D sky130_fd_sc_hd__diode_2
*I *850:DIODE I *D sky130_fd_sc_hd__diode_2
*I *854:DIODE I *D sky130_fd_sc_hd__diode_2
*I *9680:A I *D sky130_fd_sc_hd__clkbuf_16
*I *9665:X O *D sky130_fd_sc_hd__clkbuf_16
*CAP
1 *9671:A 5.23784e-05
2 *846:DIODE 0.000133933
3 *9670:A 0
4 *844:DIODE 8.2929e-05
5 *9668:A 0
6 *866:DIODE 0
7 *9693:A 0.000444989
8 *843:DIODE 0
9 *9667:A 0
10 *864:DIODE 0
11 *865:DIODE 0.00063698
12 *9692:A 8.32847e-05
13 *9691:A 4.66046e-05
14 *9695:A 0.000205622
15 *868:DIODE 0
16 *845:DIODE 0
17 *9672:A 0
18 *847:DIODE 0
19 *9673:A 0
20 *848:DIODE 4.00453e-05
21 *9674:A 0.00045277
22 *849:DIODE 0
23 *9675:A 0
24 *9676:A 0.000136002
25 *9696:A 0
26 *869:DIODE 9.41524e-05
27 *9678:A 8.61506e-05
28 *853:DIODE 0.000149759
29 *851:DIODE 0
30 *850:DIODE 0
31 *854:DIODE 0
32 *9680:A 0.000429583
33 *9665:X 0
34 *292:272 0.0014032
35 *292:240 0.00171298
36 *292:207 0.00231672
37 *292:203 0.00174615
38 *292:194 0.0018155
39 *292:184 0.00221614
40 *292:182 0.000979605
41 *292:180 0.00293849
42 *292:178 0.0014289
43 *292:176 0.0013259
44 *292:157 0.00144646
45 *292:152 0.00124084
46 *292:150 0.00132495
47 *292:146 0.00129592
48 *292:141 0.00283909
49 *292:135 0.000722425
50 *292:130 0.00159209
51 *292:119 0.0015341
52 *292:112 0.000649368
53 *292:74 9.41524e-05
54 *292:56 0.000456912
55 *292:54 0.00154648
56 *292:51 0.00150092
57 *292:45 0.00107397
58 *292:39 0.00195324
59 *292:36 0.00212437
60 *292:14 0.00119333
61 *292:12 0.00216397
62 *292:10 0.000363569
63 *292:8 0.00149962
64 *292:4 0.00137207
65 *844:DIODE *9437:D 0
66 *846:DIODE *569:8 0
67 *865:DIODE *8947:D 7.92879e-05
68 *865:DIODE *319:7 0.000175502
69 *9671:A *298:7 3.67142e-05
70 *9676:A *303:9 1.40415e-05
71 *9680:A *8898:A 0
72 *9680:A *9380:D 0.000186662
73 *9680:A *9394:D 0
74 *9680:A *307:5 0.000794157
75 *9693:A *320:5 8.66063e-05
76 *9693:A *320:10 0.000526414
77 *9693:A *320:104 0.000477075
78 *292:8 *9366:D 0
79 *292:8 *9368:CLK 0
80 *292:8 *9368:D 9.47456e-05
81 *292:8 *301:12 0
82 *292:8 *301:19 0
83 *292:10 *9362:D 0
84 *292:14 *8894:A 0.000128154
85 *292:14 *8894:C 0.000125717
86 *292:14 *8894:D 0.000295844
87 *292:14 *8898:A 0
88 *292:14 *9394:D 0
89 *292:36 *9358:D 0.00035137
90 *292:36 *9574:CLK 0.000134156
91 *292:36 *9574:D 0.000162433
92 *292:36 *9610:D 9.31055e-05
93 *292:36 *301:47 0.000108937
94 *292:36 *301:53 0.000223207
95 *292:39 *302:7 5.41494e-05
96 *292:54 *8876:D 0
97 *292:54 *8953:D 0.000129736
98 *292:54 *9509:D 0.000131247
99 *292:54 *9511:D 8.16036e-05
100 *292:54 *9594:CLK 5.03694e-05
101 *292:54 *9594:D 0
102 *292:54 *303:10 0.000260848
103 *292:54 *303:12 6.30023e-05
104 *292:54 *305:87 0
105 *292:56 *8876:D 0
106 *292:56 *9509:D 0.000153153
107 *292:112 *8917:A 0.000172829
108 *292:112 *8917:C 0
109 *292:112 *8917:D 0.000489429
110 *292:130 *8915:D 0
111 *292:130 *9281:D 0.000302237
112 *292:130 *9287:D 8.16036e-05
113 *292:130 *300:5 2.44318e-05
114 *292:141 *299:7 5.38072e-05
115 *292:146 *8886:A 0
116 *292:146 *9002:B 0.000128154
117 *292:146 *9002:C 7.6644e-05
118 *292:146 *9008:B 0
119 *292:146 *9011:A1 0
120 *292:146 *297:8 0
121 *292:146 *297:12 0
122 *292:176 *8887:A 4.23086e-05
123 *292:176 *8887:D 0
124 *292:176 *9427:D 0
125 *292:176 *9429:CLK 0
126 *292:176 *295:7 5.33433e-05
127 *292:176 *295:9 0.000119193
128 *292:178 *295:9 0.000171023
129 *292:180 *8885:C 2.30237e-05
130 *292:180 *9451:CLK 7.77751e-05
131 *292:180 *9451:D 1.64343e-05
132 *292:180 *9453:CLK 0.000126629
133 *292:180 *9453:D 0.000715864
134 *292:180 *295:9 4.87953e-05
135 *292:184 *294:7 0.000211078
136 *292:194 *8944:B 0
137 *292:194 *8944:C 4.884e-05
138 *292:194 *9619:CLK 0
139 *292:194 *9647:CLK 0.000112106
140 *292:194 *9649:D 5.35423e-05
141 *292:203 *8945:A 0
142 *292:207 *8945:A 0
143 *292:207 *8948:A 0
144 *292:207 *8948:B 0
145 *292:207 *8948:C 0
146 *292:207 *8949:A 0
147 *292:207 *9629:CLK 0
148 *292:207 *9629:D 0
149 *292:207 *9631:D 0
150 *292:207 *9633:D 0
151 *292:207 *9635:CLK 0
152 *292:207 *318:24 0
153 *292:207 *319:8 0
154 *292:207 *319:80 0
155 *292:207 *319:89 0
156 *292:207 *319:98 0
157 *292:207 *319:111 0
158 *292:240 *9449:CLK 0
159 *292:240 *9451:CLK 0
160 *292:240 *9614:CLK 0.000320024
161 *292:240 *320:108 9.25014e-06
162 *292:272 *9008:A 0.000297652
163 *292:272 *9008:B 5.52302e-05
164 *292:272 *9086:A 0
165 *292:272 *9086:B 0.000111579
166 *292:272 *9086:D 5.33005e-05
167 *292:272 *9097:A 0.000356015
168 *292:272 *9097:B 0.000167288
169 *292:272 *9097:C 3.48705e-05
170 *292:272 *9098:A2 0.000271345
171 *292:272 *559:5 0.000304767
172 *292:272 *559:7 4.27935e-05
173 *292:272 *559:9 0.000342688
174 *292:272 *559:32 0
175 *292:272 *569:8 0
176 *8879:D *869:DIODE 0.00022459
177 *8888:D *292:176 0.000295844
178 *8896:D *292:12 7.92879e-05
179 *8919:C *292:112 0
180 *8922:A *9695:A 3.14078e-05
181 *8922:A *292:157 0.000106467
182 *8946:B *9691:A 9.90367e-05
183 *8946:B *292:194 0.000272371
184 *8950:C *292:207 0
185 *9004:B *292:146 0.000227736
186 *9009:C *292:272 5.71472e-05
187 *9016:A *292:146 0
188 *9016:A *292:150 0
189 *9016:A *292:157 1.92789e-05
190 *9018:C *9695:A 0
191 *9095:A *292:272 0.000177941
192 *9099:C *292:272 5.52238e-05
193 *9132:A *292:240 0
194 *9250:D *292:146 8.15939e-05
195 *9251:D *292:157 5.39166e-06
196 *9252:D *9695:A 0.000128154
197 *9252:D *292:157 0.000148639
198 *9567:D *292:272 6.45381e-05
199 *9614:D *292:240 0
200 *111:10 *292:176 0.000177234
201 *113:9 *292:146 0
202 *113:9 *292:150 0
203 *113:9 *292:176 0
204 *208:16 *292:146 0.000927115
*RES
1 *9665:X *292:4 9.3
2 *292:4 *292:8 18.6607
3 *292:8 *292:10 3.16071
4 *292:10 *292:12 3.76786
5 *292:12 *292:14 15.9107
6 *292:14 *9680:A 23.5679
7 *292:14 *854:DIODE 13.8
8 *292:12 *292:36 36.3929
9 *292:36 *292:39 10.8214
10 *292:39 *850:DIODE 9.3
11 *292:39 *292:45 12.0357
12 *292:45 *851:DIODE 9.3
13 *292:45 *292:51 0.535714
14 *292:51 *292:54 33.4643
15 *292:54 *292:56 4.67857
16 *292:56 *853:DIODE 15.9786
17 *292:56 *9678:A 15.1571
18 *292:54 *292:74 4.5
19 *292:74 *869:DIODE 11.4786
20 *292:74 *9696:A 9.3
21 *292:51 *9676:A 11.4964
22 *292:36 *9675:A 9.3
23 *292:10 *849:DIODE 13.8
24 *292:8 *9674:A 21.3179
25 *292:4 *292:112 20.9643
26 *292:112 *848:DIODE 9.83571
27 *292:112 *292:119 1.76786
28 *292:119 *9673:A 9.3
29 *292:119 *292:130 32.1786
30 *292:130 *847:DIODE 9.3
31 *292:130 *292:135 2.58929
32 *292:135 *9672:A 9.3
33 *292:135 *292:141 8.75
34 *292:141 *292:146 33.4107
35 *292:146 *292:150 8.30357
36 *292:150 *292:152 4.5
37 *292:152 *845:DIODE 9.3
38 *292:152 *292:157 15.3214
39 *292:157 *868:DIODE 9.3
40 *292:157 *9695:A 22.1036
41 *292:150 *292:176 26.375
42 *292:176 *292:178 3
43 *292:178 *292:180 22.7143
44 *292:180 *292:182 5.875
45 *292:182 *292:184 10.3929
46 *292:184 *292:194 38.2321
47 *292:194 *9691:A 10.2464
48 *292:194 *292:203 7.35714
49 *292:203 *292:207 32.8571
50 *292:207 *9692:A 10.6571
51 *292:207 *865:DIODE 30.2286
52 *292:203 *864:DIODE 13.8
53 *292:184 *9667:A 9.3
54 *292:182 *843:DIODE 9.3
55 *292:180 *292:240 33.1071
56 *292:240 *9693:A 20.5321
57 *292:240 *866:DIODE 9.3
58 *292:178 *9668:A 9.3
59 *292:176 *844:DIODE 19.6393
60 *292:146 *9670:A 9.3
61 *292:141 *292:272 32.1964
62 *292:272 *846:DIODE 16.05
63 *292:272 *9671:A 14.7464
*END
*D_NET *293 0.0140808
*CONN
*I *9534:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9530:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9532:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9550:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9523:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9542:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9544:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9540:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9536:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9538:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9528:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9527:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9526:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9666:X O *D sky130_fd_sc_hd__clkbuf_16
*CAP
1 *9534:CLK 0.000228072
2 *9530:CLK 0
3 *9532:CLK 0
4 *9550:CLK 0.000410404
5 *9523:CLK 0.000619648
6 *9542:CLK 0
7 *9544:CLK 0.000231432
8 *9540:CLK 0
9 *9536:CLK 3.72704e-05
10 *9538:CLK 0
11 *9528:CLK 0
12 *9527:CLK 0.000345294
13 *9526:CLK 0.00057933
14 *9666:X 0
15 *293:102 0.000734323
16 *293:97 0.000624196
17 *293:84 0.000919925
18 *293:82 0.00061526
19 *293:62 0.000365841
20 *293:53 0.00027483
21 *293:46 0.000354355
22 *293:41 0.000785033
23 *293:32 0.000533829
24 *293:10 0.00145491
25 *293:8 0.000917362
26 *293:4 0.000774269
27 *9523:CLK *8962:D 7.36267e-05
28 *9534:CLK *9534:D 0.00013001
29 *9544:CLK *9540:D 4.79842e-05
30 *9544:CLK *9544:D 4.1331e-06
31 *9550:CLK *9532:D 0.000274288
32 *293:10 *9542:D 0
33 *293:41 *8963:A 0.000100261
34 *293:41 *9542:D 0.000541451
35 *293:46 *9536:D 0.000165033
36 *293:53 *9536:D 0.0001098
37 *293:62 *9536:D 4.46186e-06
38 *293:62 *9540:D 1.41706e-05
39 *293:82 *8962:A 6.4549e-05
40 *293:97 *8962:A 0.000176226
41 *293:97 *8962:D 0.000100566
42 *293:97 *9530:D 0.000126291
43 *293:102 *8962:A 0
44 *293:102 *9528:D 0
45 *9072:A *9523:CLK 0
46 *9073:A *9523:CLK 0
47 *9082:A2 *9526:CLK 7.83462e-05
48 *9082:B1_N *9526:CLK 0.000146071
49 *9523:D *9523:CLK 4.1331e-06
50 *9526:D *9526:CLK 0.000277729
51 *9527:D *9527:CLK 8.95186e-05
52 *9666:A *293:8 6.05161e-06
53 *184:12 *9526:CLK 0
54 *184:12 *293:8 0
55 *184:12 *293:10 0
56 *291:85 *9523:CLK 0
57 *291:85 *293:8 0.000206341
58 *291:85 *293:82 0.000534222
59 *291:87 *9523:CLK 0
*RES
1 *9666:X *293:4 9.3
2 *293:4 *293:8 9.96429
3 *293:8 *293:10 9.53571
4 *293:10 *9526:CLK 24.675
5 *293:10 *9527:CLK 19.2821
6 *293:8 *293:32 4.5
7 *293:32 *9528:CLK 9.3
8 *293:32 *293:41 10.0179
9 *293:41 *293:46 9.64286
10 *293:46 *9538:CLK 13.8
11 *293:46 *293:53 2.55357
12 *293:53 *9536:CLK 14.3357
13 *293:53 *293:62 2.55357
14 *293:62 *9540:CLK 13.8
15 *293:62 *9544:CLK 18.4786
16 *293:41 *9542:CLK 9.3
17 *293:4 *293:82 7.94643
18 *293:82 *293:84 4.5
19 *293:84 *9523:CLK 25.4607
20 *293:84 *293:97 10.9821
21 *293:97 *293:102 13.9821
22 *293:102 *9550:CLK 26.3893
23 *293:102 *9532:CLK 9.3
24 *293:97 *9530:CLK 9.3
25 *293:82 *9534:CLK 13.55
*END
*D_NET *294 0.0121826
*CONN
*I *9564:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9455:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9451:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9449:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9619:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9645:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9647:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9620:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9667:X O *D sky130_fd_sc_hd__clkbuf_16
*CAP
1 *9564:CLK 0.00142529
2 *9455:CLK 0
3 *9451:CLK 0.00022536
4 *9449:CLK 0.000154383
5 *9619:CLK 0.000364692
6 *9645:CLK 0
7 *9647:CLK 0.000490554
8 *9620:CLK 0.000181296
9 *9667:X 0.000251471
10 *294:58 0.000514176
11 *294:50 0.00119812
12 *294:28 0.000674105
13 *294:24 0.00156536
14 *294:19 0.0020808
15 *294:8 0.00029848
16 *294:7 0.00179395
17 *9449:CLK *9449:D 4.46186e-06
18 *9564:CLK *828:6 0
19 *9619:CLK *8944:B 9.27592e-05
20 *9647:CLK *8944:B 0
21 *9647:CLK *9647:D 4.1331e-06
22 *9647:CLK *9651:D 0
23 *294:24 *8944:A 5.33433e-05
24 *294:28 *9645:D 0.000127067
25 *294:58 *9455:D 4.95093e-05
26 *8946:A *9619:CLK 0
27 *8951:B *294:24 0.00014285
28 *9564:D *9564:CLK 8.95186e-05
29 *292:180 *9451:CLK 7.77751e-05
30 *292:184 *294:7 0.000211078
31 *292:194 *9619:CLK 0
32 *292:194 *9647:CLK 0.000112106
33 *292:240 *9449:CLK 0
34 *292:240 *9451:CLK 0
*RES
1 *9667:X *294:7 18.8536
2 *294:7 *294:8 1.94643
3 *294:8 *9620:CLK 16.8893
4 *294:8 *294:19 4.5
5 *294:19 *294:24 17.5179
6 *294:24 *294:28 3.42857
7 *294:28 *9647:CLK 27.8357
8 *294:28 *9645:CLK 9.3
9 *294:24 *9619:CLK 25.4071
10 *294:19 *294:50 17.7857
11 *294:50 *294:58 7.125
12 *294:58 *9449:CLK 16.2821
13 *294:58 *9451:CLK 18.0143
14 *294:50 *9455:CLK 9.3
15 *294:7 *9564:CLK 38.175
*END
*D_NET *295 0.00961767
*CONN
*I *9429:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9413:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9415:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9409:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9411:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9439:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9435:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9433:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9443:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9445:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9437:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9453:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9668:X O *D sky130_fd_sc_hd__clkbuf_16
*CAP
1 *9429:CLK 0.000448958
2 *9413:CLK 0.000231775
3 *9415:CLK 4.10635e-05
4 *9409:CLK 0.000152677
5 *9411:CLK 6.17105e-05
6 *9439:CLK 6.17105e-05
7 *9435:CLK 0.000101742
8 *9433:CLK 4.05636e-05
9 *9443:CLK 0.000185606
10 *9445:CLK 0.000211702
11 *9437:CLK 6.40134e-05
12 *9453:CLK 9.33082e-05
13 *9668:X 6.13148e-05
14 *295:96 0.000426174
15 *295:87 0.000452904
16 *295:78 0.000445888
17 *295:37 0.000805492
18 *295:35 0.000588109
19 *295:33 0.000417926
20 *295:31 0.000370894
21 *295:18 0.000299328
22 *295:17 0.00034024
23 *295:9 0.000345021
24 *295:7 0.000761986
25 *9409:CLK *9409:D 0.00013001
26 *9413:CLK *9413:D 0.00013001
27 *9413:CLK *9415:D 0
28 *9415:CLK *9411:D 5.33433e-05
29 *9429:CLK *8887:B 1.15172e-05
30 *9433:CLK *9433:D 9.90431e-05
31 *9435:CLK *9435:D 0.00013001
32 *9443:CLK *9443:D 0.000127067
33 *9445:CLK *8886:B 7.50473e-05
34 *9445:CLK *9445:D 0
35 *295:18 *9437:D 0.000200406
36 *295:31 *9439:D 0
37 *295:33 *9439:D 0
38 *295:35 *9439:D 0
39 *295:37 *9439:D 0
40 *295:37 *9445:D 0
41 *295:78 *9437:D 0.00020042
42 *295:87 *9411:D 0
43 *295:96 *9411:D 0
44 *8888:B *9429:CLK 0.000230482
45 *8888:B *9437:CLK 1.92789e-05
46 *8889:D *9429:CLK 0.000451463
47 *113:9 *9429:CLK 0.000230482
48 *292:176 *9429:CLK 0
49 *292:176 *295:7 5.33433e-05
50 *292:176 *295:9 0.000119193
51 *292:178 *295:9 0.000171023
52 *292:180 *9453:CLK 0.000126629
53 *292:180 *295:9 4.87953e-05
*RES
1 *9668:X *295:7 10.675
2 *295:7 *295:9 5.875
3 *295:9 *9453:CLK 11.4786
4 *295:9 *295:17 4.5
5 *295:17 *295:18 2.70536
6 *295:18 *9437:CLK 15.1482
7 *295:18 *295:31 2.40179
8 *295:31 *295:33 2.85714
9 *295:33 *295:35 2.25
10 *295:35 *295:37 7.41071
11 *295:37 *9445:CLK 17.8179
12 *295:37 *9443:CLK 17.2107
13 *295:35 *9433:CLK 14.7464
14 *295:33 *9435:CLK 15.9964
15 *295:31 *9439:CLK 14.7464
16 *295:17 *295:78 4.98214
17 *295:78 *9411:CLK 14.7464
18 *295:78 *295:87 2.55357
19 *295:87 *9409:CLK 16.8
20 *295:87 *295:96 2.55357
21 *295:96 *9415:CLK 14.7464
22 *295:96 *9413:CLK 18.2464
23 *295:7 *9429:CLK 29.0143
*END
*D_NET *296 0.0106599
*CONN
*I *9423:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9421:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9419:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9417:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9408:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9459:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9457:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9352:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9196:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9407:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9669:X O *D sky130_fd_sc_hd__clkbuf_16
*CAP
1 *9423:CLK 0
2 *9421:CLK 0.00014015
3 *9419:CLK 2.91823e-05
4 *9417:CLK 0.000158056
5 *9408:CLK 2.3671e-05
6 *9459:CLK 0.000725171
7 *9457:CLK 7.57644e-05
8 *9352:CLK 0.00038758
9 *9196:CLK 3.72884e-05
10 *9407:CLK 0
11 *9669:X 0
12 *296:77 0.000301142
13 *296:76 0.000291324
14 *296:72 0.000862232
15 *296:39 0.00118184
16 *296:37 0.000622053
17 *296:16 0.000658894
18 *296:13 0.000627179
19 *296:8 0.000255232
20 *296:4 0.000904513
21 *9417:CLK *9417:D 7.92879e-05
22 *9419:CLK *9417:D 1.92789e-05
23 *9457:CLK *9457:D 0.000101545
24 *9457:CLK *322:8 0
25 *9459:CLK *9459:D 7.08482e-05
26 *296:8 *9042:A_N 0
27 *296:8 *352:8 0
28 *296:13 *352:7 4.53889e-05
29 *296:16 *8890:A_N 0.000198698
30 *296:16 *9042:A_N 6.16864e-05
31 *296:37 *322:8 0
32 *296:37 *352:7 5.56679e-05
33 *296:39 *8884:B1 0
34 *296:39 *9457:D 0.000202842
35 *296:39 *322:8 0
36 *296:72 *8882:B 0
37 *296:72 *8882:C 0.000271345
38 *296:72 *9419:D 0.000219828
39 *296:72 *9423:D 0.000278574
40 *8889:A *9417:CLK 3.18676e-05
41 *9042:B *296:16 0.000264844
42 *9046:A *296:13 0.000312222
43 *9046:A *296:37 0.000339738
44 *9046:C *296:37 6.16744e-05
45 *9046:C *296:39 8.15939e-05
46 *9407:D *296:8 0.000154762
47 *9407:D *296:13 0.00013001
48 *9408:D *9408:CLK 5.52302e-05
49 *9408:D *296:37 7.2754e-05
50 *19:8 *9352:CLK 4.46186e-06
51 *19:8 *296:16 8.89385e-05
52 *116:8 *296:16 0.000175519
*RES
1 *9669:X *296:4 9.3
2 *296:4 *296:8 10.9464
3 *296:8 *9407:CLK 9.3
4 *296:8 *296:13 4.64286
5 *296:13 *296:16 12.2143
6 *296:16 *9196:CLK 14.3357
7 *296:16 *9352:CLK 20.2821
8 *296:13 *296:37 10.1607
9 *296:37 *296:39 8.32143
10 *296:39 *9457:CLK 15.4429
11 *296:39 *9459:CLK 22.4607
12 *296:37 *9408:CLK 14.3357
13 *296:4 *296:72 26.0893
14 *296:72 *296:76 5.03571
15 *296:76 *296:77 2.25
16 *296:77 *9417:CLK 17.1929
17 *296:77 *9419:CLK 14.3357
18 *296:76 *9421:CLK 16.3536
19 *296:72 *9423:CLK 9.3
*END
*D_NET *297 0.00981453
*CONN
*I *9427:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9431:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9425:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9252:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9251:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9447:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9441:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9259:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9249:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9248:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9670:X O *D sky130_fd_sc_hd__clkbuf_16
*CAP
1 *9427:CLK 0
2 *9431:CLK 0.000197543
3 *9425:CLK 0
4 *9252:CLK 0
5 *9251:CLK 0
6 *9447:CLK 0.000116277
7 *9441:CLK 0
8 *9259:CLK 0.000320915
9 *9249:CLK 0.000602655
10 *9248:CLK 0.000155692
11 *9670:X 0
12 *297:84 0.000314451
13 *297:79 0.000581215
14 *297:67 0.000582141
15 *297:38 0.000620294
16 *297:36 0.000965996
17 *297:31 0.000550341
18 *297:12 0.00109065
19 *297:8 0.000682391
20 *297:4 0.000467926
21 *9249:CLK *9007:B 0
22 *9249:CLK *9008:A 0
23 *9249:CLK *9011:B1 0
24 *9249:CLK *436:10 0
25 *9249:CLK *447:21 0
26 *9259:CLK *9441:D 0
27 *9431:CLK *9427:D 7.80929e-05
28 *9447:CLK *9447:D 7.26935e-05
29 *297:36 *8886:A 0.00018372
30 *297:36 *8886:D 0.000126639
31 *297:36 *9004:A 0.000151061
32 *297:38 *9441:D 1.64343e-05
33 *297:79 *9425:D 7.6644e-05
34 *297:79 *9427:D 2.87274e-05
35 *297:84 *9425:D 6.26653e-05
36 *297:84 *9427:D 0.000110515
37 *9005:C *297:12 0.000152919
38 *9006:A *297:12 0.00020042
39 *9009:A *9249:CLK 0
40 *9009:B *9249:CLK 6.74815e-05
41 *9016:A *297:8 0.00012401
42 *9016:A *297:12 0.000150625
43 *9016:A *297:67 0
44 *9017:B *297:67 0.00025263
45 *9017:B *297:79 0.000227014
46 *9248:D *9248:CLK 3.32132e-06
47 *9248:D *9249:CLK 1.90303e-05
48 *9249:D *9249:CLK 4.1331e-06
49 *9251:D *297:67 0
50 *144:32 *9249:CLK 0.000278837
51 *144:32 *297:12 5.90443e-06
52 *208:16 *297:12 0
53 *210:5 *297:36 0.000172536
54 *292:146 *297:8 0
55 *292:146 *297:12 0
*RES
1 *9670:X *297:4 9.3
2 *297:4 *297:8 9.14286
3 *297:8 *297:12 12.8214
4 *297:12 *9248:CLK 11.3893
5 *297:12 *9249:CLK 29.4071
6 *297:8 *297:31 4.5
7 *297:31 *297:36 12.1786
8 *297:36 *297:38 5.05357
9 *297:38 *9259:CLK 23.925
10 *297:38 *9441:CLK 9.3
11 *297:36 *9447:CLK 20.8536
12 *297:31 *9251:CLK 9.3
13 *297:4 *297:67 7.66071
14 *297:67 *9252:CLK 13.8
15 *297:67 *297:79 13.3929
16 *297:79 *297:84 7.58929
17 *297:84 *9425:CLK 13.8
18 *297:84 *9431:CLK 17.7107
19 *297:79 *9427:CLK 9.3
*END
*D_NET *298 0.0086801
*CONN
*I *9566:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9570:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9569:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9565:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9567:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9568:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9571:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9671:X O *D sky130_fd_sc_hd__clkbuf_16
*CAP
1 *9566:CLK 0.00026749
2 *9570:CLK 3.72704e-05
3 *9569:CLK 0.00028398
4 *9565:CLK 0.000542596
5 *9567:CLK 0.000412137
6 *9568:CLK 0
7 *9571:CLK 0.000580531
8 *9671:X 0
9 *298:51 0.000716682
10 *298:50 0.000937696
11 *298:22 0.00105301
12 *298:8 0.000666423
13 *298:7 0.00104248
14 *298:4 0.00113308
15 *9565:CLK *9097:B 7.6644e-05
16 *9565:CLK *568:10 5.97623e-05
17 *9087:A *298:7 4.01768e-05
18 *9092:A *9565:CLK 0
19 *9096:A *9566:CLK 0
20 *9099:C *9565:CLK 7.6644e-05
21 *9102:C *9565:CLK 3.20153e-05
22 *9102:C *9571:CLK 5.07157e-05
23 *9102:C *298:8 6.8646e-06
24 *9102:C *298:22 6.35798e-05
25 *9103:A *9571:CLK 0
26 *9103:A *298:8 0
27 *9103:A *298:22 0
28 *9114:C *9571:CLK 6.65398e-05
29 *9115:A *9571:CLK 0
30 *9566:D *9566:CLK 4.79842e-05
31 *9567:D *9565:CLK 0
32 *9567:D *9567:CLK 7.69167e-05
33 *9568:D *9571:CLK 1.02504e-05
34 *9568:D *298:7 5.33005e-05
35 *9568:D *298:8 0.00015304
36 *9569:D *9569:CLK 8.16036e-05
37 *9671:A *298:7 3.67142e-05
38 *268:14 *9571:CLK 0
39 *268:16 *9571:CLK 0
40 *271:33 *9571:CLK 7.39637e-05
41 *271:47 *9565:CLK 0
42 *271:47 *9571:CLK 0
*RES
1 *9671:X *298:4 9.3
2 *298:4 *298:7 17.7679
3 *298:7 *298:8 2.25
4 *298:8 *9571:CLK 25.7643
5 *298:8 *9568:CLK 13.8
6 *298:7 *298:22 2.25
7 *298:22 *9567:CLK 19.3
8 *298:22 *9565:CLK 23.8357
9 *298:4 *298:50 8.73214
10 *298:50 *298:51 7.10714
11 *298:51 *9569:CLK 19.3179
12 *298:51 *9570:CLK 14.3357
13 *298:50 *9566:CLK 19.0857
*END
*D_NET *299 0.00675652
*CONN
*I *9261:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9253:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9250:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9287:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9582:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9672:X O *D sky130_fd_sc_hd__clkbuf_16
*CAP
1 *9261:CLK 0.000249302
2 *9253:CLK 3.47624e-05
3 *9250:CLK 8.61506e-05
4 *9287:CLK 0.000548806
5 *9582:CLK 0.000466206
6 *9672:X 0.000131826
7 *299:40 0.00097469
8 *299:24 0.00106706
9 *299:8 0.00152731
10 *299:7 0.000934408
11 *9261:CLK *9253:D 8.16036e-05
12 *9261:CLK *9261:D 4.1331e-06
13 *9287:CLK *9287:D 0.000154027
14 *9582:CLK *8957:A 0
15 *9582:CLK *8957:C 0
16 *9582:CLK *9580:D 0.000131985
17 *299:40 *9002:C 0
18 *299:40 *9253:D 1.64343e-05
19 *9250:D *299:24 0
20 *9250:D *299:40 0
21 *143:10 *299:24 6.74911e-05
22 *143:10 *299:40 8.66132e-05
23 *144:9 *299:40 0.000139907
24 *292:141 *299:7 5.38072e-05
*RES
1 *9672:X *299:7 15.5679
2 *299:7 *299:8 9.23214
3 *299:8 *9582:CLK 23.3357
4 *299:8 *9287:CLK 23.7821
5 *299:7 *299:24 5.58929
6 *299:24 *9250:CLK 15.1571
7 *299:24 *299:40 16.875
8 *299:40 *9253:CLK 9.83571
9 *299:40 *9261:CLK 23.2821
*END
*D_NET *300 0.0118364
*CONN
*I *9281:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9285:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9283:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9279:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9293:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9295:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9275:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9271:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9265:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9277:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9588:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9586:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9673:X O *D sky130_fd_sc_hd__clkbuf_16
*CAP
1 *9281:CLK 5.28838e-05
2 *9285:CLK 0.00015694
3 *9283:CLK 3.7311e-05
4 *9279:CLK 0.000636572
5 *9293:CLK 0
6 *9295:CLK 0
7 *9275:CLK 0
8 *9271:CLK 0
9 *9265:CLK 0.000369956
10 *9277:CLK 0.000170375
11 *9588:CLK 5.66949e-05
12 *9586:CLK 0.00013418
13 *9673:X 4.1636e-05
14 *300:85 0.00096318
15 *300:77 0.000812599
16 *300:52 0.000559174
17 *300:47 0.000344062
18 *300:45 0.000796848
19 *300:34 0.00165718
20 *300:15 0.000749593
21 *300:10 0.00199423
22 *300:8 0.000292348
23 *300:7 0.000307248
24 *300:5 9.45198e-05
25 *9265:CLK *9265:D 0.000167774
26 *9277:CLK *8917:C 0
27 *9277:CLK *9271:D 3.17148e-05
28 *9277:CLK *9277:D 0
29 *9279:CLK *8916:A 0.000105591
30 *9279:CLK *8916:B 0
31 *9279:CLK *8916:D 0
32 *9281:CLK *9281:D 0.000141734
33 *9285:CLK *9281:D 0.000173804
34 *300:5 *9281:D 5.52238e-05
35 *300:34 *8915:A 9.33978e-07
36 *300:34 *9271:D 5.33005e-05
37 *300:34 *9277:D 2.09915e-05
38 *300:45 *9271:D 0.000126439
39 *300:47 *9275:D 1.64343e-05
40 *300:52 *9275:D 0
41 *300:77 *9271:D 0
42 *300:77 *9275:D 5.57914e-05
43 *300:77 *9289:D 9.24395e-05
44 *300:77 *9295:D 1.19309e-05
45 *300:85 *8916:A 6.97972e-05
46 *300:85 *9295:D 1.64343e-05
47 *8919:D *300:85 0.000444143
48 *292:130 *300:5 2.44318e-05
*RES
1 *9673:X *300:5 10.2464
2 *300:5 *300:7 4.5
3 *300:7 *300:8 2.55357
4 *300:8 *300:10 1.64286
5 *300:10 *300:15 14.1786
6 *300:15 *9586:CLK 20.55
7 *300:15 *9588:CLK 10.2464
8 *300:10 *300:34 24.3214
9 *300:34 *9277:CLK 16.9607
10 *300:34 *300:45 7.5
11 *300:45 *300:47 2.58929
12 *300:47 *300:52 7.69643
13 *300:52 *9265:CLK 20.675
14 *300:52 *9271:CLK 13.8
15 *300:47 *9275:CLK 9.3
16 *300:45 *300:77 18.8393
17 *300:77 *9295:CLK 9.3
18 *300:77 *300:85 12.5179
19 *300:85 *9293:CLK 13.8
20 *300:85 *9279:CLK 24.9071
21 *300:8 *9283:CLK 14.3357
22 *300:7 *9285:CLK 16.8893
23 *300:5 *9281:CLK 10.6571
*END
*D_NET *301 0.0156026
*CONN
*I *9590:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9358:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9398:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9386:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9376:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9326:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9382:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9388:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9402:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9400:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9368:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9374:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9366:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9362:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9674:X O *D sky130_fd_sc_hd__clkbuf_16
*CAP
1 *9590:CLK 0.000460688
2 *9358:CLK 2.23854e-05
3 *9398:CLK 3.82418e-05
4 *9386:CLK 2.23854e-05
5 *9376:CLK 0
6 *9326:CLK 0.000436019
7 *9382:CLK 4.18948e-05
8 *9388:CLK 3.72704e-05
9 *9402:CLK 0
10 *9400:CLK 0.000206605
11 *9368:CLK 0.000326284
12 *9374:CLK 0.000282833
13 *9366:CLK 0
14 *9362:CLK 0
15 *9674:X 0.000132523
16 *301:102 0.000580137
17 *301:91 0.000316411
18 *301:82 0.000302942
19 *301:77 0.00103147
20 *301:58 0.000273932
21 *301:57 0.00101285
22 *301:53 0.00100056
23 *301:47 0.00172563
24 *301:41 0.00190012
25 *301:19 0.000657289
26 *301:12 0.000201344
27 *301:5 0.000912478
28 *9326:CLK *9376:D 0.000184745
29 *9368:CLK *9368:D 1.64343e-05
30 *9368:CLK *9374:D 0
31 *9374:CLK *9366:D 0.000534222
32 *9374:CLK *9374:D 0.000801385
33 *9382:CLK *9382:D 0.000142856
34 *9382:CLK *9386:D 3.32631e-05
35 *9400:CLK *9398:D 0.000202128
36 *9400:CLK *9400:D 0
37 *9590:CLK *8893:C 0
38 *301:41 *9362:D 1.64343e-05
39 *301:47 *8893:C 0
40 *301:47 *8893:D 0
41 *301:47 *9356:D 0
42 *301:47 *9358:D 4.85154e-05
43 *301:53 *8894:C 0.000183713
44 *301:53 *9358:D 0.000125057
45 *301:53 *9398:D 5.38218e-05
46 *301:57 *9398:D 3.67257e-05
47 *301:58 *9398:D 0.000119618
48 *301:58 *9400:D 0
49 *301:77 *8895:A 3.34295e-05
50 *301:77 *8895:C 5.83233e-05
51 *301:77 *8895:D 0.000318107
52 *301:77 *9386:D 8.95186e-05
53 *301:77 *9398:D 7.29667e-05
54 *301:77 *9400:D 0
55 *301:82 *9386:D 9.34004e-05
56 *301:91 *9376:D 0
57 *301:91 *9386:D 6.5185e-05
58 *301:91 *9388:D 0
59 *301:102 *9376:D 0
60 *301:102 *9388:D 0
61 *8896:A *301:47 0.000118349
62 *292:8 *9368:CLK 0
63 *292:8 *301:12 0
64 *292:8 *301:19 0
65 *292:36 *301:47 0.000108937
66 *292:36 *301:53 0.000223207
*RES
1 *9674:X *301:5 11.4786
2 *301:5 *9362:CLK 9.3
3 *301:5 *301:12 7.05357
4 *301:12 *9366:CLK 13.8
5 *301:12 *301:19 0.732143
6 *301:19 *9374:CLK 22.9786
7 *301:19 *9368:CLK 18.7464
8 *301:5 *301:41 14.4821
9 *301:41 *301:47 18
10 *301:47 *301:53 15.4643
11 *301:53 *301:57 5.44643
12 *301:57 *301:58 1.64286
13 *301:58 *9400:CLK 18.1214
14 *301:58 *9402:CLK 13.8
15 *301:57 *301:77 20.2679
16 *301:77 *301:82 7.28571
17 *301:82 *9388:CLK 14.3357
18 *301:82 *301:91 2.55357
19 *301:91 *9382:CLK 15.1571
20 *301:91 *301:102 6.75
21 *301:102 *9326:CLK 17.2286
22 *301:102 *9376:CLK 9.3
23 *301:77 *9386:CLK 9.72857
24 *301:53 *9398:CLK 10.0321
25 *301:47 *9358:CLK 9.72857
26 *301:41 *9590:CLK 21.7821
*END
*D_NET *302 0.0155265
*CONN
*I *9578:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9580:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9592:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9604:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9576:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9574:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9353:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9354:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9370:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9364:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9372:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9610:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9404:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9356:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9675:X O *D sky130_fd_sc_hd__clkbuf_16
*CAP
1 *9578:CLK 8.05796e-05
2 *9580:CLK 8.64184e-05
3 *9592:CLK 0.000551963
4 *9604:CLK 5.38476e-05
5 *9576:CLK 2.06112e-05
6 *9574:CLK 0.000361584
7 *9353:CLK 6.35045e-05
8 *9354:CLK 0.000283029
9 *9370:CLK 0.000639884
10 *9364:CLK 0.000277925
11 *9372:CLK 0.000157004
12 *9610:CLK 2.23854e-05
13 *9404:CLK 0
14 *9356:CLK 0.000213153
15 *9675:X 6.35271e-05
16 *302:118 0.000638382
17 *302:116 0.000516887
18 *302:107 0.000589727
19 *302:66 0.000922913
20 *302:48 0.00063542
21 *302:46 0.000338973
22 *302:44 0.000633569
23 *302:43 0.000793167
24 *302:21 0.000918406
25 *302:17 0.000831821
26 *302:15 0.000634955
27 *302:13 0.000729655
28 *302:7 0.000341371
29 *9354:CLK *8897:C 0.000184745
30 *9354:CLK *9033:A_N 0.000273269
31 *9364:CLK *9364:D 0.000102583
32 *9370:CLK *8897:B 0.000115427
33 *9370:CLK *8897:C 3.9846e-05
34 *9370:CLK *8897:D 9.90431e-05
35 *9370:CLK *9370:D 0.000231812
36 *9372:CLK *8897:D 0
37 *9372:CLK *9364:D 0
38 *9372:CLK *9372:D 5.52302e-05
39 *9574:CLK *9574:D 2.09846e-05
40 *9574:CLK *9610:D 0
41 *9578:CLK *9580:D 0
42 *9580:CLK *9584:D 8.21467e-05
43 *9592:CLK *8957:A 0.000492333
44 *9592:CLK *9584:D 5.03772e-05
45 *9592:CLK *9592:D 0.00067289
46 *9604:CLK *8955:A 5.33005e-05
47 *302:13 *8955:A 0.000150618
48 *302:13 *9576:D 8.95186e-05
49 *302:15 *9610:D 0.000216121
50 *302:17 *9610:D 5.95099e-05
51 *302:21 *9404:D 1.64343e-05
52 *302:48 *8897:C 0
53 *302:48 *8897:D 0
54 *302:107 *8955:A 0.000176234
55 *302:116 *9604:D 0
56 *8899:C *9370:CLK 3.58684e-05
57 *8900:A *9356:CLK 0
58 *8958:A *302:107 2.10446e-05
59 *8958:A *302:116 0.00020772
60 *8958:C *9578:CLK 7.71417e-05
61 *8958:C *302:116 0.000129185
62 *9033:B *9354:CLK 0.000196968
63 *9034:A *9354:CLK 3.30237e-05
64 *9353:D *9372:CLK 0
65 *9353:D *302:46 0
66 *9353:D *302:48 0
67 *126:8 *9353:CLK 5.41609e-05
68 *292:36 *9574:CLK 0.000134156
69 *292:39 *302:7 5.41494e-05
*RES
1 *9675:X *302:7 15.1571
2 *302:7 *302:13 8.23214
3 *302:13 *302:15 8.75
4 *302:15 *302:17 1.76786
5 *302:17 *302:21 10.8214
6 *302:21 *9356:CLK 21.9071
7 *302:21 *9404:CLK 9.3
8 *302:17 *9610:CLK 9.72857
9 *302:15 *302:43 4.5
10 *302:43 *302:44 7.71429
11 *302:44 *302:46 2.25
12 *302:46 *302:48 3.16071
13 *302:48 *9372:CLK 16.5857
14 *302:48 *9364:CLK 18.4429
15 *302:46 *302:66 4.5
16 *302:66 *9370:CLK 20.9429
17 *302:66 *9354:CLK 16.8357
18 *302:44 *9353:CLK 15.1571
19 *302:43 *9574:CLK 20.0679
20 *302:13 *9576:CLK 9.72857
21 *302:7 *302:107 2.55357
22 *302:107 *9604:CLK 14.7464
23 *302:107 *302:116 10.1429
24 *302:116 *302:118 4.5
25 *302:118 *9592:CLK 22.175
26 *302:118 *9580:CLK 11.0857
27 *302:116 *9578:CLK 15.7464
*END
*D_NET *303 0.0127542
*CONN
*I *9572:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9584:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9517:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9573:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9602:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9608:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9606:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9598:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9596:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9600:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9355:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9594:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9676:X O *D sky130_fd_sc_hd__clkbuf_16
*CAP
1 *9572:CLK 0
2 *9584:CLK 0.000724002
3 *9517:CLK 0.00075264
4 *9573:CLK 0
5 *9602:CLK 2.23854e-05
6 *9608:CLK 9.33876e-05
7 *9606:CLK 0.000293606
8 *9598:CLK 2.91823e-05
9 *9596:CLK 8.67163e-05
10 *9600:CLK 0.000108586
11 *9355:CLK 0.000192519
12 *9594:CLK 0.000508821
13 *9676:X 4.41446e-05
14 *303:105 0.000917698
15 *303:91 0.00106628
16 *303:84 0.000302105
17 *303:62 0.000420529
18 *303:58 0.000494854
19 *303:32 0.000461376
20 *303:25 0.000458593
21 *303:12 0.000604453
22 *303:10 0.000508309
23 *303:9 0.00133895
24 *303:5 0.000742828
25 *9355:CLK *9594:D 6.81335e-05
26 *9517:CLK *9090:A1 5.52238e-05
27 *9584:CLK *8956:A 0.000248798
28 *9584:CLK *9578:D 5.33005e-05
29 *9584:CLK *9584:D 1.64343e-05
30 *9594:CLK *8952:D 0
31 *9594:CLK *9594:D 4.87669e-06
32 *9598:CLK *9596:D 1.92789e-05
33 *9600:CLK *8952:D 0
34 *9600:CLK *9600:D 7.58571e-05
35 *9606:CLK *8953:D 0
36 *9606:CLK *9602:D 1.76039e-05
37 *9606:CLK *9606:D 4.1331e-06
38 *9608:CLK *9602:D 6.51946e-05
39 *9608:CLK *9608:D 4.1331e-06
40 *303:10 *8953:A 6.8646e-06
41 *303:10 *8953:B 7.6644e-05
42 *303:10 *8953:C 0.00019197
43 *303:10 *8953:D 0
44 *303:10 *9598:D 7.83366e-05
45 *303:12 *8952:D 0
46 *303:12 *9598:D 4.46186e-06
47 *303:58 *8953:A 3.79104e-05
48 *303:58 *8953:C 3.92854e-05
49 *303:58 *8953:D 7.51199e-05
50 *303:58 *9602:D 8.95186e-05
51 *303:62 *8953:D 1.40415e-05
52 *8959:B *9517:CLK 0.000272371
53 *9114:C *9584:CLK 0
54 *9115:A *9584:CLK 3.67142e-05
55 *9117:B *303:84 0
56 *9118:A *303:84 0
57 *9118:A *303:91 0
58 *9119:B1 *303:84 6.74911e-05
59 *9119:B1 *303:91 9.80029e-05
60 *9572:D *303:105 0.00013001
61 *9676:A *303:9 1.40415e-05
62 *174:8 *303:84 6.58195e-05
63 *178:14 *303:105 0.000120439
64 *271:7 *9517:CLK 3.67257e-05
65 *271:21 *9584:CLK 0
66 *271:21 *303:91 4.00025e-05
67 *271:21 *303:105 7.92975e-05
68 *292:54 *9594:CLK 5.03694e-05
69 *292:54 *303:10 0.000260848
70 *292:54 *303:12 6.30023e-05
*RES
1 *9676:X *303:5 9.83571
2 *303:5 *303:9 10.375
3 *303:9 *303:10 10.75
4 *303:10 *303:12 2.25
5 *303:12 *9594:CLK 20.7107
6 *303:12 *303:25 4.5
7 *303:25 *9355:CLK 12.7107
8 *303:25 *303:32 4.23214
9 *303:32 *9600:CLK 20.8536
10 *303:32 *9596:CLK 10.6571
11 *303:10 *9598:CLK 14.3357
12 *303:9 *303:58 12.625
13 *303:58 *303:62 5.03571
14 *303:62 *9606:CLK 19.0857
15 *303:62 *9608:CLK 15.7464
16 *303:58 *9602:CLK 9.72857
17 *303:5 *303:84 8.57143
18 *303:84 *9573:CLK 13.8
19 *303:84 *303:91 3.16071
20 *303:91 *9517:CLK 25.8536
21 *303:91 *303:105 9.44643
22 *303:105 *9584:CLK 32.4429
23 *303:105 *9572:CLK 9.3
*END
*D_NET *304 0.00195402
*CONN
*I *9247:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9546:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9548:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9677:X O *D sky130_fd_sc_hd__clkbuf_16
*CAP
1 *9247:CLK 0.000235073
2 *9546:CLK 0.000151116
3 *9548:CLK 7.77178e-05
4 *9677:X 0
5 *304:8 0.000474852
6 *304:4 0.000481091
7 *9247:CLK *9548:D 0.000177941
8 *9546:CLK *9546:D 8.33504e-05
9 *9548:CLK *8964:D 0
10 *9548:CLK *9544:D 6.26466e-05
11 *9548:CLK *9548:D 5.5782e-05
12 *304:8 *9544:D 5.07157e-05
13 *304:8 *9548:D 0.000103739
*RES
1 *9677:X *304:4 9.3
2 *304:4 *304:8 9.32143
3 *304:8 *9548:CLK 16.05
4 *304:8 *9546:CLK 16.3893
5 *304:4 *9247:CLK 22.9071
*END
*D_NET *305 0.0146992
*CONN
*I *9505:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9503:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9501:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9507:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9489:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9511:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9497:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9493:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9491:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9495:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9509:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9513:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9499:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9465:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9515:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9462:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9463:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9678:X O *D sky130_fd_sc_hd__clkbuf_16
*CAP
1 *9505:CLK 0.000126811
2 *9503:CLK 0.000382378
3 *9501:CLK 2.61224e-05
4 *9507:CLK 0
5 *9489:CLK 0.000334694
6 *9511:CLK 0
7 *9497:CLK 0
8 *9493:CLK 0
9 *9491:CLK 0.000242925
10 *9495:CLK 9.7459e-05
11 *9509:CLK 3.72884e-05
12 *9513:CLK 0
13 *9499:CLK 8.96016e-05
14 *9465:CLK 0
15 *9515:CLK 3.72704e-05
16 *9462:CLK 0.000306781
17 *9463:CLK 3.72884e-05
18 *9678:X 0
19 *305:148 0.000505437
20 *305:145 0.000617782
21 *305:129 0.000520926
22 *305:96 0.000433148
23 *305:94 0.000224078
24 *305:89 0.000979738
25 *305:87 0.00100511
26 *305:75 0.000424066
27 *305:72 0.000905602
28 *305:64 0.000685403
29 *305:28 0.000468492
30 *305:19 0.000329694
31 *305:14 0.000309929
32 *305:11 0.000631547
33 *305:7 0.000714992
34 *305:4 0.000512921
35 *9462:CLK *323:8 0
36 *9491:CLK *9491:D 1.64343e-05
37 *9495:CLK *9495:D 0.00015134
38 *9503:CLK *8876:A 0
39 *9503:CLK *9503:D 5.33091e-06
40 *9503:CLK *9505:D 0.000175519
41 *9505:CLK *9505:D 0.000274294
42 *305:11 *323:8 0.000201127
43 *305:14 *9463:D 0.000169405
44 *305:14 *323:8 0
45 *305:19 *9463:D 0.000331059
46 *305:28 *9463:D 0
47 *305:28 *323:8 0
48 *305:64 *9513:D 9.33978e-07
49 *305:64 *323:8 0.000126439
50 *305:72 *8876:D 0.000105163
51 *305:75 *9507:D 0
52 *305:75 *9509:D 0.000204001
53 *305:87 *9509:D 4.46186e-06
54 *305:87 *9511:D 4.46186e-06
55 *305:89 *9497:D 1.64343e-05
56 *305:94 *9495:D 0.000192585
57 *305:94 *9497:D 0
58 *305:96 *9495:D 0.000193592
59 *305:129 *9507:D 8.95186e-05
60 *305:148 *8876:A 0
61 *305:148 *9505:D 0.000201127
62 *8879:A *9501:CLK 2.89016e-05
63 *8879:C *305:14 0
64 *9462:D *9462:CLK 3.32132e-06
65 *107:14 *9462:CLK 0
66 *107:14 *305:14 0.000397134
67 *107:14 *305:19 0.000227736
68 *107:14 *305:28 0
69 *107:14 *305:148 0
70 *127:10 *9489:CLK 0.000591433
71 *127:10 *305:75 0
72 *127:10 *305:87 0
73 *292:54 *305:87 0
*RES
1 *9678:X *305:4 9.3
2 *305:4 *305:7 6.26786
3 *305:7 *305:11 11.6964
4 *305:11 *305:14 9.48214
5 *305:14 *305:19 9.53571
6 *305:19 *9463:CLK 9.83571
7 *305:19 *305:28 6.75
8 *305:28 *9462:CLK 18.2464
9 *305:28 *9515:CLK 14.3357
10 *305:14 *9465:CLK 13.8
11 *305:11 *9499:CLK 10.6571
12 *305:7 *305:64 7.82143
13 *305:64 *9513:CLK 9.3
14 *305:64 *305:72 8.76786
15 *305:72 *305:75 9.48214
16 *305:75 *9509:CLK 14.3357
17 *305:75 *305:87 7.28571
18 *305:87 *305:89 14.0893
19 *305:89 *305:94 7.58929
20 *305:94 *305:96 2.55357
21 *305:96 *9495:CLK 16.05
22 *305:96 *9491:CLK 17.6214
23 *305:94 *9493:CLK 13.8
24 *305:89 *9497:CLK 9.3
25 *305:87 *9511:CLK 9.3
26 *305:72 *305:129 3.44643
27 *305:129 *9489:CLK 26.6571
28 *305:129 *9507:CLK 9.3
29 *305:4 *305:145 6.28571
30 *305:145 *305:148 7.05357
31 *305:148 *9501:CLK 14.3357
32 *305:148 *9503:CLK 20.3714
33 *305:145 *9505:CLK 12.3
*END
*D_NET *306 0.0106065
*CONN
*I *9479:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9406:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9475:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9477:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9299:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9481:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9473:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9483:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9487:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9485:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9471:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9469:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9467:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9679:X O *D sky130_fd_sc_hd__clkbuf_16
*CAP
1 *9479:CLK 0
2 *9406:CLK 0.000320163
3 *9475:CLK 0
4 *9477:CLK 0
5 *9299:CLK 0.0006692
6 *9481:CLK 0
7 *9473:CLK 0
8 *9483:CLK 0.000325923
9 *9487:CLK 3.08129e-05
10 *9485:CLK 8.86533e-05
11 *9471:CLK 0
12 *9469:CLK 3.72884e-05
13 *9467:CLK 0.00025325
14 *9679:X 0.000221409
15 *306:112 0.000903691
16 *306:102 0.000605529
17 *306:83 0.00083175
18 *306:81 0.000440278
19 *306:70 0.000408741
20 *306:39 0.000355142
21 *306:36 0.000833464
22 *306:29 0.000467361
23 *306:20 0.000354051
24 *306:11 0.000510492
25 *306:9 0.000538281
26 *306:8 0.000776729
27 *9299:CLK *9477:D 4.57538e-05
28 *9299:CLK *773:8 9.68208e-05
29 *9406:CLK *8874:C 4.57445e-05
30 *9467:CLK *9467:D 1.64343e-05
31 *9467:CLK *9473:D 0.000142856
32 *306:8 *8873:A 8.15939e-05
33 *306:8 *8873:B 0
34 *306:8 *9481:D 0
35 *306:9 *9473:D 0.000113733
36 *306:11 *8873:D 2.27416e-05
37 *306:11 *9473:D 0.000438128
38 *306:20 *8873:D 0
39 *306:20 *9473:D 8.59039e-05
40 *306:29 *9469:D 8.39e-05
41 *306:29 *9473:D 6.39262e-05
42 *306:36 *9471:D 0
43 *306:81 *9481:D 2.56845e-05
44 *306:102 *9475:D 5.52302e-05
45 *306:112 *9475:D 0.000118855
46 *9299:D *9299:CLK 8.95186e-05
47 *9406:D *9406:CLK 0
48 *127:10 *9485:CLK 0.000107503
*RES
1 *9679:X *306:8 22.675
2 *306:8 *306:9 7.10714
3 *306:9 *306:11 4.23214
4 *306:11 *9467:CLK 13.9429
5 *306:11 *306:20 7.35714
6 *306:20 *9469:CLK 14.3357
7 *306:20 *306:29 4.67857
8 *306:29 *9471:CLK 13.8
9 *306:29 *306:36 4.98214
10 *306:36 *306:39 8.32143
11 *306:39 *9485:CLK 21.3893
12 *306:39 *9487:CLK 9.83571
13 *306:36 *9483:CLK 19.7286
14 *306:9 *9473:CLK 9.3
15 *306:8 *306:70 2.58929
16 *306:70 *9481:CLK 9.3
17 *306:70 *306:81 8.64286
18 *306:81 *306:83 2.55357
19 *306:83 *9299:CLK 25.6393
20 *306:83 *9477:CLK 13.8
21 *306:81 *306:102 5.03571
22 *306:102 *9475:CLK 9.3
23 *306:102 *306:112 9.5
24 *306:112 *9406:CLK 23.9607
25 *306:112 *9479:CLK 9.3
*END
*D_NET *307 0.00652546
*CONN
*I *9394:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9360:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9396:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9390:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9392:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9384:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9378:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9380:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9680:X O *D sky130_fd_sc_hd__clkbuf_16
*CAP
1 *9394:CLK 0
2 *9360:CLK 8.61506e-05
3 *9396:CLK 0.00023627
4 *9390:CLK 0.000138089
5 *9392:CLK 0.000580294
6 *9384:CLK 0
7 *9378:CLK 0.000177694
8 *9380:CLK 3.72884e-05
9 *9680:X 0
10 *307:55 0.000470288
11 *307:52 0.000278976
12 *307:28 0.000722636
13 *307:9 0.000405316
14 *307:7 0.000451269
15 *307:5 0.000522056
16 *307:4 0.000396483
17 *9390:CLK *8898:D 0
18 *9390:CLK *9380:D 0
19 *9390:CLK *9390:D 1.02504e-05
20 *9392:CLK *9392:D 0.000286225
21 *9396:CLK *9396:D 8.16036e-05
22 *307:5 *8898:C 0.00017091
23 *307:5 *9380:D 3.98559e-05
24 *307:7 *8898:C 0.000141326
25 *307:7 *9380:D 0.000265784
26 *307:9 *9380:D 0.000229712
27 *307:55 *9360:D 0
28 *307:55 *9394:D 2.8266e-06
29 *8899:D *9396:CLK 0
30 *8899:D *307:55 0
31 *9680:A *307:5 0.000794157
*RES
1 *9680:X *307:4 9.3
2 *307:4 *307:5 8.75
3 *307:5 *307:7 4.64286
4 *307:7 *307:9 4.64286
5 *307:9 *9380:CLK 9.83571
6 *307:9 *9378:CLK 21.0857
7 *307:7 *307:28 7.05357
8 *307:28 *9384:CLK 13.8
9 *307:28 *9392:CLK 25.0321
10 *307:5 *9390:CLK 20.55
11 *307:4 *307:52 2.17857
12 *307:52 *307:55 7.05357
13 *307:55 *9396:CLK 18.4786
14 *307:55 *9360:CLK 15.1571
15 *307:52 *9394:CLK 9.3
*END
*D_NET *308 0.00744412
*CONN
*I *9324:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9320:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9306:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9314:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9308:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9310:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9304:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9681:X O *D sky130_fd_sc_hd__clkbuf_16
*CAP
1 *9324:CLK 8.73918e-05
2 *9320:CLK 0
3 *9306:CLK 0
4 *9314:CLK 0.000684511
5 *9308:CLK 0.000276965
6 *9310:CLK 0
7 *9304:CLK 0.000489557
8 *9681:X 0.000141556
9 *308:51 0.000431133
10 *308:36 0.000684511
11 *308:14 0.00062399
12 *308:10 0.000521156
13 *308:8 0.00019047
14 *308:7 0.000566008
15 *9304:CLK *8908:D 0.000109918
16 *9304:CLK *9304:D 5.95099e-05
17 *9308:CLK *9308:D 1.64343e-05
18 *9314:CLK *9314:D 1.64343e-05
19 *308:10 *9308:D 0
20 *308:10 *9310:D 3.75052e-05
21 *308:14 *9310:D 8.27217e-05
22 *290:11 *9314:CLK 0.00131732
23 *290:11 *9324:CLK 0.000177227
24 *290:11 *308:8 0.00015134
25 *290:11 *308:10 5.66157e-05
26 *290:11 *308:51 0.000633941
27 *290:20 *9314:CLK 8.79015e-05
*RES
1 *9681:X *308:7 15.5679
2 *308:7 *308:8 1.94643
3 *308:8 *308:10 2.25
4 *308:10 *308:14 7.35714
5 *308:14 *9304:CLK 18.4786
6 *308:14 *9310:CLK 9.3
7 *308:10 *9308:CLK 18.05
8 *308:8 *308:36 4.5
9 *308:36 *9314:CLK 27.2286
10 *308:36 *9306:CLK 9.3
11 *308:7 *308:51 8.01786
12 *308:51 *9320:CLK 13.8
13 *308:51 *9324:CLK 16.05
*END
*D_NET *309 0.0090275
*CONN
*I *9223:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9219:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9225:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9221:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9197:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9199:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9198:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9233:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9231:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9227:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9229:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9213:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9215:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9211:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9217:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9682:X O *D sky130_fd_sc_hd__clkbuf_16
*CAP
1 *9223:CLK 0.000137958
2 *9219:CLK 6.17105e-05
3 *9225:CLK 0
4 *9221:CLK 3.72704e-05
5 *9197:CLK 0
6 *9199:CLK 0.000300328
7 *9198:CLK 0
8 *9233:CLK 0.000100034
9 *9231:CLK 9.0488e-05
10 *9227:CLK 2.36895e-05
11 *9229:CLK 0.000119342
12 *9213:CLK 6.48652e-05
13 *9215:CLK 0
14 *9211:CLK 0.000181287
15 *9217:CLK 0
16 *9682:X 5.07055e-05
17 *309:128 0.000315938
18 *309:121 0.000255573
19 *309:112 0.000345575
20 *309:77 0.000298057
21 *309:68 0.000278458
22 *309:57 0.000535643
23 *309:44 0.000460128
24 *309:37 0.000223039
25 *309:27 0.000464767
26 *309:17 0.000697407
27 *309:15 0.000610729
28 *309:13 0.000599819
29 *309:8 0.000438563
30 *309:7 0.000458735
31 *9199:CLK *475:7 0.000122101
32 *9211:CLK *9211:D 0
33 *9213:CLK *9213:D 5.71472e-05
34 *9223:CLK *9219:D 0
35 *9227:CLK *9227:D 5.52238e-05
36 *9229:CLK *9229:D 8.42801e-05
37 *9233:CLK *9229:D 8.30773e-05
38 *9233:CLK *9231:D 0.000227729
39 *309:17 *8928:A 3.67257e-05
40 *309:17 *9217:D 0.000410013
41 *309:37 *9211:D 0
42 *309:37 *9215:D 0.000129868
43 *309:44 *9211:D 0
44 *309:44 *9213:D 0
45 *309:44 *9215:D 3.51442e-05
46 *309:57 *9211:D 0
47 *309:57 *9229:D 2.8266e-06
48 *309:68 *9229:D 8.99419e-05
49 *309:77 *9229:D 5.53406e-05
50 *309:77 *9231:D 3.34366e-05
51 *309:128 *9225:D 0.000170438
52 *8991:A *309:8 0
53 *9197:D *309:8 0
54 *9197:D *309:13 0
55 *9198:D *9199:CLK 0.000232266
56 *9198:D *309:13 1.02132e-05
57 *9198:D *309:15 4.16168e-05
58 *153:8 *309:8 0
59 *153:8 *309:13 0
60 *153:8 *309:112 0
61 *153:8 *309:121 0
*RES
1 *9682:X *309:7 14.3357
2 *309:7 *309:8 4.375
3 *309:8 *309:13 7.78571
4 *309:13 *309:15 1.76786
5 *309:15 *309:17 9.98214
6 *309:17 *9217:CLK 9.3
7 *309:17 *309:27 7.51786
8 *309:27 *9211:CLK 16.8893
9 *309:27 *309:37 2.25
10 *309:37 *9215:CLK 13.8
11 *309:37 *309:44 2.40179
12 *309:44 *9213:CLK 15.1482
13 *309:44 *309:57 4.83036
14 *309:57 *9229:CLK 15.9964
15 *309:57 *309:68 3.16071
16 *309:68 *9227:CLK 14.3357
17 *309:68 *309:77 2.25
18 *309:77 *9231:CLK 15.2821
19 *309:77 *9233:CLK 17.1929
20 *309:15 *9198:CLK 9.3
21 *309:13 *9199:CLK 15.9964
22 *309:8 *9197:CLK 13.8
23 *309:7 *309:112 3.16071
24 *309:112 *9221:CLK 14.3357
25 *309:112 *309:121 2.55357
26 *309:121 *9225:CLK 13.8
27 *309:121 *309:128 2.55357
28 *309:128 *9219:CLK 14.7464
29 *309:128 *9223:CLK 16.3536
*END
*D_NET *310 0.0107415
*CONN
*I *9200:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9202:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9201:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9245:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9241:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9519:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9243:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9661:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9683:X O *D sky130_fd_sc_hd__clkbuf_16
*CAP
1 *9200:CLK 0.000206535
2 *9202:CLK 0.000154758
3 *9201:CLK 0.000111694
4 *9245:CLK 0
5 *9241:CLK 0.000201386
6 *9519:CLK 0.00033074
7 *9243:CLK 0.000210794
8 *9661:CLK 0.000909293
9 *9683:X 4.01504e-05
10 *310:75 0.00042986
11 *310:62 0.00046647
12 *310:38 0.000712043
13 *310:24 0.000424434
14 *310:22 0.00106511
15 *310:7 0.00194067
16 *310:5 0.000326359
17 *9243:CLK *9243:D 4.79842e-05
18 *310:22 *8925:A 0.000140034
19 *310:22 *8925:B 3.14193e-05
20 *310:22 *9245:D 0.000116676
21 *310:22 *486:12 6.95767e-05
22 *310:24 *9245:D 9.90431e-05
23 *310:38 *9245:D 7.57098e-05
24 *8931:B *310:22 0.000144773
25 *8932:A *310:62 0.000180764
26 *8932:B *310:62 9.60939e-05
27 *8933:A *310:62 1.98839e-05
28 *8988:C1 *310:22 9.02916e-05
29 *8995:B *9200:CLK 0.000175493
30 *8995:C *9200:CLK 0.000175502
31 *8995:C *9202:CLK 4.58194e-05
32 *8996:A *9200:CLK 0.000109918
33 *8999:B *9661:CLK 0.000172815
34 *8999:B *310:22 0.000123946
35 *8999:C *310:62 0.0002253
36 *8999:C *310:75 0.000117903
37 *9000:A *310:62 0
38 *9058:A *9519:CLK 4.88637e-05
39 *9201:D *9201:CLK 2.72967e-05
40 *9519:D *9519:CLK 0.000188586
41 *9661:D *9661:CLK 0.000102747
42 *146:7 *9202:CLK 5.03772e-05
43 *148:7 *310:22 0.000154027
44 *154:7 *310:22 2.99605e-05
45 *291:136 *9241:CLK 6.58289e-05
46 *291:138 *9241:CLK 3.2006e-05
47 *291:143 *9241:CLK 4.38511e-05
48 *291:143 *9519:CLK 0.000208678
*RES
1 *9683:X *310:5 9.83571
2 *310:5 *310:7 4.5
3 *310:7 *9661:CLK 31.2286
4 *310:7 *310:22 24.8929
5 *310:22 *310:24 0.946429
6 *310:24 *9243:CLK 22.9786
7 *310:24 *310:38 7.92857
8 *310:38 *9519:CLK 21.8179
9 *310:38 *9241:CLK 18.4786
10 *310:22 *9245:CLK 9.3
11 *310:5 *310:62 10.3571
12 *310:62 *9201:CLK 15.4786
13 *310:62 *310:75 6.14286
14 *310:75 *9202:CLK 11.8893
15 *310:75 *9200:CLK 15.5857
*END
*D_NET *311 0.00774466
*CONN
*I *9237:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9239:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9235:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9520:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9522:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9521:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9518:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9684:X O *D sky130_fd_sc_hd__clkbuf_16
*CAP
1 *9237:CLK 0
2 *9239:CLK 0.000173132
3 *9235:CLK 0
4 *9520:CLK 0
5 *9522:CLK 0.000290933
6 *9521:CLK 0
7 *9518:CLK 0.000485016
8 *9684:X 0
9 *311:54 0.000347278
10 *311:50 0.000648913
11 *311:18 0.000974215
12 *311:17 0.00144957
13 *311:10 0.00102234
14 *311:4 0.00082766
15 *9239:CLK *9237:D 2.31791e-05
16 *311:17 *9060:A1 5.33005e-05
17 *311:17 *9060:A2 5.52238e-05
18 *311:17 *9060:B1 0.000178874
19 *311:17 *344:7 5.33005e-05
20 *311:54 *9235:D 1.41029e-05
21 *311:54 *9237:D 0.000225441
22 *9061:A *9522:CLK 0
23 *9061:C *311:17 2.47724e-05
24 *9062:A *311:10 0.000316184
25 *9068:A *311:18 0
26 *9080:A *9518:CLK 0
27 *9520:D *311:17 0.00013001
28 *9521:D *9518:CLK 4.1331e-06
29 *9521:D *311:18 0.000125649
30 *9684:A *311:10 1.56e-05
31 *9684:A *311:50 0.000141326
32 *240:17 *311:18 0
33 *240:70 *9522:CLK 0
34 *240:70 *311:18 0
35 *291:136 *9239:CLK 0
36 *291:136 *311:50 0.000134726
37 *291:136 *311:54 0
38 *291:138 *311:50 2.6949e-05
39 *291:143 *311:50 2.8266e-06
*RES
1 *9684:X *311:4 9.3
2 *311:4 *311:10 14.3571
3 *311:10 *311:17 16.6786
4 *311:17 *311:18 9.53571
5 *311:18 *9518:CLK 22.3
6 *311:18 *9521:CLK 13.8
7 *311:17 *9522:CLK 19.0857
8 *311:10 *9520:CLK 9.3
9 *311:4 *311:50 18
10 *311:50 *311:54 8.41071
11 *311:54 *9235:CLK 13.8
12 *311:54 *9239:CLK 16.8893
13 *311:50 *9237:CLK 9.3
*END
*D_NET *312 0.00852133
*CONN
*I *9525:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9524:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9562:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9560:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9558:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9556:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9554:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9552:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9461:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9685:X O *D sky130_fd_sc_hd__clkbuf_16
*CAP
1 *9525:CLK 0.000204402
2 *9524:CLK 0
3 *9562:CLK 0.000246688
4 *9560:CLK 0.000142238
5 *9558:CLK 0
6 *9556:CLK 0.000294965
7 *9554:CLK 0.000137958
8 *9552:CLK 0.000210189
9 *9461:CLK 0.000258498
10 *9685:X 9.89043e-05
11 *312:79 0.000947
12 *312:67 0.00111466
13 *312:59 0.000565724
14 *312:27 0.000502086
15 *312:15 0.000412437
16 *312:13 0.000459946
17 *312:11 0.000435251
18 *312:8 0.000667282
19 *9461:CLK *9556:D 0.000126439
20 *9552:CLK *9552:D 1.64343e-05
21 *9554:CLK *9552:D 0
22 *9556:CLK *9556:D 0.00039396
23 *9560:CLK *9560:D 4.79842e-05
24 *9560:CLK *9562:D 0
25 *9562:CLK *9562:D 9.47712e-05
26 *312:8 *9558:D 0
27 *312:11 *8960:B 4.44312e-05
28 *312:11 *9558:D 0.000147136
29 *312:13 *8960:B 8.71847e-05
30 *312:13 *9556:D 5.33005e-05
31 *312:27 *8960:D 0
32 *312:67 *9562:D 0
33 *8961:C *312:59 0.000212314
34 *9077:A *9525:CLK 8.94281e-05
35 *9077:A *312:79 2.89114e-05
36 *9079:A_N *9525:CLK 0.000175512
37 *9079:B *9525:CLK 0
38 *9524:D *9525:CLK 8.42801e-05
39 *9685:A *9562:CLK 0.000186662
40 *14:11 *9461:CLK 3.43475e-05
41 *14:11 *9560:CLK 0
42 *14:11 *312:67 0
43 *14:11 *312:79 0
*RES
1 *9685:X *312:8 19.9429
2 *312:8 *312:11 4.66071
3 *312:11 *312:13 3.41071
4 *312:13 *312:15 4.5
5 *312:15 *9461:CLK 17.8357
6 *312:15 *312:27 2.25
7 *312:27 *9552:CLK 17.2107
8 *312:27 *9554:CLK 16.3536
9 *312:13 *9556:CLK 15.2107
10 *312:11 *9558:CLK 9.3
11 *312:8 *312:59 9.55357
12 *312:59 *9560:CLK 16.6571
13 *312:59 *312:67 2.25
14 *312:67 *9562:CLK 18.8536
15 *312:67 *312:79 17.1071
16 *312:79 *9524:CLK 9.3
17 *312:79 *9525:CLK 23.1393
*END
*D_NET *313 0.0107518
*CONN
*I *9300:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9301:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9346:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9303:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9302:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9207:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9209:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9203:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9205:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9686:X O *D sky130_fd_sc_hd__clkbuf_16
*CAP
1 *9300:CLK 0.000634424
2 *9301:CLK 0
3 *9346:CLK 0.000313022
4 *9303:CLK 1.40568e-05
5 *9302:CLK 8.24461e-05
6 *9207:CLK 0.000155658
7 *9209:CLK 8.20977e-05
8 *9203:CLK 0.000416472
9 *9205:CLK 0.000288767
10 *9686:X 0.000580387
11 *313:68 0.000817561
12 *313:65 0.00138981
13 *313:56 0.00035703
14 *313:25 0.000498569
15 *313:12 0.000405434
16 *313:10 0.000663913
17 *313:8 0.000500619
18 *313:7 0.000699098
19 *9203:CLK *8929:D 1.55885e-05
20 *9203:CLK *9203:D 5.95099e-05
21 *9205:CLK *8929:C 0
22 *9205:CLK *9205:D 0.000108883
23 *9205:CLK *9209:D 0
24 *9207:CLK *9207:D 0.000101117
25 *9209:CLK *8929:D 0.000126639
26 *9300:CLK *9023:B 0.000138939
27 *9300:CLK *9026:A 7.99044e-05
28 *9300:CLK *408:8 7.4826e-05
29 *9300:CLK *408:10 1.17968e-05
30 *9300:CLK *419:34 0.000226021
31 *9303:CLK *9031:A1 5.52238e-05
32 *9303:CLK *9031:B1 2.89016e-05
33 *9346:CLK *9346:D 0.00010096
34 *313:10 *9205:D 7.48356e-05
35 *313:10 *9207:D 0.000153054
36 *313:12 *8929:C 0
37 *313:12 *9205:D 0.000114829
38 *313:12 *9207:D 3.17148e-05
39 *313:65 *9026:A 2.8266e-06
40 *313:65 *408:14 1.08359e-05
41 *313:65 *408:30 0
42 *313:65 *419:34 3.17148e-05
43 *313:68 *9027:A2 5.33005e-05
44 *313:68 *419:23 0.000358971
45 *840:DIODE *9346:CLK 1.52978e-05
46 *9020:B *9300:CLK 0
47 *9021:A *9300:CLK 2.34204e-05
48 *9028:A *313:8 0
49 *9028:A *313:10 0
50 *9028:A *313:56 0
51 *9028:A *313:65 0
52 *9029:A *313:10 0
53 *9032:C1 *9346:CLK 9.24395e-05
54 *9300:D *9300:CLK 3.18414e-05
55 *9301:D *313:68 0.000190955
56 *9664:A *9346:CLK 9.71197e-05
57 *135:37 *9300:CLK 0
58 *290:20 *9346:CLK 6.30388e-05
59 *291:59 *313:8 2.49484e-05
60 *291:59 *313:56 7.2754e-05
61 *291:59 *313:65 6.19181e-05
62 *291:63 *313:8 4.46186e-06
63 *291:63 *313:10 0.000213802
*RES
1 *9686:X *313:7 20.4964
2 *313:7 *313:8 0.732143
3 *313:8 *313:10 9.53571
4 *313:10 *313:12 2.85714
5 *313:12 *9205:CLK 18.6571
6 *313:12 *313:25 4.5
7 *313:25 *9203:CLK 16.425
8 *313:25 *9209:CLK 11.4786
9 *313:10 *9207:CLK 16.4071
10 *313:8 *9302:CLK 15.1571
11 *313:7 *313:56 1.94643
12 *313:56 *9303:CLK 14.3357
13 *313:56 *313:65 4.67857
14 *313:65 *313:68 14.4821
15 *313:68 *9346:CLK 25.1929
16 *313:68 *9301:CLK 9.3
17 *313:65 *9300:CLK 25.5679
*END
*D_NET *314 0.00944721
*CONN
*I *9316:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9322:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9318:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9312:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9350:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9338:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9340:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9342:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9336:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9348:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9344:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9687:X O *D sky130_fd_sc_hd__clkbuf_16
*CAP
1 *9316:CLK 0.000143714
2 *9322:CLK 0.00058323
3 *9318:CLK 6.17105e-05
4 *9312:CLK 2.23854e-05
5 *9350:CLK 2.23854e-05
6 *9338:CLK 0.000184162
7 *9340:CLK 0.00017666
8 *9342:CLK 3.72704e-05
9 *9336:CLK 2.36895e-05
10 *9348:CLK 0
11 *9344:CLK 0.000191837
12 *9687:X 4.42654e-05
13 *314:95 0.000802106
14 *314:58 0.000483792
15 *314:49 0.000267087
16 *314:40 0.000558708
17 *314:29 0.000538985
18 *314:19 0.000480484
19 *314:15 0.000798804
20 *314:13 0.000896399
21 *314:8 0.000618193
22 *314:7 0.000400482
23 *9336:CLK *9336:D 5.52238e-05
24 *9344:CLK *9344:D 0.000227204
25 *314:8 *9314:D 0
26 *314:13 *9312:D 7.22257e-05
27 *314:13 *9314:D 0
28 *314:15 *9350:D 0.000753611
29 *314:29 *9348:D 4.1331e-06
30 *314:40 *9348:D 5.80217e-05
31 *314:49 *9336:D 8.16036e-05
32 *314:58 *9336:D 7.57773e-05
33 *314:58 *9342:D 0
34 *8910:A *9316:CLK 7.39637e-05
35 *8910:A *314:8 8.96049e-05
36 *8910:A *314:95 4.38511e-05
37 *1:15 *9340:CLK 0.000537171
38 *290:20 *9344:CLK 2.44318e-05
39 *291:174 *9344:CLK 0
40 *291:174 *314:29 0
41 *291:174 *314:40 0
42 *291:191 *314:7 1.40415e-05
*RES
1 *9687:X *314:7 14.3357
2 *314:7 *314:8 5.58929
3 *314:8 *314:13 9.33929
4 *314:13 *314:15 11.2143
5 *314:15 *314:19 7.08929
6 *314:19 *9344:CLK 18.0143
7 *314:19 *314:29 1.94643
8 *314:29 *9348:CLK 13.8
9 *314:29 *314:40 8.375
10 *314:40 *9336:CLK 14.3357
11 *314:40 *314:49 2.25
12 *314:49 *9342:CLK 14.3357
13 *314:49 *314:58 2.55357
14 *314:58 *9340:CLK 18.8536
15 *314:58 *9338:CLK 16.8893
16 *314:15 *9350:CLK 9.72857
17 *314:13 *9312:CLK 9.72857
18 *314:8 *9318:CLK 14.7464
19 *314:7 *314:95 1.64286
20 *314:95 *9322:CLK 23.3714
21 *314:95 *9316:CLK 16.8893
*END
*D_NET *315 0.00906717
*CONN
*I *9186:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9180:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9178:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9194:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9153:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9188:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9190:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9192:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9156:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9154:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9688:X O *D sky130_fd_sc_hd__clkbuf_16
*CAP
1 *9186:CLK 0.000286045
2 *9180:CLK 0
3 *9178:CLK 0.000649735
4 *9194:CLK 8.61686e-05
5 *9153:CLK 0.000348393
6 *9188:CLK 0
7 *9190:CLK 0
8 *9192:CLK 0
9 *9156:CLK 0.000351071
10 *9154:CLK 7.87597e-05
11 *9688:X 0
12 *315:81 0.00107406
13 *315:71 0.000510493
14 *315:55 0.000590256
15 *315:48 0.000407667
16 *315:32 0.000442323
17 *315:31 0.000881995
18 *315:11 0.00125972
19 *315:6 0.000664273
20 *315:5 0.000394296
21 *9153:CLK *9188:D 4.79842e-05
22 *9156:CLK *9156:D 0
23 *9156:CLK *9192:D 1.41706e-05
24 *9186:CLK *9194:D 0
25 *315:6 *9194:D 0
26 *315:11 *8934:C 0.000363837
27 *315:11 *9154:D 1.64343e-05
28 *315:31 *8934:D 6.8646e-06
29 *315:31 *9154:D 0.000369273
30 *315:31 *9192:D 9.90431e-05
31 *315:32 *9192:D 4.79842e-05
32 *315:48 *9190:D 1.41706e-05
33 *315:55 *9188:D 1.41706e-05
34 *315:55 *9190:D 4.79842e-05
35 *315:81 *9180:D 0
*RES
1 *9688:X *315:5 13.8
2 *315:5 *315:6 1.94643
3 *315:6 *315:11 14.7857
4 *315:11 *9154:CLK 10.8
5 *315:11 *315:31 25.2143
6 *315:31 *315:32 1.94643
7 *315:32 *9156:CLK 20.1393
8 *315:32 *9192:CLK 13.8
9 *315:31 *315:48 3.16071
10 *315:48 *9190:CLK 13.8
11 *315:48 *315:55 4.98214
12 *315:55 *9188:CLK 13.8
13 *315:55 *9153:CLK 20.2464
14 *315:6 *315:71 4.5
15 *315:71 *9194:CLK 10.6571
16 *315:71 *315:81 11.6964
17 *315:81 *9178:CLK 24.6929
18 *315:81 *9180:CLK 13.8
19 *315:5 *9186:CLK 18.7286
*END
*D_NET *316 0.0130496
*CONN
*I *9149:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9160:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9148:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9662:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9150:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9612:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9151:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9152:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9164:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9184:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9182:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9689:X O *D sky130_fd_sc_hd__clkbuf_16
*CAP
1 *9149:CLK 8.03597e-05
2 *9160:CLK 0.00022346
3 *9148:CLK 0
4 *9662:CLK 0
5 *9150:CLK 0.00015929
6 *9612:CLK 0.000545933
7 *9151:CLK 5.62304e-05
8 *9152:CLK 0.000461458
9 *9164:CLK 0.00026782
10 *9184:CLK 0
11 *9182:CLK 0.000110832
12 *9689:X 0.000112171
13 *316:89 0.000524338
14 *316:86 0.00051139
15 *316:57 0.000653654
16 *316:54 0.000591343
17 *316:43 0.00122718
18 *316:39 0.000561892
19 *316:16 0.000360408
20 *316:12 0.000759469
21 *316:8 0.000503698
22 *316:7 0.000327212
23 *9149:CLK *503:17 1.08359e-05
24 *9152:CLK *515:8 2.27416e-05
25 *9164:CLK *9164:D 6.05161e-06
26 *9182:CLK *9182:D 7.49332e-05
27 *9182:CLK *9689:A 0
28 *316:8 *8970:B 0.000151333
29 *316:12 *8970:B 0.000200413
30 *316:16 *9182:D 0.00012011
31 *316:16 *9689:A 0
32 *316:54 *8968:A 0
33 *316:54 *515:8 0
34 *316:86 *8970:B 0.00020042
35 *316:86 *503:17 3.98294e-05
36 *8942:B *316:43 0.000142856
37 *8943:A *9150:CLK 0.000225616
38 *8943:A *316:43 8.00431e-05
39 *8943:A *316:57 9.71197e-05
40 *8968:C *316:54 0.000226021
41 *8969:A2 *316:43 1.28984e-05
42 *8969:C1 *316:43 4.87854e-05
43 *8971:A *316:7 3.55552e-05
44 *8974:A *9149:CLK 0.000175519
45 *8974:A *316:89 5.33005e-05
46 *8974:B *316:86 0
47 *8974:C *316:89 0.000485543
48 *8976:C *316:54 9.40167e-05
49 *8981:A *316:54 0.000114838
50 *8985:B1_N *9152:CLK 1.92905e-05
51 *8985:B1_N *316:54 4.35131e-05
52 *9147:B *316:8 0
53 *9147:B *316:12 0
54 *9148:D *316:89 1.64343e-05
55 *9149:D *9149:CLK 0.000125724
56 *9151:D *9151:CLK 4.57352e-05
57 *9151:D *316:54 0
58 *9152:D *9152:CLK 0.000234761
59 *9612:D *9612:CLK 0.000147136
60 *9662:D *316:39 0.000128093
61 *9662:D *316:43 1.98839e-05
62 *162:13 *9164:CLK 0.000254375
63 *162:13 *316:16 9.9325e-05
64 *163:27 *316:54 0.000388146
65 *185:16 *316:54 0.000198698
66 *186:8 *316:54 7.33494e-06
67 *186:14 *316:54 0.000162027
68 *187:17 *9152:CLK 1.02821e-05
69 *187:17 *316:43 0.00049194
70 *187:25 *316:8 0
71 *187:25 *316:86 0
*RES
1 *9689:X *316:7 15.1571
2 *316:7 *316:8 1.94643
3 *316:8 *316:12 9.17857
4 *316:12 *316:16 10.0536
5 *316:16 *9182:CLK 16.3536
6 *316:16 *9184:CLK 13.8
7 *316:12 *9164:CLK 15.5857
8 *316:8 *316:39 7.92857
9 *316:39 *316:43 10.4107
10 *316:43 *9152:CLK 18.05
11 *316:43 *316:54 20.9643
12 *316:54 *316:57 5.44643
13 *316:57 *9151:CLK 15.7464
14 *316:57 *9612:CLK 23.3536
15 *316:54 *9150:CLK 12.3179
16 *316:39 *9662:CLK 9.3
17 *316:7 *316:86 3.16071
18 *316:86 *316:89 11.1964
19 *316:89 *9148:CLK 9.3
20 *316:89 *9160:CLK 13.1214
21 *316:86 *9149:CLK 16.3536
*END
*D_NET *317 0.0067914
*CONN
*I *9170:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9168:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9158:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9166:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9172:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9162:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9174:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9176:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9690:X O *D sky130_fd_sc_hd__clkbuf_16
*CAP
1 *9170:CLK 0
2 *9168:CLK 0.00013699
3 *9158:CLK 5.5939e-05
4 *9166:CLK 0
5 *9172:CLK 0.000274493
6 *9162:CLK 0
7 *9174:CLK 0.000347782
8 *9176:CLK 0.00013875
9 *9690:X 0.000104245
10 *317:65 0.000419721
11 *317:58 0.00046311
12 *317:40 0.000534172
13 *317:37 0.000422363
14 *317:16 0.00102815
15 *317:11 0.00117773
16 *317:6 0.0007786
17 *9158:CLK *9158:D 0.00014285
18 *9168:CLK *9170:D 1.19402e-05
19 *9168:CLK *9172:D 0
20 *9172:CLK *9170:D 0.000146908
21 *9172:CLK *9172:D 0
22 *9174:CLK *8938:C 0
23 *9174:CLK *8938:D 0
24 *9176:CLK *9176:D 0.000103323
25 *317:11 *9162:D 1.76204e-05
26 *317:16 *8939:A 0
27 *317:16 *8939:B 0
28 *317:16 *9162:D 4.1331e-06
29 *317:16 *9176:D 0.000153054
30 *317:40 *9166:D 0.000230475
31 *317:65 *9158:D 9.90431e-05
32 *8940:B *317:6 0
33 *8940:B *317:37 0
34 *8940:B *317:58 0
35 *162:13 *317:16 0
36 *291:10 *317:16 0
37 *291:29 *317:16 0
*RES
1 *9690:X *317:6 15.4429
2 *317:6 *317:11 14.0893
3 *317:11 *317:16 18.8393
4 *317:16 *9176:CLK 11.8893
5 *317:16 *9174:CLK 23.9607
6 *317:11 *9162:CLK 9.3
7 *317:6 *317:37 0.732143
8 *317:37 *317:40 9.55357
9 *317:40 *9172:CLK 23.8179
10 *317:40 *9166:CLK 9.3
11 *317:37 *317:58 6.75
12 *317:58 *9158:CLK 10.6571
13 *317:58 *317:65 5.05357
14 *317:65 *9168:CLK 20.8536
15 *317:65 *9170:CLK 9.3
*END
*D_NET *318 0.00780145
*CONN
*I *9655:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9651:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9657:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9649:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9653:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9659:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9633:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9635:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9631:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9691:X O *D sky130_fd_sc_hd__clkbuf_16
*CAP
1 *9655:CLK 0.000475153
2 *9651:CLK 0.000153696
3 *9657:CLK 0.000422656
4 *9649:CLK 9.93809e-05
5 *9653:CLK 3.72884e-05
6 *9659:CLK 3.72704e-05
7 *9633:CLK 0
8 *9635:CLK 0.000338314
9 *9631:CLK 0
10 *9691:X 4.57542e-05
11 *318:70 0.0008277
12 *318:57 0.000846017
13 *318:54 0.00112261
14 *318:24 0.000598004
15 *318:15 0.000709819
16 *318:10 0.000632602
17 *318:8 0.00029802
18 *318:7 0.000313447
19 *9635:CLK *9635:D 1.64343e-05
20 *9649:CLK *8945:A 9.90367e-05
21 *9651:CLK *8945:B 6.05161e-06
22 *9655:CLK *8945:B 0
23 *9655:CLK *8945:D 6.05161e-06
24 *9655:CLK *9655:D 5.95099e-05
25 *9657:CLK *8945:B 4.8035e-05
26 *9657:CLK *9657:D 0.000126291
27 *318:7 *9659:D 9.71197e-05
28 *318:8 *9653:D 0.000104236
29 *318:10 *9653:D 4.46186e-06
30 *318:10 *9659:D 0
31 *318:15 *9659:D 0
32 *318:24 *9631:D 7.92879e-05
33 *318:24 *9633:D 5.80217e-05
34 *318:54 *8945:B 0
35 *318:54 *8945:C 0
36 *318:54 *8945:D 0
37 *318:54 *9653:D 6.75007e-05
38 *318:57 *8945:A 6.62898e-05
39 *318:57 *9653:D 5.39166e-06
40 *318:70 *8945:B 0
41 *292:207 *9635:CLK 0
42 *292:207 *318:24 0
*RES
1 *9691:X *318:7 14.7464
2 *318:7 *318:8 2.55357
3 *318:8 *318:10 2.55357
4 *318:10 *318:15 12.1071
5 *318:15 *9631:CLK 9.3
6 *318:15 *318:24 10.0179
7 *318:24 *9635:CLK 19.6571
8 *318:24 *9633:CLK 13.8
9 *318:10 *9659:CLK 14.3357
10 *318:8 *9653:CLK 14.3357
11 *318:7 *318:54 3.16071
12 *318:54 *318:57 10.375
13 *318:57 *9649:CLK 11.3893
14 *318:57 *318:70 13.0714
15 *318:70 *9657:CLK 16.7464
16 *318:70 *9651:CLK 11.8893
17 *318:54 *9655:CLK 21.7286
*END
*D_NET *319 0.0133067
*CONN
*I *9627:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9629:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9639:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9637:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9641:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9643:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9623:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9625:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9621:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9330:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9334:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9328:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9332:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9263:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9255:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9297:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9692:X O *D sky130_fd_sc_hd__clkbuf_16
*CAP
1 *9627:CLK 0.000130186
2 *9629:CLK 0.000333233
3 *9639:CLK 0
4 *9637:CLK 0.000240028
5 *9641:CLK 0.000133952
6 *9643:CLK 2.76557e-05
7 *9623:CLK 0
8 *9625:CLK 4.05636e-05
9 *9621:CLK 0
10 *9330:CLK 3.72884e-05
11 *9334:CLK 0
12 *9328:CLK 0.000185461
13 *9332:CLK 0.000133933
14 *9263:CLK 0.000142278
15 *9255:CLK 0
16 *9297:CLK 0
17 *9692:X 0.000208376
18 *319:121 0.000373981
19 *319:113 0.000111885
20 *319:111 0.000834766
21 *319:101 0.00140357
22 *319:98 0.000587468
23 *319:89 0.000240722
24 *319:80 0.000255383
25 *319:48 0.000457352
26 *319:46 0.000401044
27 *319:44 0.00107106
28 *319:40 0.000770687
29 *319:24 0.000507688
30 *319:13 0.00140733
31 *319:8 0.00122267
32 *319:7 0.000500704
33 *9263:CLK *9255:D 0.000178976
34 *9328:CLK *9328:D 0.00012908
35 *9332:CLK *9332:D 0
36 *9625:CLK *9623:D 9.90431e-05
37 *9629:CLK *9629:D 4.79842e-05
38 *9637:CLK *8949:B 1.92789e-05
39 *9637:CLK *9637:D 5.71472e-05
40 *9637:CLK *9639:D 0
41 *9637:CLK *9643:D 0
42 *9641:CLK *9639:D 0
43 *9643:CLK *9643:D 2.89016e-05
44 *319:13 *9621:D 5.80217e-05
45 *319:24 *9255:D 1.02504e-05
46 *319:24 *9297:D 6.99051e-05
47 *319:46 *9334:D 5.80217e-05
48 *319:48 *9332:D 0
49 *319:80 *9623:D 9.69119e-06
50 *319:89 *9623:D 7.58664e-05
51 *319:98 *9623:D 4.1331e-06
52 *319:111 *8949:A 0.000225307
53 *319:111 *8949:C 1.40306e-05
54 *319:111 *9639:D 4.87669e-06
55 *319:113 *9643:D 0.000126639
56 *865:DIODE *319:7 0.000175502
57 *8950:C *9629:CLK 0.000154762
58 *292:207 *9629:CLK 0
59 *292:207 *319:8 0
60 *292:207 *319:80 0
61 *292:207 *319:89 0
62 *292:207 *319:98 0
63 *292:207 *319:111 0
*RES
1 *9692:X *319:7 16.8
2 *319:7 *319:8 3.16071
3 *319:8 *319:13 22.75
4 *319:13 *9297:CLK 9.3
5 *319:13 *319:24 10.6607
6 *319:24 *9255:CLK 13.8
7 *319:24 *9263:CLK 16.8893
8 *319:8 *319:40 4.5
9 *319:40 *319:44 17.7857
10 *319:44 *319:46 5.28571
11 *319:46 *319:48 2.55357
12 *319:48 *9332:CLK 16.05
13 *319:48 *9328:CLK 17.2107
14 *319:46 *9334:CLK 13.8
15 *319:44 *9330:CLK 14.3357
16 *319:40 *9621:CLK 9.3
17 *319:7 *319:80 1.94643
18 *319:80 *9625:CLK 14.7464
19 *319:80 *319:89 2.55357
20 *319:89 *9623:CLK 13.8
21 *319:89 *319:98 7.05357
22 *319:98 *319:101 9.55357
23 *319:101 *319:111 15.6429
24 *319:111 *319:113 2.17857
25 *319:113 *9643:CLK 9.83571
26 *319:113 *319:121 4.5
27 *319:121 *9641:CLK 16.05
28 *319:121 *9637:CLK 17.9607
29 *319:111 *9639:CLK 9.3
30 *319:101 *9629:CLK 20.9071
31 *319:98 *9627:CLK 11.4786
*END
*D_NET *320 0.0149472
*CONN
*I *9614:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9273:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9267:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9269:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9615:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9291:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9289:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9613:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9616:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9257:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9617:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9618:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *9693:X O *D sky130_fd_sc_hd__clkbuf_16
*CAP
1 *9614:CLK 0.000161926
2 *9273:CLK 0
3 *9267:CLK 2.3671e-05
4 *9269:CLK 0.000142362
5 *9615:CLK 0
6 *9291:CLK 3.72884e-05
7 *9289:CLK 0.000223025
8 *9613:CLK 0.000800878
9 *9616:CLK 0
10 *9257:CLK 0.000767526
11 *9617:CLK 7.58588e-05
12 *9618:CLK 0.0004681
13 *9693:X 0
14 *320:111 0.000311827
15 *320:108 0.000508453
16 *320:104 0.000837093
17 *320:77 0.000806002
18 *320:71 0.000721143
19 *320:31 0.000771622
20 *320:27 0.00134095
21 *320:12 0.000345757
22 *320:10 0.00137201
23 *320:5 0.000810564
24 *320:4 0.000376485
25 *9257:CLK *9263:D 0
26 *9257:CLK *542:18 0
27 *9267:CLK *9267:D 5.52302e-05
28 *9289:CLK *8916:D 0
29 *9289:CLK *9289:D 0.000147136
30 *9289:CLK *9291:D 4.46186e-06
31 *9289:CLK *9293:D 0.000274288
32 *9289:CLK *9295:D 4.9997e-05
33 *9613:CLK *520:18 0
34 *9613:CLK *520:20 0
35 *9614:CLK *9133:A2 0
36 *320:71 *9130:A2 0
37 *320:77 *9130:A2 0
38 *320:77 *9291:D 0.000136279
39 *320:108 *9273:D 0
40 *320:111 *9273:D 1.19309e-05
41 *8950:A *9257:CLK 0
42 *9124:A *9613:CLK 0
43 *9131:A *9613:CLK 0.000218019
44 *9131:C *9613:CLK 0.0001139
45 *9131:C *320:10 4.03318e-05
46 *9132:A *9614:CLK 0
47 *9132:A *320:71 0
48 *9132:A *320:77 0
49 *9132:A *320:108 0
50 *9134:B *9613:CLK 0
51 *9134:C *320:27 0.000385303
52 *9135:A *320:27 0.000312215
53 *9136:B *9257:CLK 0
54 *9136:B *320:27 6.2589e-06
55 *9138:A *9257:CLK 3.34672e-05
56 *9139:A *9257:CLK 0
57 *9139:A *9617:CLK 0.000123288
58 *9616:D *320:27 0.000634055
59 *9617:D *9257:CLK 0
60 *9617:D *9617:CLK 1.02504e-05
61 *9618:D *9618:CLK 6.89249e-05
62 *9693:A *320:5 8.66063e-05
63 *9693:A *320:10 0.000526414
64 *9693:A *320:104 0.000477075
65 *83:46 *9613:CLK 0
66 *83:46 *320:27 0
67 *292:240 *9614:CLK 0.000320024
68 *292:240 *320:108 9.25014e-06
*RES
1 *9693:X *320:4 9.3
2 *320:4 *320:5 0.946429
3 *320:5 *320:10 12.4286
4 *320:10 *320:12 4.5
5 *320:12 *320:27 19.1071
6 *320:27 *320:31 3.42857
7 *320:31 *9618:CLK 15.0857
8 *320:31 *9617:CLK 19.9429
9 *320:27 *9257:CLK 32.3893
10 *320:12 *9616:CLK 9.3
11 *320:10 *9613:CLK 29.0321
12 *320:5 *320:71 7.66071
13 *320:71 *320:77 10.8036
14 *320:77 *9289:CLK 19.175
15 *320:77 *9291:CLK 14.3357
16 *320:71 *9615:CLK 13.8
17 *320:4 *320:104 9.14286
18 *320:104 *320:108 10.6607
19 *320:108 *320:111 7.05357
20 *320:111 *9269:CLK 16.3536
21 *320:111 *9267:CLK 14.3357
22 *320:108 *9273:CLK 9.3
23 *320:104 *9614:CLK 17.8714
*END
*D_NET *321 0.00167122
*CONN
*I *9689:A I *D sky130_fd_sc_hd__clkbuf_16
*I *9694:X O *D sky130_fd_sc_hd__clkbuf_16
*CAP
1 *9689:A 0.000782889
2 *9694:X 0.000782889
3 *9689:A *8942:A_N 0
4 *9689:A *9182:D 0
5 *9689:A *9184:D 6.74911e-05
6 *9147:B *9689:A 0
7 *9182:CLK *9689:A 0
8 *9662:D *9689:A 3.79467e-05
9 *187:25 *9689:A 0
10 *316:16 *9689:A 0
*RES
1 *9694:X *9689:A 42.2964
*END
*D_NET *322 0.00448276
*CONN
*I *9669:A I *D sky130_fd_sc_hd__clkbuf_16
*I *9695:X O *D sky130_fd_sc_hd__clkbuf_16
*CAP
1 *9669:A 0
2 *9695:X 0.00110162
3 *322:8 0.00110162
4 *322:8 *8884:B1 0.00010326
5 *9046:C *322:8 9.96331e-05
6 *9408:D *322:8 0
7 *9457:CLK *322:8 0
8 *19:8 *322:8 0.00207663
9 *296:37 *322:8 0
10 *296:39 *322:8 0
*RES
1 *9695:X *322:8 47.2643
2 *322:8 *9669:A 9.3
*END
*D_NET *323 0.00309885
*CONN
*I *9679:A I *D sky130_fd_sc_hd__clkbuf_16
*I *9696:X O *D sky130_fd_sc_hd__clkbuf_16
*CAP
1 *9679:A 0
2 *9696:X 0.00129328
3 *323:8 0.00129328
4 *323:8 *8877:A 0
5 *323:8 *8877:C 0
6 *323:8 *9463:D 0
7 *323:8 *9513:D 0.000100831
8 *8879:C *323:8 0
9 *9462:CLK *323:8 0
10 *9462:D *323:8 0
11 *101:10 *323:8 8.39e-05
12 *107:14 *323:8 0
13 *305:11 *323:8 0.000201127
14 *305:14 *323:8 0
15 *305:28 *323:8 0
16 *305:64 *323:8 0.000126439
*RES
1 *9696:X *323:8 42.4071
2 *323:8 *9679:A 9.3
*END
*D_NET *324 0.00458959
*CONN
*I *9056:B I *D sky130_fd_sc_hd__or2_1
*I *9049:B I *D sky130_fd_sc_hd__and4_2
*I *9060:A2 I *D sky130_fd_sc_hd__a21o_1
*I *9059:B I *D sky130_fd_sc_hd__nand3_1
*I *9055:B I *D sky130_fd_sc_hd__nand2_1
*I *9063:A2 I *D sky130_fd_sc_hd__a31o_1
*I *9053:A_N I *D sky130_fd_sc_hd__and2b_1
*I *9518:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *9056:B 0.000179417
2 *9049:B 0
3 *9060:A2 0.000100133
4 *9059:B 7.59495e-05
5 *9055:B 8.66378e-05
6 *9063:A2 8.81422e-05
7 *9053:A_N 4.00453e-05
8 *9518:Q 0.000317384
9 *324:45 0.000534019
10 *324:40 0.000438853
11 *324:39 0.000379906
12 *324:28 0.000252242
13 *324:17 0.000360605
14 *324:8 0.00058386
15 *9055:B *9063:A1 0.000230482
16 *9056:B *9049:A 6.67853e-05
17 *9056:B *9056:A 5.33005e-05
18 *9056:B *335:18 9.02133e-05
19 *9059:B *9059:A 5.52238e-05
20 *9059:B *9059:C 4.43157e-05
21 *9060:A2 *9060:A1 9.22948e-05
22 *9060:A2 *9060:B1 5.52238e-05
23 *9063:A2 *9063:A1 9.90367e-05
24 *9063:A2 *9063:B1 2.44318e-05
25 *324:17 *345:6 0
26 *324:28 *345:6 0
27 *324:39 *9049:C 0
28 *324:39 *335:22 0
29 *324:39 *344:10 0
30 *324:39 *345:6 0
31 *324:40 *9049:A 1.92789e-05
32 *324:45 *9060:A1 3.17148e-05
33 *324:45 *335:47 5.41794e-05
34 *9053:B *324:8 0
35 *9053:B *324:17 0
36 *9054:A *324:8 0
37 *9057:A *324:39 0
38 *9061:A *9060:A2 2.39876e-05
39 *9061:B *9060:A2 0
40 *9061:B *324:45 0
41 *9065:A *324:45 1.19309e-05
42 *240:7 *324:45 0.000144773
43 *240:17 *324:45 0
44 *243:47 *324:45 0
45 *311:17 *9060:A2 5.52238e-05
*RES
1 *9518:Q *324:8 18.2286
2 *324:8 *9053:A_N 14.3357
3 *324:8 *324:17 4.07143
4 *324:17 *9063:A2 15.5857
5 *324:17 *324:28 0.732143
6 *324:28 *9055:B 15.9786
7 *324:28 *324:39 6.44643
8 *324:39 *324:40 1.35714
9 *324:40 *324:45 10.9643
10 *324:45 *9059:B 15.1571
11 *324:45 *9060:A2 16.2821
12 *324:40 *9049:B 9.3
13 *324:39 *9056:B 13.2643
*END
*D_NET *325 0.001718
*CONN
*I *8962:D I *D sky130_fd_sc_hd__or4_1
*I *9528:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8962:D 0.000771904
2 *9528:Q 0.000771904
3 *8962:D *8962:A 0
4 *8962:D *8962:C 0
5 *9523:CLK *8962:D 7.36267e-05
6 *293:97 *8962:D 0.000100566
*RES
1 *9528:Q *8962:D 41.2964
*END
*D_NET *326 0.000637637
*CONN
*I *8962:C I *D sky130_fd_sc_hd__or4_1
*I *9530:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8962:C 0.000265591
2 *9530:Q 0.000265591
3 *8962:C *8962:A 0
4 *8962:C *8962:B 0.000106455
5 *8962:D *8962:C 0
*RES
1 *9530:Q *8962:C 32.6536
*END
*D_NET *327 0.000614503
*CONN
*I *8962:B I *D sky130_fd_sc_hd__or4_1
*I *9532:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8962:B 0.000254024
2 *9532:Q 0.000254024
3 *8962:C *8962:B 0.000106455
*RES
1 *9532:Q *8962:B 31.225
*END
*D_NET *328 0.0010391
*CONN
*I *8962:A I *D sky130_fd_sc_hd__or4_1
*I *9534:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8962:A 0.000397317
2 *9534:Q 0.000397317
3 *8962:C *8962:A 0
4 *8962:D *8962:A 0
5 *291:85 *8962:A 3.69047e-06
6 *293:82 *8962:A 6.4549e-05
7 *293:97 *8962:A 0.000176226
8 *293:102 *8962:A 0
*RES
1 *9534:Q *8962:A 34.3857
*END
*D_NET *329 0.000489755
*CONN
*I *8963:D I *D sky130_fd_sc_hd__or4_1
*I *9536:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8963:D 0.000206404
2 *9536:Q 0.000206404
3 *8963:D *8963:B 4.8035e-05
4 *8963:D *8963:C 2.89114e-05
*RES
1 *9536:Q *8963:D 31.1179
*END
*D_NET *330 0.00110296
*CONN
*I *8963:C I *D sky130_fd_sc_hd__or4_1
*I *9538:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8963:C 0.000388659
2 *9538:Q 0.000388659
3 *8963:C *8963:A 9.69732e-05
4 *8963:C *8963:B 2.89114e-05
5 *8963:C *9538:D 0.000170848
6 *8963:D *8963:C 2.89114e-05
7 *291:75 *8963:C 0
*RES
1 *9538:Q *8963:C 35.1
*END
*D_NET *331 0.000477645
*CONN
*I *8963:B I *D sky130_fd_sc_hd__or4_1
*I *9540:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8963:B 0.000200349
2 *9540:Q 0.000200349
3 *8963:C *8963:B 2.89114e-05
4 *8963:D *8963:B 4.8035e-05
*RES
1 *9540:Q *8963:B 21.1893
*END
*D_NET *332 0.00120729
*CONN
*I *8963:A I *D sky130_fd_sc_hd__or4_1
*I *9542:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8963:A 0.000418425
2 *9542:Q 0.000418425
3 *8963:A *9538:D 0.000120093
4 *8963:C *8963:A 9.69732e-05
5 *8965:B *8963:A 5.3116e-05
6 *293:41 *8963:A 0.000100261
*RES
1 *9542:Q *8963:A 36.9036
*END
*D_NET *333 0.00080927
*CONN
*I *8964:D I *D sky130_fd_sc_hd__or4_1
*I *9544:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8964:D 0.000353133
2 *9544:Q 0.000353133
3 *8964:D *8964:B 0
4 *8964:D *8964:C 0.000103004
5 *8964:D *9548:D 0
6 *9548:CLK *8964:D 0
*RES
1 *9544:Q *8964:D 33.9571
*END
*D_NET *334 0.000479197
*CONN
*I *8964:C I *D sky130_fd_sc_hd__or4_1
*I *9546:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8964:C 0.000188096
2 *9546:Q 0.000188096
3 *8964:D *8964:C 0.000103004
*RES
1 *9546:Q *8964:C 22.0286
*END
*D_NET *335 0.00394851
*CONN
*I *9060:A1 I *D sky130_fd_sc_hd__a21o_1
*I *9059:A I *D sky130_fd_sc_hd__nand3_1
*I *9056:A I *D sky130_fd_sc_hd__or2_1
*I *9055:A I *D sky130_fd_sc_hd__nand2_1
*I *9063:A1 I *D sky130_fd_sc_hd__a31o_1
*I *9049:A I *D sky130_fd_sc_hd__and4_2
*I *9519:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *9060:A1 9.38073e-05
2 *9059:A 1.1624e-05
3 *9056:A 3.34103e-05
4 *9055:A 0
5 *9063:A1 0.000173692
6 *9049:A 9.6361e-05
7 *9519:Q 0
8 *335:47 0.000417107
9 *335:22 0.00027327
10 *335:18 0.000276381
11 *335:8 0.000305161
12 *335:4 0.000377083
13 *9059:A *9059:C 5.52238e-05
14 *9063:A1 *9063:B1 5.71472e-05
15 *335:8 *344:10 0
16 *335:47 *9059:C 0.000266473
17 *9055:B *9063:A1 0.000230482
18 *9056:B *9049:A 6.67853e-05
19 *9056:B *9056:A 5.33005e-05
20 *9056:B *335:18 9.02133e-05
21 *9057:A *335:8 7.80714e-06
22 *9057:A *335:18 6.98302e-05
23 *9057:A *335:22 0.00010326
24 *9057:A *335:47 0.000193883
25 *9057:B *335:47 9.60939e-05
26 *9057:C *335:8 8.00806e-05
27 *9059:B *9059:A 5.52238e-05
28 *9060:A2 *9060:A1 9.22948e-05
29 *9061:A *9060:A1 7.83587e-05
30 *9063:A2 *9063:A1 9.90367e-05
31 *240:17 *9060:A1 7.79781e-06
32 *240:17 *335:47 2.10446e-05
33 *240:70 *9060:A1 7.80714e-06
34 *311:17 *9060:A1 5.33005e-05
35 *324:39 *335:22 0
36 *324:40 *9049:A 1.92789e-05
37 *324:45 *9060:A1 3.17148e-05
38 *324:45 *335:47 5.41794e-05
*RES
1 *9519:Q *335:4 9.3
2 *335:4 *335:8 10.3393
3 *335:8 *9049:A 11.0679
4 *335:8 *335:18 7.30357
5 *335:18 *335:22 6.44643
6 *335:22 *9063:A1 13.55
7 *335:22 *9055:A 9.3
8 *335:18 *9056:A 14.3357
9 *335:4 *335:47 11.5357
10 *335:47 *9059:A 14.3357
11 *335:47 *9060:A1 15.9786
*END
*D_NET *336 0.000305874
*CONN
*I *8964:B I *D sky130_fd_sc_hd__or4_1
*I *9548:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8964:B 0.000130527
2 *9548:Q 0.000130527
3 *8964:B *8964:A 4.48206e-05
4 *8964:D *8964:B 0
5 *291:75 *8964:B 0
*RES
1 *9548:Q *8964:B 30.1536
*END
*D_NET *337 0.000392468
*CONN
*I *8964:A I *D sky130_fd_sc_hd__or4_1
*I *9550:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8964:A 0.000173824
2 *9550:Q 0.000173824
3 *8964:B *8964:A 4.48206e-05
*RES
1 *9550:Q *8964:A 30.1893
*END
*D_NET *338 0.00116268
*CONN
*I *8960:D I *D sky130_fd_sc_hd__or4_1
*I *9552:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8960:D 0.00039956
2 *9552:Q 0.00039956
3 *8960:D *8960:B 0
4 *8960:D *9556:D 0
5 *8960:D *9558:D 0
6 *14:11 *8960:D 0.000363561
7 *312:27 *8960:D 0
*RES
1 *9552:Q *8960:D 35.3857
*END
*D_NET *339 0.00183002
*CONN
*I *8960:C I *D sky130_fd_sc_hd__or4_1
*I *9554:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8960:C 0.000516917
2 *9554:Q 0.000516917
3 *8960:C *8960:A 0.000315625
4 *8960:C *9554:D 0.00014969
5 *8960:C *9558:D 0
6 *8961:C *8960:C 0.000157807
7 *14:11 *8960:C 0.000173062
*RES
1 *9554:Q *8960:C 39.5821
*END
*D_NET *340 0.00106652
*CONN
*I *8960:B I *D sky130_fd_sc_hd__or4_1
*I *9556:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8960:B 0.000437794
2 *9556:Q 0.000437794
3 *8960:B *9558:D 5.93177e-05
4 *8960:D *8960:B 0
5 *312:11 *8960:B 4.44312e-05
6 *312:13 *8960:B 8.71847e-05
*RES
1 *9556:Q *8960:B 33.6893
*END
*D_NET *341 0.00081774
*CONN
*I *8960:A I *D sky130_fd_sc_hd__or4_1
*I *9558:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8960:A 9.46166e-05
2 *9558:Q 9.46166e-05
3 *8960:C *8960:A 0.000315625
4 *14:11 *8960:A 0.000312881
*RES
1 *9558:Q *8960:A 31.725
*END
*D_NET *342 0.000127091
*CONN
*I *8961:B I *D sky130_fd_sc_hd__or3_2
*I *9560:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8961:B 6.35457e-05
2 *9560:Q 6.35457e-05
*RES
1 *9560:Q *8961:B 28.6357
*END
*D_NET *343 0.00101972
*CONN
*I *8961:A I *D sky130_fd_sc_hd__or3_2
*I *9562:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8961:A 0.000495906
2 *9562:Q 0.000495906
3 *9079:A_N *8961:A 0
4 *180:9 *8961:A 2.79092e-05
*RES
1 *9562:Q *8961:A 33.8857
*END
*D_NET *344 0.00315094
*CONN
*I *9060:B1 I *D sky130_fd_sc_hd__a21o_1
*I *9059:C I *D sky130_fd_sc_hd__nand3_1
*I *9049:D I *D sky130_fd_sc_hd__and4_2
*I *9063:A3 I *D sky130_fd_sc_hd__a31o_1
*I *9520:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *9060:B1 0.000172525
2 *9059:C 0.000196983
3 *9049:D 0
4 *9063:A3 7.83201e-05
5 *9520:Q 4.36033e-05
6 *344:10 0.000209418
7 *344:8 0.000413082
8 *344:7 0.000301129
9 *9063:A3 *9049:C 9.4724e-05
10 *9063:A3 *9063:B1 1.24368e-05
11 *9063:A3 *345:6 5.83304e-05
12 *344:10 *9049:C 1.02504e-05
13 *9057:A *9059:C 5.33005e-05
14 *9057:C *344:8 0
15 *9059:A *9059:C 5.52238e-05
16 *9059:B *9059:C 4.43157e-05
17 *9060:A2 *9060:B1 5.52238e-05
18 *9061:B *9060:B1 6.98302e-05
19 *9061:B *344:8 0.000139653
20 *9061:B *344:10 5.41794e-05
21 *9061:C *9060:B1 0.000212314
22 *240:20 *9059:C 2.89016e-05
23 *243:43 *9063:A3 6.05161e-06
24 *243:47 *9063:A3 0.00015234
25 *243:47 *344:10 0.000190155
26 *311:17 *9060:B1 0.000178874
27 *311:17 *344:7 5.33005e-05
28 *324:39 *344:10 0
29 *335:8 *344:10 0
30 *335:47 *9059:C 0.000266473
*RES
1 *9520:Q *344:7 14.3357
2 *344:7 *344:8 1.94643
3 *344:8 *344:10 3.16071
4 *344:10 *9063:A3 16.2821
5 *344:10 *9049:D 13.8
6 *344:8 *9059:C 18.4607
7 *344:7 *9060:B1 19.0857
*END
*D_NET *345 0.00090069
*CONN
*I *9049:C I *D sky130_fd_sc_hd__and4_2
*I *9063:B1 I *D sky130_fd_sc_hd__a31o_1
*I *9521:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *9049:C 5.33579e-05
2 *9063:B1 8.3755e-05
3 *9521:Q 0.00012716
4 *345:6 0.000264273
5 *9053:B *345:6 6.71264e-05
6 *9063:A1 *9063:B1 5.71472e-05
7 *9063:A2 *9063:B1 2.44318e-05
8 *9063:A3 *9049:C 9.4724e-05
9 *9063:A3 *9063:B1 1.24368e-05
10 *9063:A3 *345:6 5.83304e-05
11 *9064:C *9063:B1 5.7661e-06
12 *243:43 *345:6 2.04825e-05
13 *243:47 *345:6 2.14474e-05
14 *324:17 *345:6 0
15 *324:28 *345:6 0
16 *324:39 *9049:C 0
17 *324:39 *345:6 0
18 *344:10 *9049:C 1.02504e-05
*RES
1 *9521:Q *345:6 16.05
2 *345:6 *9063:B1 15.5857
3 *345:6 *9049:C 15.1393
*END
*D_NET *346 0.00277819
*CONN
*I *9067:A I *D sky130_fd_sc_hd__or2_1
*I *9071:A1 I *D sky130_fd_sc_hd__a21o_1
*I *9050:B I *D sky130_fd_sc_hd__and2_1
*I *9066:A I *D sky130_fd_sc_hd__nand2_1
*I *9522:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *9067:A 0.000159468
2 *9071:A1 0
3 *9050:B 0.000234932
4 *9066:A 0.000222679
5 *9522:Q 0.000139562
6 *346:34 0.000159468
7 *346:14 0.000570206
8 *346:8 0.000252158
9 *9068:A *9066:A 0
10 *9068:B *9050:B 6.81335e-05
11 *9068:B *9066:A 0
12 *9068:B *346:14 0
13 *9068:C *9066:A 5.7616e-05
14 *9068:C *9067:A 1.00073e-05
15 *9068:C *346:14 0.000127439
16 *9069:A *346:8 4.75715e-05
17 *9069:A *346:14 0.000171886
18 *9071:A2 *9067:A 0.000320024
19 *9522:D *346:8 5.03772e-05
20 *240:20 *9066:A 0.000186662
*RES
1 *9522:Q *346:8 16.0857
2 *346:8 *346:14 3.51786
3 *346:14 *9066:A 18.1214
4 *346:14 *9050:B 18.05
5 *346:8 *346:34 4.5
6 *346:34 *9071:A1 9.3
7 *346:34 *9067:A 12.7107
*END
*D_NET *347 0.000725053
*CONN
*I *9050:A I *D sky130_fd_sc_hd__and2_1
*I *9071:B1 I *D sky130_fd_sc_hd__a21o_1
*I *9523:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *9050:A 0.000138725
2 *9071:B1 0.000211697
3 *9523:Q 0
4 *347:4 0.000350423
5 *9068:B *9050:A 2.42082e-05
6 *9069:A *9050:A 0
7 *9072:B *9050:A 0
*RES
1 *9523:Q *347:4 9.3
2 *347:4 *9071:B1 13.0321
3 *347:4 *9050:A 20.7821
*END
*D_NET *348 0.00224126
*CONN
*I *9075:B1 I *D sky130_fd_sc_hd__a21o_1
*I *9074:A I *D sky130_fd_sc_hd__and3_1
*I *9051:B I *D sky130_fd_sc_hd__and4_2
*I *9524:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *9075:B1 0.000238152
2 *9074:A 0.000182162
3 *9051:B 0
4 *9524:Q 9.49582e-05
5 *348:9 0.000206612
6 *348:6 0.000357561
7 *9075:B1 *349:10 0
8 *348:6 *349:10 0
9 *9051:C *9074:A 0.000181803
10 *9051:D *9074:A 8.46829e-05
11 *9074:B *9074:A 0.000316184
12 *9074:C *9074:A 0.000348512
13 *9076:C *9075:B1 5.97719e-05
14 *9076:C *348:6 7.83366e-05
15 *242:13 *9074:A 4.72818e-05
16 *243:7 *9075:B1 6.05161e-06
17 *243:81 *9075:B1 0
18 *259:5 *9074:A 1.02936e-05
19 *259:5 *348:9 2.89016e-05
*RES
1 *9524:Q *348:6 15.7464
2 *348:6 *348:9 5.03571
3 *348:9 *9051:B 9.3
4 *348:9 *9074:A 15.6036
5 *348:6 *9075:B1 17.8179
*END
*D_NET *349 0.00121852
*CONN
*I *9078:A I *D sky130_fd_sc_hd__or2_1
*I *9051:A I *D sky130_fd_sc_hd__and4_2
*I *9525:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *9078:A 6.66282e-05
2 *9051:A 9.22013e-05
3 *9525:Q 0.000343624
4 *349:10 0.000502454
5 *9068:A *349:10 0
6 *9075:B1 *349:10 0
7 *9076:A_N *9078:A 1.74352e-05
8 *9076:A_N *349:10 0
9 *9076:C *349:10 0
10 *9078:B *9078:A 5.71472e-05
11 *9079:B *349:10 0
12 *9079:C *349:10 0
13 *9525:D *349:10 5.71472e-05
14 *259:5 *9051:A 7.15846e-05
15 *259:5 *9078:A 1.02936e-05
16 *348:6 *349:10 0
*RES
1 *9525:Q *349:10 23.5143
2 *349:10 *9051:A 11.0679
3 *349:10 *9078:A 10.6571
*END
*D_NET *350 0.00205177
*CONN
*I *9083:A1 I *D sky130_fd_sc_hd__a21o_1
*I *9082:A1 I *D sky130_fd_sc_hd__o21ba_1
*I *9052:A2 I *D sky130_fd_sc_hd__a311oi_4
*I *9081:A1 I *D sky130_fd_sc_hd__a211o_1
*I *9526:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *9083:A1 0.000165566
2 *9082:A1 5.20565e-05
3 *9052:A2 1.64323e-05
4 *9081:A1 5.96439e-05
5 *9526:Q 4.70928e-05
6 *350:8 0.00017862
7 *350:7 0.000154601
8 *350:5 0.000212659
9 *9052:A2 *8966:A 9.90431e-05
10 *8966:C *9052:A2 9.90431e-05
11 *9081:B1 *9081:A1 6.8646e-06
12 *9082:A2 *9082:A1 0.000116481
13 *9082:A2 *350:8 5.66157e-05
14 *9083:A2 *9083:A1 9.16173e-05
15 *9083:A2 *350:5 2.59355e-05
16 *14:11 *9081:A1 0.000128146
17 *184:12 *9081:A1 6.8646e-06
18 *184:12 *9082:A1 4.25809e-05
19 *184:12 *350:8 9.42298e-05
20 *242:16 *9081:A1 0.000174797
21 *242:23 *9081:A1 1.02504e-05
22 *242:23 *350:8 0.000212627
*RES
1 *9526:Q *350:5 9.83571
2 *350:5 *350:7 4.5
3 *350:7 *350:8 3.46429
4 *350:8 *9081:A1 16.05
5 *350:8 *9052:A2 14.7464
6 *350:7 *9082:A1 15.4429
7 *350:5 *9083:A1 11.4786
*END
*D_NET *351 0.00308488
*CONN
*I *9083:B1 I *D sky130_fd_sc_hd__a21o_1
*I *9052:A1 I *D sky130_fd_sc_hd__a311oi_4
*I *8966:A I *D sky130_fd_sc_hd__nor3_1
*I *9527:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *9083:B1 0
2 *9052:A1 3.60099e-05
3 *8966:A 0.000192306
4 *9527:Q 0.000533436
5 *351:16 0.00037124
6 *351:12 0.000676359
7 *8966:B *8966:A 0.000654859
8 *8966:B *9052:A1 5.33005e-05
9 *8966:C *8966:A 0.000179019
10 *9052:A2 *8966:A 9.90431e-05
11 *9052:B1 *8966:A 0.000102207
12 *9052:B1 *9052:A1 2.72319e-05
13 *9081:C1 *351:16 3.17148e-05
14 *9084:B *351:12 0.000128154
15 *184:12 *351:12 0
16 *184:12 *351:16 0
17 *291:87 *351:12 0
*RES
1 *9527:Q *351:12 21.9429
2 *351:12 *351:16 7.05357
3 *351:16 *8966:A 16.4071
4 *351:16 *9052:A1 10.2643
5 *351:12 *9083:B1 13.8
*END
*D_NET *352 0.00328576
*CONN
*I *9042:A_N I *D sky130_fd_sc_hd__and2b_1
*I *9044:B I *D sky130_fd_sc_hd__nand2_1
*I *9045:B I *D sky130_fd_sc_hd__or2_1
*I *8884:A2 I *D sky130_fd_sc_hd__a211o_1
*I *9407:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *9042:A_N 0.000197556
2 *9044:B 0.000114573
3 *9045:B 3.72704e-05
4 *8884:A2 0.000191458
5 *9407:Q 0.000263405
6 *352:10 0.000344257
7 *352:8 0.000386696
8 *352:7 0.000617556
9 *8884:A2 *8884:A1 0
10 *8884:A2 *8884:C1 7.28437e-05
11 *8884:A2 *363:19 4.46186e-06
12 *9042:A_N *8890:A_N 6.74911e-05
13 *9044:B *9044:A 0.000232399
14 *9044:B *363:15 4.8843e-05
15 *352:8 *8890:A_N 9.65075e-05
16 *352:8 *363:19 4.35131e-05
17 *352:10 *363:19 0.000104236
18 *9042:B *9042:A_N 1.92905e-05
19 *9046:A *352:8 0
20 *9407:D *9042:A_N 0
21 *9407:D *352:8 0
22 *111:10 *8884:A2 3.18676e-05
23 *116:8 *9044:B 0.000248788
24 *296:8 *9042:A_N 0
25 *296:8 *352:8 0
26 *296:13 *352:7 4.53889e-05
27 *296:16 *9042:A_N 6.16864e-05
28 *296:37 *352:7 5.56679e-05
*RES
1 *9407:Q *352:7 16.8
2 *352:7 *352:8 3.46429
3 *352:8 *352:10 2.55357
4 *352:10 *8884:A2 17.4071
5 *352:10 *9045:B 14.3357
6 *352:8 *9044:B 18.4607
7 *352:7 *9042:A_N 18.1036
*END
*D_NET *353 0.000472306
*CONN
*I *8883:D I *D sky130_fd_sc_hd__or4_1
*I *9425:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8883:D 0.000185049
2 *9425:Q 0.000185049
3 *8883:D *8883:C 0.000102207
*RES
1 *9425:Q *8883:D 21.2071
*END
*D_NET *354 0.000539445
*CONN
*I *8883:C I *D sky130_fd_sc_hd__or4_1
*I *9427:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8883:C 0.000218619
2 *9427:Q 0.000218619
3 *8883:C *9431:D 0
4 *8883:D *8883:C 0.000102207
*RES
1 *9427:Q *8883:C 31.8321
*END
*D_NET *355 0.000724429
*CONN
*I *8883:B I *D sky130_fd_sc_hd__or4_1
*I *9429:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8883:B 0.000349384
2 *9429:Q 0.000349384
3 *8883:B *8883:A 2.56601e-05
4 *8883:B *9431:D 0
5 *8889:C *8883:B 0
*RES
1 *9429:Q *8883:B 32.8321
*END
*D_NET *356 0.000636976
*CONN
*I *8883:A I *D sky130_fd_sc_hd__or4_1
*I *9431:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8883:A 0.00028602
2 *9431:Q 0.00028602
3 *8883:B *8883:A 2.56601e-05
4 *8889:C *8883:A 3.92757e-05
*RES
1 *9431:Q *8883:A 31.725
*END
*D_NET *357 0.00026108
*CONN
*I *8887:D I *D sky130_fd_sc_hd__or4_1
*I *9433:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8887:D 9.30532e-05
2 *9433:Q 9.30532e-05
3 *8887:D *8887:C 1.92789e-05
4 *113:9 *8887:D 5.56943e-05
5 *292:176 *8887:D 0
*RES
1 *9433:Q *8887:D 29.475
*END
*D_NET *358 0.000406945
*CONN
*I *8887:C I *D sky130_fd_sc_hd__or4_1
*I *9435:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8887:C 0.000193833
2 *9435:Q 0.000193833
3 *8887:D *8887:C 1.92789e-05
*RES
1 *9435:Q *8887:C 21.6179
*END
*D_NET *359 0.000806821
*CONN
*I *8887:B I *D sky130_fd_sc_hd__or4_1
*I *9437:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8887:B 0.000236861
2 *9437:Q 0.000236861
3 *8887:B *8887:A 2.79092e-05
4 *8888:B *8887:B 5.19842e-06
5 *8888:D *8887:B 0
6 *9429:CLK *8887:B 1.15172e-05
7 *113:9 *8887:B 0.000288474
*RES
1 *9437:Q *8887:B 32.4214
*END
*D_NET *360 0.000453292
*CONN
*I *8887:A I *D sky130_fd_sc_hd__or4_1
*I *9439:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8887:A 0.000152358
2 *9439:Q 0.000152358
3 *8887:B *8887:A 2.79092e-05
4 *8888:D *8887:A 7.83587e-05
5 *113:9 *8887:A 0
6 *292:176 *8887:A 4.23086e-05
*RES
1 *9439:Q *8887:A 30.4929
*END
*D_NET *361 0.000477011
*CONN
*I *8886:D I *D sky130_fd_sc_hd__or4_1
*I *9441:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8886:D 0.000175186
2 *9441:Q 0.000175186
3 *297:36 *8886:D 0.000126639
*RES
1 *9441:Q *8886:D 21.2071
*END
*D_NET *362 0.000820748
*CONN
*I *8886:C I *D sky130_fd_sc_hd__or4_1
*I *9443:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8886:C 0.000371195
2 *9443:Q 0.000371195
3 *8886:C *8886:B 7.83587e-05
4 *8886:C *9447:D 0
5 *113:9 *8886:C 0
*RES
1 *9443:Q *8886:C 33.4393
*END
*D_NET *363 0.00322509
*CONN
*I *8890:A_N I *D sky130_fd_sc_hd__and2b_1
*I *8884:A1 I *D sky130_fd_sc_hd__a211o_1
*I *9045:A I *D sky130_fd_sc_hd__or2_1
*I *9044:A I *D sky130_fd_sc_hd__nand2_1
*I *9408:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8890:A_N 0.00031179
2 *8884:A1 0.000117666
3 *9045:A 0
4 *9044:A 7.603e-05
5 *9408:Q 0
6 *363:19 0.000257183
7 *363:15 0.000624011
8 *363:4 0.000248733
9 *8884:A1 *8884:C1 1.52978e-05
10 *8884:A2 *8884:A1 0
11 *8884:A2 *363:19 4.46186e-06
12 *9042:A_N *8890:A_N 6.74911e-05
13 *9044:B *9044:A 0.000232399
14 *9044:B *363:15 4.8843e-05
15 *9046:A *9044:A 0.000105163
16 *19:8 *8884:A1 0.000175519
17 *19:8 *363:19 4.78891e-05
18 *116:8 *8890:A_N 5.74562e-05
19 *116:8 *363:15 8.27184e-06
20 *116:8 *363:19 7.17189e-05
21 *116:11 *8890:A_N 0.000312209
22 *296:16 *8890:A_N 0.000198698
23 *352:8 *8890:A_N 9.65075e-05
24 *352:8 *363:19 4.35131e-05
25 *352:10 *363:19 0.000104236
*RES
1 *9408:Q *363:4 9.3
2 *363:4 *9044:A 12.2107
3 *363:4 *363:15 6.67857
4 *363:15 *363:19 8.5
5 *363:19 *9045:A 9.3
6 *363:19 *8884:A1 21.0857
7 *363:15 *8890:A_N 21.6929
*END
*D_NET *364 0.00229099
*CONN
*I *8886:B I *D sky130_fd_sc_hd__or4_1
*I *9445:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8886:B 0.00106285
2 *9445:Q 0.00106285
3 *8886:B *9445:D 7.01199e-06
4 *8886:B *9447:D 4.87669e-06
5 *8886:C *8886:B 7.83587e-05
6 *9445:CLK *8886:B 7.50473e-05
*RES
1 *9445:Q *8886:B 40.6714
*END
*D_NET *365 0.00058884
*CONN
*I *8886:A I *D sky130_fd_sc_hd__or4_1
*I *9447:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8886:A 0.000163392
2 *9447:Q 0.000163392
3 *113:9 *8886:A 7.83366e-05
4 *292:146 *8886:A 0
5 *297:36 *8886:A 0.00018372
*RES
1 *9447:Q *8886:A 31.3143
*END
*D_NET *366 0.000623729
*CONN
*I *8885:D I *D sky130_fd_sc_hd__or4_1
*I *9449:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8885:D 0.000259916
2 *9449:Q 0.000259916
3 *8885:D *9455:D 0.000103898
*RES
1 *9449:Q *8885:D 31.1179
*END
*D_NET *367 0.000721554
*CONN
*I *8885:C I *D sky130_fd_sc_hd__or4_1
*I *9451:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8885:C 0.000255883
2 *9451:Q 0.000255883
3 *8885:C *8885:A 0.000186765
4 *292:180 *8885:C 2.30237e-05
*RES
1 *9451:Q *8885:C 31.9571
*END
*D_NET *368 0.000243451
*CONN
*I *8885:B I *D sky130_fd_sc_hd__or4_1
*I *9453:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8885:B 0.00011208
2 *9453:Q 0.00011208
3 *8885:B *9453:D 1.92905e-05
*RES
1 *9453:Q *8885:B 29.1714
*END
*D_NET *369 0.000797372
*CONN
*I *8885:A I *D sky130_fd_sc_hd__or4_1
*I *9455:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8885:A 0.000218324
2 *9455:Q 0.000218324
3 *8885:A *9455:D 0.000173959
4 *8885:C *8885:A 0.000186765
*RES
1 *9455:Q *8885:A 23.975
*END
*D_NET *370 0.00144423
*CONN
*I *8884:C1 I *D sky130_fd_sc_hd__a211o_1
*I *9457:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8884:C1 0.000604171
2 *9457:Q 0.000604171
3 *8884:C1 *8884:B1 2.62395e-05
4 *8884:C1 *9459:D 0
5 *8884:A1 *8884:C1 1.52978e-05
6 *8884:A2 *8884:C1 7.28437e-05
7 *19:8 *8884:C1 0.000121506
*RES
1 *9457:Q *8884:C1 37.475
*END
*D_NET *371 0.000394695
*CONN
*I *8884:B1 I *D sky130_fd_sc_hd__a211o_1
*I *9459:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8884:B1 0.000132598
2 *9459:Q 0.000132598
3 *8884:C1 *8884:B1 2.62395e-05
4 *296:39 *8884:B1 0
5 *322:8 *8884:B1 0.00010326
*RES
1 *9459:Q *8884:B1 30.2071
*END
*D_NET *372 0.000416561
*CONN
*I *8881:D I *D sky130_fd_sc_hd__or4_1
*I *9409:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8881:D 0.000208281
2 *9409:Q 0.000208281
*RES
1 *9409:Q *8881:D 21.2071
*END
*D_NET *373 0.000404994
*CONN
*I *8881:C I *D sky130_fd_sc_hd__or4_1
*I *9411:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8881:C 0.000184925
2 *9411:Q 0.000184925
3 *8881:C *8881:A 3.51442e-05
4 *8881:C *9411:D 0
*RES
1 *9411:Q *8881:C 30.4929
*END
*D_NET *374 0.000578232
*CONN
*I *8881:B I *D sky130_fd_sc_hd__or4_1
*I *9413:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8881:B 0.000275161
2 *9413:Q 0.000275161
3 *8881:B *8881:A 2.79092e-05
4 *8881:B *9411:D 0
5 *8881:B *9415:D 0
*RES
1 *9413:Q *8881:B 32.0107
*END
*D_NET *375 0.000344061
*CONN
*I *8881:A I *D sky130_fd_sc_hd__or4_1
*I *9415:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8881:A 0.000140504
2 *9415:Q 0.000140504
3 *8881:B *8881:A 2.79092e-05
4 *8881:C *8881:A 3.51442e-05
*RES
1 *9415:Q *8881:A 30.0821
*END
*D_NET *376 0.000418291
*CONN
*I *8882:D I *D sky130_fd_sc_hd__or4_1
*I *9417:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8882:D 0.000197958
2 *9417:Q 0.000197958
3 *8882:D *8882:B 2.23758e-05
*RES
1 *9417:Q *8882:D 21.2071
*END
*D_NET *377 0.000849319
*CONN
*I *8882:C I *D sky130_fd_sc_hd__or4_1
*I *9419:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8882:C 0.000255246
2 *9419:Q 0.000255246
3 *8882:C *8882:A 6.74815e-05
4 *296:72 *8882:C 0.000271345
*RES
1 *9419:Q *8882:C 31.8321
*END
*D_NET *378 0.00102584
*CONN
*I *8882:B I *D sky130_fd_sc_hd__or4_1
*I *9421:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8882:B 0.000501734
2 *9421:Q 0.000501734
3 *8882:B *8882:A 0
4 *8882:D *8882:B 2.23758e-05
5 *296:72 *8882:B 0
*RES
1 *9421:Q *8882:B 35.2607
*END
*D_NET *379 0.00112439
*CONN
*I *8882:A I *D sky130_fd_sc_hd__or4_1
*I *9423:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8882:A 0.000412255
2 *9423:Q 0.000412255
3 *8882:A *9423:D 0.000232399
4 *8882:B *8882:A 0
5 *8882:C *8882:A 6.74815e-05
*RES
1 *9423:Q *8882:A 34.5643
*END
*D_NET *380 0.00175462
*CONN
*I *9035:B I *D sky130_fd_sc_hd__or2_1
*I *9036:B I *D sky130_fd_sc_hd__nand2_1
*I *9033:A_N I *D sky130_fd_sc_hd__and2b_1
*I *9353:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *9035:B 0.000220621
2 *9036:B 0.000275501
3 *9033:A_N 0.000219014
4 *9353:Q 0
5 *380:5 0.000494516
6 *380:4 0.000220621
7 *9036:B *9036:A 0
8 *9354:CLK *9033:A_N 0.000273269
9 *126:8 *9033:A_N 5.10822e-05
10 *232:8 *9033:A_N 0
11 *232:8 *9036:B 0
*RES
1 *9353:Q *380:4 9.3
2 *380:4 *380:5 4.5
3 *380:5 *9033:A_N 18.1393
4 *380:5 *9036:B 18.6214
5 *380:4 *9035:B 11.9071
*END
*D_NET *381 0.000571252
*CONN
*I *8897:B I *D sky130_fd_sc_hd__or4_1
*I *9370:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8897:B 0.000113583
2 *9370:Q 0.000113583
3 *8899:C *8897:B 0.00022866
4 *9370:CLK *8897:B 0.000115427
*RES
1 *9370:Q *8897:B 22.0286
*END
*D_NET *382 0.00170831
*CONN
*I *8897:A I *D sky130_fd_sc_hd__or4_1
*I *9372:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8897:A 0.000431046
2 *9372:Q 0.000431046
3 *8897:A *8897:D 0.000744673
4 *8897:A *9364:D 0.000101545
5 *8899:C *8897:A 0
*RES
1 *9372:Q *8897:A 35.7964
*END
*D_NET *383 0.00202838
*CONN
*I *8893:A I *D sky130_fd_sc_hd__or4_1
*I *9374:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8893:A 0.000743423
2 *9374:Q 0.000743423
3 *8893:A *8893:B 0.000318316
4 *8893:A *9362:D 0
5 *8893:A *9374:D 0.000223215
*RES
1 *9374:Q *8893:A 39.3143
*END
*D_NET *384 0.00128465
*CONN
*I *8895:D I *D sky130_fd_sc_hd__or4_1
*I *9376:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8895:D 0.000428312
2 *9376:Q 0.000428312
3 *8895:D *8895:B 0.000109918
4 *8895:D *8895:C 0
5 *8895:D *9388:D 0
6 *301:77 *8895:D 0.000318107
*RES
1 *9376:Q *8895:D 37.1
*END
*D_NET *385 0.00173838
*CONN
*I *8898:D I *D sky130_fd_sc_hd__or4_1
*I *9378:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8898:D 0.000733354
2 *9378:Q 0.000733354
3 *8898:D *8898:A 7.44918e-05
4 *8898:D *8898:C 0.000125724
5 *8898:D *9380:D 2.34204e-05
6 *8898:D *9390:D 4.8032e-05
7 *9390:CLK *8898:D 0
*RES
1 *9378:Q *8898:D 38.2607
*END
*D_NET *386 0.00168309
*CONN
*I *8898:C I *D sky130_fd_sc_hd__or4_1
*I *9380:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8898:C 0.00058882
2 *9380:Q 0.00058882
3 *8898:C *8898:A 6.74911e-05
4 *8898:D *8898:C 0.000125724
5 *307:5 *8898:C 0.00017091
6 *307:7 *8898:C 0.000141326
*RES
1 *9380:Q *8898:C 36.7607
*END
*D_NET *387 0.000932796
*CONN
*I *8895:C I *D sky130_fd_sc_hd__or4_1
*I *9382:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8895:C 0.000413926
2 *9382:Q 0.000413926
3 *8895:C *8895:A 4.66203e-05
4 *8895:D *8895:C 0
5 *301:77 *8895:C 5.83233e-05
*RES
1 *9382:Q *8895:C 34.1536
*END
*D_NET *388 0.000381559
*CONN
*I *8898:B I *D sky130_fd_sc_hd__or4_1
*I *9384:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8898:B 0.000190779
2 *9384:Q 0.000190779
*RES
1 *9384:Q *8898:B 20.7786
*END
*D_NET *389 0.000469857
*CONN
*I *8895:B I *D sky130_fd_sc_hd__or4_1
*I *9386:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8895:B 0.000179969
2 *9386:Q 0.000179969
3 *8895:D *8895:B 0.000109918
*RES
1 *9386:Q *8895:B 21.1893
*END
*D_NET *390 0.00090847
*CONN
*I *8895:A I *D sky130_fd_sc_hd__or4_1
*I *9388:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8895:A 0.00041421
2 *9388:Q 0.00041421
3 *8895:C *8895:A 4.66203e-05
4 *301:77 *8895:A 3.34295e-05
*RES
1 *9388:Q *8895:A 33.0643
*END
*D_NET *391 0.0011899
*CONN
*I *9036:A I *D sky130_fd_sc_hd__nand2_1
*I *9035:A I *D sky130_fd_sc_hd__or2_1
*I *9354:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *9036:A 0.000202311
2 *9035:A 0
3 *9354:Q 0.000323648
4 *391:8 0.00052596
5 *9036:B *9036:A 0
6 *9037:B *391:8 3.92854e-05
7 *9040:A *9036:A 0
8 *9040:A *391:8 0
9 *232:8 *9036:A 6.39262e-05
10 *232:8 *391:8 3.47737e-05
*RES
1 *9354:Q *391:8 18.1393
2 *391:8 *9035:A 13.8
3 *391:8 *9036:A 17.9071
*END
*D_NET *392 0.000782069
*CONN
*I *8898:A I *D sky130_fd_sc_hd__or4_1
*I *9390:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8898:A 0.000320043
2 *9390:Q 0.000320043
3 *8898:C *8898:A 6.74911e-05
4 *8898:D *8898:A 7.44918e-05
5 *9680:A *8898:A 0
6 *292:14 *8898:A 0
*RES
1 *9390:Q *8898:A 33.3321
*END
*D_NET *393 0.00131395
*CONN
*I *8894:D I *D sky130_fd_sc_hd__or4_1
*I *9392:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8894:D 0.000482402
2 *9392:Q 0.000482402
3 *8894:D *8894:A 5.33005e-05
4 *292:14 *8894:D 0.000295844
*RES
1 *9392:Q *8894:D 35.3143
*END
*D_NET *394 0.000577364
*CONN
*I *8899:B I *D sky130_fd_sc_hd__or4_1
*I *9394:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8899:B 0.000237745
2 *9394:Q 0.000237745
3 *8899:D *8899:B 0.000101873
4 *8900:B *8899:B 0
*RES
1 *9394:Q *8899:B 32.0107
*END
*D_NET *395 0.000373148
*CONN
*I *8899:A I *D sky130_fd_sc_hd__or4_1
*I *9396:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8899:A 0.000137056
2 *9396:Q 0.000137056
3 *8899:C *8899:A 9.90367e-05
4 *8899:D *8899:A 0
*RES
1 *9396:Q *8899:A 30.1893
*END
*D_NET *396 0.000701936
*CONN
*I *8894:C I *D sky130_fd_sc_hd__or4_1
*I *9398:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8894:C 0.000196253
2 *9398:Q 0.000196253
3 *292:14 *8894:C 0.000125717
4 *301:53 *8894:C 0.000183713
*RES
1 *9398:Q *8894:C 31.0107
*END
*D_NET *397 0.000536489
*CONN
*I *8894:A I *D sky130_fd_sc_hd__or4_1
*I *9400:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8894:A 0.00016961
2 *9400:Q 0.00016961
3 *8894:A *8894:B 1.58163e-05
4 *8894:D *8894:A 5.33005e-05
5 *292:14 *8894:A 0.000128154
*RES
1 *9400:Q *8894:A 31.0107
*END
*D_NET *398 0.000394482
*CONN
*I *8894:B I *D sky130_fd_sc_hd__or4_1
*I *9402:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8894:B 0.000189333
2 *9402:Q 0.000189333
3 *8894:A *8894:B 1.58163e-05
*RES
1 *9402:Q *8894:B 20.7786
*END
*D_NET *399 0.000412811
*CONN
*I *8892:C I *D sky130_fd_sc_hd__or3_1
*I *9404:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8892:C 0.000159033
2 *9404:Q 0.000159033
3 *8892:C *8892:A 5.65955e-05
4 *8892:C *8892:B 1.39841e-05
5 *8896:A *8892:C 2.41649e-05
6 *8900:A *8892:C 0
*RES
1 *9404:Q *8892:C 30.6
*END
*D_NET *400 0.00139159
*CONN
*I *9039:A I *D sky130_fd_sc_hd__xnor2_1
*I *8901:A_N I *D sky130_fd_sc_hd__and2b_1
*I *9355:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *9039:A 0.000164985
2 *8901:A_N 9.01316e-05
3 *9355:Q 0.000142904
4 *400:8 0.00039802
5 *8901:B *8901:A_N 6.58195e-05
6 *8901:B *400:8 6.8646e-06
7 *9037:C *9039:A 0
8 *9040:A *400:8 6.8646e-06
9 *9040:B *9039:A 4.72917e-05
10 *9040:B *400:8 7.83659e-05
11 *9041:A *8901:A_N 3.34295e-05
12 *9041:A *400:8 0.000126439
13 *126:21 *9039:A 0.000230475
*RES
1 *9355:Q *400:8 16.3893
2 *400:8 *8901:A_N 16.05
3 *400:8 *9039:A 17.6393
*END
*D_NET *401 0.00053705
*CONN
*I *8892:B I *D sky130_fd_sc_hd__or3_1
*I *9356:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8892:B 0.000213624
2 *9356:Q 0.000213624
3 *8892:C *8892:B 1.39841e-05
4 *8896:A *8892:B 9.58181e-05
*RES
1 *9356:Q *8892:B 21.1893
*END
*D_NET *402 0.000470936
*CONN
*I *8892:A I *D sky130_fd_sc_hd__or3_1
*I *9358:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8892:A 0.000107583
2 *9358:Q 0.000107583
3 *8892:A *9356:D 0
4 *8892:C *8892:A 5.65955e-05
5 *8896:A *8892:A 0.000199175
*RES
1 *9358:Q *8892:A 30.1893
*END
*D_NET *403 0.002166
*CONN
*I *8897:D I *D sky130_fd_sc_hd__or4_1
*I *9360:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8897:D 0.000627152
2 *9360:Q 0.000627152
3 *8897:D *8897:C 5.71472e-05
4 *8897:D *9364:D 1.08359e-05
5 *8897:A *8897:D 0.000744673
6 *9370:CLK *8897:D 9.90431e-05
7 *9372:CLK *8897:D 0
8 *302:48 *8897:D 0
*RES
1 *9360:Q *8897:D 40.7607
*END
*D_NET *404 0.00021169
*CONN
*I *8893:D I *D sky130_fd_sc_hd__or4_1
*I *9362:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8893:D 5.33579e-05
2 *9362:Q 5.33579e-05
3 *8893:D *8893:C 0.000104974
4 *301:47 *8893:D 0
*RES
1 *9362:Q *8893:D 28.9393
*END
*D_NET *405 0.000732672
*CONN
*I *8897:C I *D sky130_fd_sc_hd__or4_1
*I *9364:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8897:C 0.000225467
2 *9364:Q 0.000225467
3 *8897:D *8897:C 5.71472e-05
4 *9353:D *8897:C 0
5 *9354:CLK *8897:C 0.000184745
6 *9370:CLK *8897:C 3.9846e-05
7 *302:48 *8897:C 0
*RES
1 *9364:Q *8897:C 32.2429
*END
*D_NET *406 0.000711064
*CONN
*I *8893:C I *D sky130_fd_sc_hd__or4_1
*I *9366:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8893:C 0.000211203
2 *9366:Q 0.000211203
3 *8893:C *8893:B 0.000183684
4 *8893:D *8893:C 0.000104974
5 *9590:CLK *8893:C 0
6 *301:47 *8893:C 0
*RES
1 *9366:Q *8893:C 32.5107
*END
*D_NET *407 0.00137252
*CONN
*I *8893:B I *D sky130_fd_sc_hd__or4_1
*I *9368:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8893:B 0.000432356
2 *9368:Q 0.000432356
3 *8893:B *9374:D 0
4 *8893:A *8893:B 0.000318316
5 *8893:C *8893:B 0.000183684
6 *8896:B *8893:B 5.80706e-06
*RES
1 *9368:Q *8893:B 36.7786
*END
*D_NET *408 0.00495277
*CONN
*I *9023:A I *D sky130_fd_sc_hd__or2_1
*I *9020:A_N I *D sky130_fd_sc_hd__and2b_1
*I *9026:B I *D sky130_fd_sc_hd__nand3_1
*I *9022:A I *D sky130_fd_sc_hd__nand2_1
*I *9031:A2 I *D sky130_fd_sc_hd__a31o_1
*I *9027:A1 I *D sky130_fd_sc_hd__a21o_1
*I *9300:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *9023:A 9.83897e-05
2 *9020:A_N 8.48672e-05
3 *9026:B 6.88806e-05
4 *9022:A 0.000100023
5 *9031:A2 0
6 *9027:A1 0.000132726
7 *9300:Q 0.000206403
8 *408:48 0.000183257
9 *408:30 0.000481944
10 *408:14 0.000667967
11 *408:10 0.000313957
12 *408:8 0.000298159
13 *9020:A_N *9023:B 5.33005e-05
14 *9022:A *9022:B 0.000404707
15 *9023:A *9023:B 0.000130419
16 *9026:B *9026:C 5.33005e-05
17 *9027:A1 *9027:A2 9.80173e-05
18 *9027:A1 *419:23 4.18895e-05
19 *408:10 *9023:B 0.000114759
20 *408:14 *9023:B 3.17148e-05
21 *408:14 *419:34 0.000248486
22 *408:30 *419:8 0.000314716
23 *408:30 *419:23 0.000228558
24 *9024:C *408:10 0
25 *9300:CLK *408:8 7.4826e-05
26 *9300:CLK *408:10 1.17968e-05
27 *9303:D *9022:A 0.000318101
28 *9303:D *408:30 0
29 *135:36 *9026:B 0.000180764
30 *291:59 *408:30 0
31 *313:65 *408:14 1.08359e-05
32 *313:65 *408:30 0
*RES
1 *9300:Q *408:8 17.1036
2 *408:8 *408:10 1.94643
3 *408:10 *408:14 8.26786
4 *408:14 *9027:A1 11.0679
5 *408:14 *408:30 16.2143
6 *408:30 *9031:A2 9.3
7 *408:30 *9022:A 13.5321
8 *408:10 *9026:B 15.5679
9 *408:8 *408:48 4.5
10 *408:48 *9020:A_N 10.6571
11 *408:48 *9023:A 11.4786
*END
*D_NET *409 0.00107903
*CONN
*I *8907:B I *D sky130_fd_sc_hd__or4_1
*I *9316:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8907:B 0.000497905
2 *9316:Q 0.000497905
3 *8907:B *8907:A 8.32171e-05
4 *8907:B *9322:D 0
5 *291:191 *8907:B 0
*RES
1 *9316:Q *8907:B 35.9571
*END
*D_NET *410 0.000444336
*CONN
*I *8907:A I *D sky130_fd_sc_hd__or4_1
*I *9318:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8907:A 8.19238e-05
2 *9318:Q 8.19238e-05
3 *8907:A *8907:C 0.000129868
4 *8907:A *8907:D 1.41029e-05
5 *8907:B *8907:A 8.32171e-05
6 *8910:C *8907:A 5.33005e-05
7 *291:191 *8907:A 0
*RES
1 *9318:Q *8907:A 30.0821
*END
*D_NET *411 0.000971034
*CONN
*I *8905:C I *D sky130_fd_sc_hd__or4_1
*I *9320:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8905:C 0.000350792
2 *9320:Q 0.000350792
3 *8905:C *8905:A 0.000128146
4 *8905:C *8905:B 1.3142e-05
5 *131:17 *8905:C 0.000128161
*RES
1 *9320:Q *8905:C 32.9571
*END
*D_NET *412 0.00150208
*CONN
*I *8905:D I *D sky130_fd_sc_hd__or4_1
*I *9322:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8905:D 0.000467107
2 *9322:Q 0.000467107
3 *8905:D *8905:B 0.000295844
4 *8905:D *9320:D 0.000151333
5 *8905:D *9324:D 1.15797e-05
6 *131:17 *8905:D 5.52238e-05
7 *290:11 *8905:D 5.38886e-05
*RES
1 *9322:Q *8905:D 35.725
*END
*D_NET *413 0.00108907
*CONN
*I *8905:A I *D sky130_fd_sc_hd__or4_1
*I *9324:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8905:A 0.000407039
2 *9324:Q 0.000407039
3 *8905:A *9324:D 4.0552e-05
4 *8905:C *8905:A 0.000128146
5 *131:17 *8905:A 0.000106299
*RES
1 *9324:Q *8905:A 34.6714
*END
*D_NET *414 0.00181298
*CONN
*I *8905:B I *D sky130_fd_sc_hd__or4_1
*I *9326:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8905:B 0.000354875
2 *9326:Q 0.000354875
3 *8905:B *9320:D 9.97744e-05
4 *8905:B *9326:D 0.000117553
5 *8905:C *8905:B 1.3142e-05
6 *8905:D *8905:B 0.000295844
7 *8909:D *8905:B 5.58941e-05
8 *131:17 *8905:B 0.000521022
9 *290:11 *8905:B 0
*RES
1 *9326:Q *8905:B 37.1893
*END
*D_NET *415 0.000436423
*CONN
*I *8906:D I *D sky130_fd_sc_hd__or4_1
*I *9328:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8906:D 0.000214494
2 *9328:Q 0.000214494
3 *8906:D *8906:C 7.43578e-06
*RES
1 *9328:Q *8906:D 22.0286
*END
*D_NET *416 0.000834898
*CONN
*I *8906:C I *D sky130_fd_sc_hd__or4_1
*I *9330:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8906:C 0.000373691
2 *9330:Q 0.000373691
3 *8906:C *8906:B 8.00806e-05
4 *8906:C *9330:D 0
5 *8906:D *8906:C 7.43578e-06
*RES
1 *9330:Q *8906:C 34.6357
*END
*D_NET *417 0.000362874
*CONN
*I *8906:A I *D sky130_fd_sc_hd__or4_1
*I *9332:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8906:A 0.000168602
2 *9332:Q 0.000168602
3 *131:17 *8906:A 2.56694e-05
*RES
1 *9332:Q *8906:A 30.1893
*END
*D_NET *418 0.000168296
*CONN
*I *8906:B I *D sky130_fd_sc_hd__or4_1
*I *9334:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8906:B 4.41076e-05
2 *9334:Q 4.41076e-05
3 *8906:C *8906:B 8.00806e-05
*RES
1 *9334:Q *8906:B 28.6357
*END
*D_NET *419 0.00418423
*CONN
*I *9023:B I *D sky130_fd_sc_hd__or2_1
*I *9026:C I *D sky130_fd_sc_hd__nand3_1
*I *9027:A2 I *D sky130_fd_sc_hd__a21o_1
*I *9022:B I *D sky130_fd_sc_hd__nand2_1
*I *9031:A3 I *D sky130_fd_sc_hd__a31o_1
*I *9301:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *9023:B 0.000142626
2 *9026:C 2.9238e-05
3 *9027:A2 5.30781e-05
4 *9022:B 0.000136897
5 *9031:A3 0
6 *9301:Q 0
7 *419:34 0.000238822
8 *419:23 0.000229437
9 *419:8 0.000302375
10 *419:4 0.000274878
11 *9020:A_N *9023:B 5.33005e-05
12 *9022:A *9022:B 0.000404707
13 *9023:A *9023:B 0.000130419
14 *9024:B *419:8 0
15 *9026:B *9026:C 5.33005e-05
16 *9027:A1 *9027:A2 9.80173e-05
17 *9027:A1 *419:23 4.18895e-05
18 *9032:B1 *9022:B 0.000190917
19 *9300:CLK *9023:B 0.000138939
20 *9300:CLK *419:34 0.000226021
21 *9303:D *419:8 0
22 *222:10 *9022:B 5.71472e-05
23 *222:10 *419:8 0
24 *313:65 *419:34 3.17148e-05
25 *313:68 *9027:A2 5.33005e-05
26 *313:68 *419:23 0.000358971
27 *408:10 *9023:B 0.000114759
28 *408:14 *9023:B 3.17148e-05
29 *408:14 *419:34 0.000248486
30 *408:30 *419:8 0.000314716
31 *408:30 *419:23 0.000228558
*RES
1 *9301:Q *419:4 9.3
2 *419:4 *419:8 13.0714
3 *419:8 *9031:A3 9.3
4 *419:8 *9022:B 14.3714
5 *419:4 *419:23 3.83929
6 *419:23 *9027:A2 10.675
7 *419:23 *419:34 7.66071
8 *419:34 *9026:C 14.3357
9 *419:34 *9023:B 17.5143
*END
*D_NET *420 0.00193476
*CONN
*I *8903:D I *D sky130_fd_sc_hd__or4_1
*I *9336:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8903:D 0.000778413
2 *9336:Q 0.000778413
3 *8903:D *8903:A 0.000230431
4 *8903:D *8903:B 0
5 *1:23 *8903:D 0.0001475
*RES
1 *9336:Q *8903:D 39.6179
*END
*D_NET *421 0.00153547
*CONN
*I *8903:C I *D sky130_fd_sc_hd__or4_1
*I *9338:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8903:C 0.000767736
2 *9338:Q 0.000767736
*RES
1 *9338:Q *8903:C 36.7607
*END
*D_NET *422 0.000697427
*CONN
*I *8903:A I *D sky130_fd_sc_hd__or4_1
*I *9340:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8903:A 0.000208353
2 *9340:Q 0.000208353
3 *8903:D *8903:A 0.000230431
4 *1:23 *8903:A 5.029e-05
*RES
1 *9340:Q *8903:A 22.8679
*END
*D_NET *423 0.00106732
*CONN
*I *8903:B I *D sky130_fd_sc_hd__or4_1
*I *9342:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8903:B 0.000533662
2 *9342:Q 0.000533662
3 *8903:D *8903:B 0
*RES
1 *9342:Q *8903:B 35.6357
*END
*D_NET *424 0.000441254
*CONN
*I *8904:C I *D sky130_fd_sc_hd__or4_1
*I *9344:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8904:C 0.000156265
2 *9344:Q 0.000156265
3 *8904:C *8904:B 7.83462e-05
4 *8904:C *8904:D 5.03772e-05
5 *291:174 *8904:C 0
*RES
1 *9344:Q *8904:C 30.9036
*END
*D_NET *425 0.000369268
*CONN
*I *8904:D I *D sky130_fd_sc_hd__or4_1
*I *9346:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8904:D 0.000159445
2 *9346:Q 0.000159445
3 *8904:C *8904:D 5.03772e-05
*RES
1 *9346:Q *8904:D 21.2071
*END
*D_NET *426 0.00132743
*CONN
*I *8904:B I *D sky130_fd_sc_hd__or4_1
*I *9348:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8904:B 0.000568323
2 *9348:Q 0.000568323
3 *8904:B *8904:A 0.000112438
4 *8904:C *8904:B 7.83462e-05
5 *8910:B *8904:B 0
6 *291:174 *8904:B 0
*RES
1 *9348:Q *8904:B 37.1893
*END
*D_NET *427 0.00071124
*CONN
*I *8904:A I *D sky130_fd_sc_hd__or4_1
*I *9350:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8904:A 0.00020989
2 *9350:Q 0.00020989
3 *8904:A *9350:D 3.67142e-05
4 *8904:B *8904:A 0.000112438
5 *8910:B *8904:A 0.000142307
*RES
1 *9350:Q *8904:A 32.6179
*END
*D_NET *428 0.00450123
*CONN
*I *9026:A I *D sky130_fd_sc_hd__nand3_1
*I *9027:B1 I *D sky130_fd_sc_hd__a21o_1
*I *9030:A I *D sky130_fd_sc_hd__nand2_1
*I *9031:A1 I *D sky130_fd_sc_hd__a31o_1
*I *9302:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *9026:A 0.000111432
2 *9027:B1 0
3 *9030:A 0
4 *9031:A1 0.00047504
5 *9302:Q 0.000134906
6 *428:31 0.000497135
7 *428:21 0.00053958
8 *428:8 0.000763824
9 *9031:A1 *9031:B1 0.000291249
10 *428:21 *429:8 7.73758e-05
11 *428:31 *8911:A_N 0.000337333
12 *428:31 *429:8 1.76039e-05
13 *8911:B *9026:A 6.05161e-06
14 *8911:B *428:31 0.000235164
15 *9028:A *9026:A 5.66157e-05
16 *9300:CLK *9026:A 7.99044e-05
17 *9302:D *428:8 0
18 *9302:D *428:31 0
19 *9303:CLK *9031:A1 5.52238e-05
20 *9303:D *9031:A1 0.000473704
21 *135:37 *9026:A 0.000175519
22 *136:8 *428:21 2.78822e-05
23 *136:9 *9031:A1 0.000142856
24 *313:65 *9026:A 2.8266e-06
*RES
1 *9302:Q *428:8 15.675
2 *428:8 *9031:A1 25.1571
3 *428:8 *428:21 3.16071
4 *428:21 *9030:A 13.8
5 *428:21 *428:31 13.0357
6 *428:31 *9027:B1 9.3
7 *428:31 *9026:A 21.6929
*END
*D_NET *429 0.00343348
*CONN
*I *9031:B1 I *D sky130_fd_sc_hd__a31o_1
*I *8911:A_N I *D sky130_fd_sc_hd__and2b_1
*I *9030:B I *D sky130_fd_sc_hd__nand2_1
*I *9303:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *9031:B1 0.000743833
2 *8911:A_N 0.000170181
3 *9030:B 0.000120659
4 *9303:Q 0
5 *429:8 0.000404383
6 *429:4 0.000857375
7 *9031:A1 *9031:B1 0.000291249
8 *9032:A2 *9030:B 7.32272e-05
9 *9302:D *8911:A_N 0
10 *9303:CLK *9031:B1 2.89016e-05
11 *136:8 *8911:A_N 0.000103267
12 *136:8 *429:8 0.000171375
13 *136:9 *429:8 1.92789e-05
14 *291:9 *9030:B 1.74352e-05
15 *428:21 *429:8 7.73758e-05
16 *428:31 *8911:A_N 0.000337333
17 *428:31 *429:8 1.76039e-05
*RES
1 *9303:Q *429:4 9.3
2 *429:4 *429:8 7.28571
3 *429:8 *9030:B 15.9964
4 *429:8 *8911:A_N 18.0321
5 *429:4 *9031:B1 19.1929
*END
*D_NET *430 0.00150095
*CONN
*I *8908:D I *D sky130_fd_sc_hd__or4_1
*I *9304:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8908:D 0.000663906
2 *9304:Q 0.000663906
3 *8908:D *8908:A 3.84385e-05
4 *8908:D *8908:C 1.99099e-05
5 *8908:D *9310:D 4.87669e-06
6 *9304:CLK *8908:D 0.000109918
*RES
1 *9304:Q *8908:D 36.6536
*END
*D_NET *431 0.000428348
*CONN
*I *8908:C I *D sky130_fd_sc_hd__or4_1
*I *9306:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8908:C 0.000186647
2 *9306:Q 0.000186647
3 *8908:C *8908:A 3.51442e-05
4 *8908:C *9310:D 0
5 *8908:D *8908:C 1.99099e-05
6 *8909:D *8908:C 0
*RES
1 *9306:Q *8908:C 30.4929
*END
*D_NET *432 0.000383521
*CONN
*I *8908:B I *D sky130_fd_sc_hd__or4_1
*I *9308:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8908:B 0.000178668
2 *9308:Q 0.000178668
3 *8909:D *8908:B 2.61853e-05
*RES
1 *9308:Q *8908:B 20.7786
*END
*D_NET *433 0.000349503
*CONN
*I *8908:A I *D sky130_fd_sc_hd__or4_1
*I *9310:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8908:A 0.00013796
2 *9310:Q 0.00013796
3 *8908:C *8908:A 3.51442e-05
4 *8908:D *8908:A 3.84385e-05
*RES
1 *9310:Q *8908:A 30.0821
*END
*D_NET *434 0.00111798
*CONN
*I *8907:D I *D sky130_fd_sc_hd__or4_1
*I *9312:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8907:D 0.000390713
2 *9312:Q 0.000390713
3 *8907:D *8907:C 0.000322453
4 *8907:D *9318:D 0
5 *8907:A *8907:D 1.41029e-05
*RES
1 *9312:Q *8907:D 34.5643
*END
*D_NET *435 0.000957063
*CONN
*I *8907:C I *D sky130_fd_sc_hd__or4_1
*I *9314:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8907:C 0.000252371
2 *9314:Q 0.000252371
3 *8907:A *8907:C 0.000129868
4 *8907:D *8907:C 0.000322453
*RES
1 *9314:Q *8907:C 34.1
*END
*D_NET *436 0.00441329
*CONN
*I *9005:A_N I *D sky130_fd_sc_hd__and3b_1
*I *9008:B I *D sky130_fd_sc_hd__or2_1
*I *9011:A2 I *D sky130_fd_sc_hd__a21o_1
*I *9002:B I *D sky130_fd_sc_hd__and3_1
*I *9007:B I *D sky130_fd_sc_hd__nand2_1
*I *9248:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *9005:A_N 0.00023073
2 *9008:B 0.000414829
3 *9011:A2 2.23854e-05
4 *9002:B 0.000194225
5 *9007:B 0.000157718
6 *9248:Q 0
7 *436:22 0.000282694
8 *436:20 0.000829314
9 *436:10 0.000850518
10 *436:5 0.00057513
11 *9007:B *447:21 5.80706e-06
12 *9008:B *9011:A1 0.000173804
13 *9008:B *9011:B1 0.000313465
14 *9009:A *9008:B 1.91418e-05
15 *9009:C *9008:B 0
16 *9012:B *436:20 2.89016e-05
17 *9013:A *9008:B 0.000131247
18 *9249:CLK *9007:B 0
19 *9249:CLK *436:10 0
20 *144:32 *9005:A_N 0
21 *144:32 *436:10 0
22 *292:146 *9002:B 0.000128154
23 *292:146 *9008:B 0
24 *292:272 *9008:B 5.52302e-05
*RES
1 *9248:Q *436:5 13.8
2 *436:5 *436:10 6.55357
3 *436:10 *9007:B 16.3536
4 *436:10 *436:20 9.96429
5 *436:20 *436:22 0.946429
6 *436:22 *9002:B 21.7107
7 *436:22 *9011:A2 9.72857
8 *436:20 *9008:B 28.3714
9 *436:5 *9005:A_N 17.6214
*END
*D_NET *437 0.000821987
*CONN
*I *8918:A I *D sky130_fd_sc_hd__or4_1
*I *9263:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8918:A 0.000219259
2 *9263:Q 0.000219259
3 *8918:A *8918:B 0
4 *8918:A *8918:C 0.000151071
5 *8918:A *8918:D 0.000232399
*RES
1 *9263:Q *8918:A 32.9571
*END
*D_NET *438 0.00176862
*CONN
*I *8917:D I *D sky130_fd_sc_hd__or4_1
*I *9265:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8917:D 0.000639598
2 *9265:Q 0.000639598
3 *8917:D *8917:C 0
4 *8917:D *9277:D 0
5 *292:112 *8917:D 0.000489429
*RES
1 *9265:Q *8917:D 38.4393
*END
*D_NET *439 0.000645498
*CONN
*I *8913:D I *D sky130_fd_sc_hd__or4_1
*I *9267:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8913:D 0.000184038
2 *9267:Q 0.000184038
3 *8913:D *8913:C 9.64592e-05
4 *8913:D *9269:D 0.000180962
*RES
1 *9267:Q *8913:D 31.725
*END
*D_NET *440 0.000579766
*CONN
*I *8913:C I *D sky130_fd_sc_hd__or4_1
*I *9269:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8913:C 0.000165312
2 *9269:Q 0.000165312
3 *8913:C *8913:B 5.65955e-05
4 *8913:C *9269:D 9.60875e-05
5 *8913:D *8913:C 9.64592e-05
*RES
1 *9269:Q *8913:C 22.0286
*END
*D_NET *441 0.000830825
*CONN
*I *8917:C I *D sky130_fd_sc_hd__or4_1
*I *9271:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8917:C 0.000319292
2 *9271:Q 0.000319292
3 *8917:C *8917:B 0.000129595
4 *8917:C *9271:D 6.26466e-05
5 *8917:D *8917:C 0
6 *9277:CLK *8917:C 0
7 *292:112 *8917:C 0
*RES
1 *9271:Q *8917:C 34.1714
*END
*D_NET *442 0.000940447
*CONN
*I *8913:B I *D sky130_fd_sc_hd__or4_1
*I *9273:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8913:B 0.000429096
2 *9273:Q 0.000429096
3 *8913:B *9269:D 2.56601e-05
4 *8913:C *8913:B 5.65955e-05
*RES
1 *9273:Q *8913:B 33.7786
*END
*D_NET *443 0.000424385
*CONN
*I *8917:B I *D sky130_fd_sc_hd__or4_1
*I *9275:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8917:B 0.000147395
2 *9275:Q 0.000147395
3 *8917:C *8917:B 0.000129595
*RES
1 *9275:Q *8917:B 20.7786
*END
*D_NET *444 0.000611076
*CONN
*I *8917:A I *D sky130_fd_sc_hd__or4_1
*I *9277:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8917:A 0.000219124
2 *9277:Q 0.000219124
3 *292:112 *8917:A 0.000172829
*RES
1 *9277:Q *8917:A 32.2071
*END
*D_NET *445 0.000390887
*CONN
*I *8913:A I *D sky130_fd_sc_hd__or4_1
*I *9279:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8913:A 0.000195443
2 *9279:Q 0.000195443
*RES
1 *9279:Q *8913:A 30.1893
*END
*D_NET *446 0.000720849
*CONN
*I *8915:D I *D sky130_fd_sc_hd__or4_1
*I *9281:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8915:D 0.000336432
2 *9281:Q 0.000336432
3 *8915:D *8915:A 0
4 *8915:D *8915:B 0
5 *8915:D *8915:C 0
6 *8915:D *9287:D 4.79842e-05
7 *292:130 *8915:D 0
*RES
1 *9281:Q *8915:D 33.7429
*END
*D_NET *447 0.00447011
*CONN
*I *9011:A1 I *D sky130_fd_sc_hd__a21o_1
*I *9002:A I *D sky130_fd_sc_hd__and3_1
*I *9007:A I *D sky130_fd_sc_hd__nand2_1
*I *9008:A I *D sky130_fd_sc_hd__or2_1
*I *9249:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *9011:A1 9.56398e-05
2 *9002:A 0.00013391
3 *9007:A 0
4 *9008:A 0.000535433
5 *9249:Q 0.000260855
6 *447:28 0.000491025
7 *447:21 0.000360566
8 *447:7 0.000895378
9 *9011:A1 *9011:B1 1.31516e-05
10 *9007:B *447:21 5.80706e-06
11 *9008:B *9011:A1 0.000173804
12 *9009:B *447:28 0.000149784
13 *9012:A_N *9002:A 0.00014285
14 *9012:A_N *447:28 0.000393949
15 *9099:A *9008:A 0
16 *9100:A *9008:A 0.000125724
17 *9249:CLK *9008:A 0
18 *9249:CLK *447:21 0
19 *9249:D *9008:A 0
20 *144:9 *9002:A 0.000177347
21 *144:9 *447:28 8.56752e-05
22 *144:21 *447:28 2.14757e-05
23 *144:32 *447:28 5.52238e-05
24 *208:16 *9011:A1 5.48581e-05
25 *292:146 *9011:A1 0
26 *292:272 *9008:A 0.000297652
*RES
1 *9249:Q *447:7 16.8
2 *447:7 *9008:A 25.175
3 *447:7 *447:21 6.14286
4 *447:21 *9007:A 9.3
5 *447:21 *447:28 7.125
6 *447:28 *9002:A 13.0321
7 *447:28 *9011:A1 20.8536
*END
*D_NET *448 0.00108659
*CONN
*I *8915:C I *D sky130_fd_sc_hd__or4_1
*I *9283:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8915:C 0.000363688
2 *9283:Q 0.000363688
3 *8915:C *8915:A 0.000279137
4 *8915:C *8915:B 8.00806e-05
5 *8915:C *9287:D 0
6 *8915:D *8915:C 0
*RES
1 *9283:Q *8915:C 35.4571
*END
*D_NET *449 0.000337754
*CONN
*I *8915:B I *D sky130_fd_sc_hd__or4_1
*I *9285:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8915:B 0.000128837
2 *9285:Q 0.000128837
3 *8915:C *8915:B 8.00806e-05
4 *8915:D *8915:B 0
*RES
1 *9285:Q *8915:B 29.5821
*END
*D_NET *450 0.0020916
*CONN
*I *8915:A I *D sky130_fd_sc_hd__or4_1
*I *9287:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8915:A 0.000905763
2 *9287:Q 0.000905763
3 *8915:A *9283:D 0
4 *8915:A *9285:D 0
5 *8915:A *9287:D 0
6 *8915:C *8915:A 0.000279137
7 *8915:D *8915:A 0
8 *300:34 *8915:A 9.33978e-07
*RES
1 *9287:Q *8915:A 42.9929
*END
*D_NET *451 0.000902878
*CONN
*I *8916:D I *D sky130_fd_sc_hd__or4_1
*I *9289:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8916:D 0.000365225
2 *9289:Q 0.000365225
3 *8916:D *8916:A 0.000142856
4 *8916:D *8916:C 2.95726e-05
5 *9279:CLK *8916:D 0
6 *9289:CLK *8916:D 0
*RES
1 *9289:Q *8916:D 33.5821
*END
*D_NET *452 0.000445978
*CONN
*I *8916:C I *D sky130_fd_sc_hd__or4_1
*I *9291:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8916:C 0.000157099
2 *9291:Q 0.000157099
3 *8916:C *8916:A 0.000102207
4 *8916:D *8916:C 2.95726e-05
*RES
1 *9291:Q *8916:C 21.6179
*END
*D_NET *453 0.000844459
*CONN
*I *8916:B I *D sky130_fd_sc_hd__or4_1
*I *9293:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8916:B 0.000402587
2 *9293:Q 0.000402587
3 *8916:B *8916:A 0
4 *8916:B *9279:D 0
5 *8919:B *8916:B 3.92854e-05
6 *9279:CLK *8916:B 0
*RES
1 *9293:Q *8916:B 33.1536
*END
*D_NET *454 0.000973412
*CONN
*I *8916:A I *D sky130_fd_sc_hd__or4_1
*I *9295:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8916:A 0.000276481
2 *9295:Q 0.000276481
3 *8916:B *8916:A 0
4 *8916:C *8916:A 0.000102207
5 *8916:D *8916:A 0.000142856
6 *8919:B *8916:A 0
7 *9279:CLK *8916:A 0.000105591
8 *300:85 *8916:A 6.97972e-05
*RES
1 *9295:Q *8916:A 34.2607
*END
*D_NET *455 0.00108123
*CONN
*I *8918:D I *D sky130_fd_sc_hd__or4_1
*I *9297:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8918:D 0.0004157
2 *9297:Q 0.0004157
3 *8918:D *8918:C 1.74352e-05
4 *8918:A *8918:D 0.000232399
*RES
1 *9297:Q *8918:D 35.1893
*END
*D_NET *456 0.00163475
*CONN
*I *9011:B1 I *D sky130_fd_sc_hd__a21o_1
*I *9002:C I *D sky130_fd_sc_hd__and3_1
*I *9250:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *9011:B1 0.000152433
2 *9002:C 0.000345468
3 *9250:Q 4.90345e-05
4 *456:5 0.000546936
5 *9008:B *9011:B1 0.000313465
6 *9009:A *9011:B1 1.17968e-05
7 *9011:A1 *9011:B1 1.31516e-05
8 *9012:B *9011:B1 2.79092e-05
9 *9013:A *9011:B1 7.83659e-05
10 *9249:CLK *9011:B1 0
11 *144:9 *9002:C 1.95435e-05
12 *144:32 *9011:B1 0
13 *292:146 *9002:C 7.6644e-05
14 *299:40 *9002:C 0
*RES
1 *9250:Q *456:5 9.83571
2 *456:5 *9002:C 22.5321
3 *456:5 *9011:B1 22.675
*END
*D_NET *457 0.00201311
*CONN
*I *9014:A I *D sky130_fd_sc_hd__or2_1
*I *9003:A I *D sky130_fd_sc_hd__and2_1
*I *9251:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *9014:A 0
2 *9003:A 0.000152085
3 *9251:Q 0.000121113
4 *457:9 0.000273197
5 *9014:B *9003:A 0.000702563
6 *9015:B *9003:A 0.000146591
7 *9015:B *457:9 1.74352e-05
8 *9017:B *9003:A 5.52238e-05
9 *9017:B *457:9 0
10 *144:49 *9003:A 2.95641e-05
11 *144:49 *457:9 2.89016e-05
12 *209:13 *9003:A 0.000486441
*RES
1 *9251:Q *457:9 20.4786
2 *457:9 *9003:A 17.2464
3 *457:9 *9014:A 9.3
*END
*D_NET *458 0.00331444
*CONN
*I *9017:A I *D sky130_fd_sc_hd__or2_1
*I *8921:A_N I *D sky130_fd_sc_hd__and2b_1
*I *9004:A I *D sky130_fd_sc_hd__nand2_1
*I *9252:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *9017:A 0.000343495
2 *8921:A_N 0
3 *9004:A 0.000671155
4 *9252:Q 0.000157215
5 *458:8 0.000778487
6 *458:7 0.000608042
7 *8921:B *9004:A 6.98669e-05
8 *9017:B *9004:A 0
9 *9017:B *9017:A 0
10 *9017:B *458:8 0
11 *9018:B *9004:A 0.000183526
12 *9018:C *9004:A 0
13 *9018:C *9017:A 8.90199e-05
14 *9018:C *458:8 0
15 *9251:D *9004:A 1.76204e-05
16 *9252:D *458:7 0.000225609
17 *144:49 *9004:A 2.8266e-06
18 *210:5 *9004:A 1.65169e-05
19 *297:36 *9004:A 0.000151061
*RES
1 *9252:Q *458:7 15.9786
2 *458:7 *458:8 1.94643
3 *458:8 *9004:A 26.6571
4 *458:8 *8921:A_N 13.8
5 *458:7 *9017:A 20.2643
*END
*D_NET *459 0.000219516
*CONN
*I *8914:C I *D sky130_fd_sc_hd__or4_1
*I *9253:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8914:C 7.9739e-05
2 *9253:Q 7.9739e-05
3 *8914:C *8914:B 6.0038e-05
4 *8920:A *8914:C 0
*RES
1 *9253:Q *8914:C 29.2429
*END
*D_NET *460 0.000508849
*CONN
*I *8918:C I *D sky130_fd_sc_hd__or4_1
*I *9255:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8918:C 0.000170172
2 *9255:Q 0.000170172
3 *8918:A *8918:C 0.000151071
4 *8918:D *8918:C 1.74352e-05
*RES
1 *9255:Q *8918:C 22.0286
*END
*D_NET *461 0.000851271
*CONN
*I *8918:B I *D sky130_fd_sc_hd__or4_1
*I *9257:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8918:B 0.000425635
2 *9257:Q 0.000425635
3 *8918:B *9293:D 0
4 *8918:A *8918:B 0
*RES
1 *9257:Q *8918:B 33.6536
*END
*D_NET *462 0.00107214
*CONN
*I *8914:B I *D sky130_fd_sc_hd__or4_1
*I *9259:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8914:B 0.000387679
2 *9259:Q 0.000387679
3 *8914:B *8914:A 0.000128146
4 *8914:B *9259:D 5.52238e-05
5 *8914:C *8914:B 6.0038e-05
6 *8914:D *8914:B 5.33786e-05
7 *8920:A *8914:B 0
*RES
1 *9259:Q *8914:B 35.5464
*END
*D_NET *463 0.000373231
*CONN
*I *8914:A I *D sky130_fd_sc_hd__or4_1
*I *9261:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8914:A 0.000122542
2 *9261:Q 0.000122542
3 *8914:B *8914:A 0.000128146
*RES
1 *9261:Q *8914:A 29.7786
*END
*D_NET *464 0.00246087
*CONN
*I *8992:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *8986:B I *D sky130_fd_sc_hd__and3_1
*I *8990:B I *D sky130_fd_sc_hd__xnor2_1
*I *8989:A I *D sky130_fd_sc_hd__nor2_1
*I *9197:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8992:A2 0.000209364
2 *8986:B 0.000255015
3 *8990:B 2.24405e-05
4 *8989:A 0.000142093
5 *9197:Q 0
6 *464:11 0.000302588
7 *464:8 0.000629679
8 *464:5 0.000445973
9 *8986:B *8986:C 6.67127e-08
10 *8986:B *8990:A 0.000101169
11 *8986:B *475:11 1.32552e-05
12 *8992:A2 *8992:A1 0
13 *464:8 *8992:A1 0
14 *464:11 *8990:A 5.41494e-05
15 *8991:A *8989:A 3.57163e-05
16 *8991:A *8990:B 2.00751e-05
17 *8991:A *464:8 0
18 *8991:B *8992:A2 0
19 *8991:B *464:8 0
20 *8991:B *464:11 9.33978e-07
21 *8993:B *8989:A 9.90367e-05
22 *8993:C *8989:A 0
23 *9199:D *8989:A 0
24 *9199:D *464:8 0.000117388
25 *200:28 *8989:A 1.19309e-05
*RES
1 *9197:Q *464:5 13.8
2 *464:5 *464:8 9.48214
3 *464:8 *464:11 6.26786
4 *464:11 *8989:A 16.8179
5 *464:11 *8990:B 14.5321
6 *464:8 *8986:B 12.7107
7 *464:5 *8992:A2 17.425
*END
*D_NET *465 0.000891338
*CONN
*I *8928:C I *D sky130_fd_sc_hd__or4_1
*I *9211:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8928:C 0.000372144
2 *9211:Q 0.000372144
3 *8928:C *8928:A 6.74911e-05
4 *8928:C *9217:D 7.95581e-05
5 *153:8 *8928:C 0
*RES
1 *9211:Q *8928:C 33.85
*END
*D_NET *466 0.000727117
*CONN
*I *8928:D I *D sky130_fd_sc_hd__or4_1
*I *9213:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8928:D 0.000317231
2 *9213:Q 0.000317231
3 *8928:D *9217:D 5.33786e-05
4 *8930:C *8928:D 3.92757e-05
5 *153:8 *8928:D 0
*RES
1 *9213:Q *8928:D 31.9393
*END
*D_NET *467 0.000386736
*CONN
*I *8928:B I *D sky130_fd_sc_hd__or4_1
*I *9215:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8928:B 0.000193368
2 *9215:Q 0.000193368
*RES
1 *9215:Q *8928:B 20.7786
*END
*D_NET *468 0.000433437
*CONN
*I *8928:A I *D sky130_fd_sc_hd__or4_1
*I *9217:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8928:A 0.000136719
2 *9217:Q 0.000136719
3 *8928:A *9217:D 0
4 *8928:C *8928:A 6.74911e-05
5 *153:8 *8928:A 5.5782e-05
6 *309:17 *8928:A 3.67257e-05
*RES
1 *9217:Q *8928:A 30.4929
*END
*D_NET *469 0.000496099
*CONN
*I *8926:C I *D sky130_fd_sc_hd__or4_1
*I *9219:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8926:C 0.000133322
2 *9219:Q 0.000133322
3 *8926:C *8926:B 0.000229456
*RES
1 *9219:Q *8926:C 21.6179
*END
*D_NET *470 0.0010956
*CONN
*I *8926:D I *D sky130_fd_sc_hd__or4_1
*I *9221:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8926:D 0.000339088
2 *9221:Q 0.000339088
3 *8926:D *8926:A 3.5707e-05
4 *8926:D *9221:D 0.00038172
*RES
1 *9221:Q *8926:D 33.9571
*END
*D_NET *471 0.000801635
*CONN
*I *8926:B I *D sky130_fd_sc_hd__or4_1
*I *9223:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8926:B 0.00028609
2 *9223:Q 0.00028609
3 *8926:B *9219:D 0
4 *8926:B *9221:D 0
5 *8926:C *8926:B 0.000229456
*RES
1 *9223:Q *8926:B 31.6357
*END
*D_NET *472 0.00117687
*CONN
*I *8926:A I *D sky130_fd_sc_hd__or4_1
*I *9225:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8926:A 0.000362745
2 *9225:Q 0.000362745
3 *8926:A *9221:D 0
4 *8926:D *8926:A 3.5707e-05
5 *8930:A *8926:A 0.000415671
*RES
1 *9225:Q *8926:A 33.475
*END
*D_NET *473 0.00104233
*CONN
*I *8927:C I *D sky130_fd_sc_hd__or4_1
*I *9227:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8927:C 0.000370682
2 *9227:Q 0.000370682
3 *8927:C *8927:B 7.83659e-05
4 *8927:C *8927:D 0
5 *8930:B *8927:C 0.000124679
6 *8930:C *8927:C 1.76135e-05
7 *8930:D *8927:C 8.03068e-05
*RES
1 *9227:Q *8927:C 35.475
*END
*D_NET *474 0.0015784
*CONN
*I *8927:D I *D sky130_fd_sc_hd__or4_1
*I *9229:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8927:D 0.000454795
2 *9229:Q 0.000454795
3 *8927:D *8927:A 0.000129595
4 *8927:D *8927:B 0
5 *8927:C *8927:D 0
6 *8930:C *8927:D 0
7 *291:174 *8927:D 0.000539217
*RES
1 *9229:Q *8927:D 37.9393
*END
*D_NET *475 0.00229448
*CONN
*I *8992:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *8986:A I *D sky130_fd_sc_hd__and3_1
*I *8990:A I *D sky130_fd_sc_hd__xnor2_1
*I *9198:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8992:A1 0.00014578
2 *8986:A 0
3 *8990:A 0.000202989
4 *9198:Q 0.000366861
5 *475:11 0.00029493
6 *475:7 0.000604582
7 *8992:A1 *8992:B1 0
8 *8986:B *8990:A 0.000101169
9 *8986:B *475:11 1.32552e-05
10 *8992:A2 *8992:A1 0
11 *8993:C *8992:A1 0.000202842
12 *8993:C *475:11 0.000101545
13 *9199:CLK *475:7 0.000122101
14 *9199:D *475:7 8.42801e-05
15 *9199:D *475:11 0
16 *464:8 *8992:A1 0
17 *464:11 *8990:A 5.41494e-05
*RES
1 *9198:Q *475:7 18.4429
2 *475:7 *475:11 6.375
3 *475:11 *8990:A 13.55
4 *475:11 *8986:A 9.3
5 *475:7 *8992:A1 17.2643
*END
*D_NET *476 0.000700567
*CONN
*I *8927:B I *D sky130_fd_sc_hd__or4_1
*I *9231:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8927:B 0.0003111
2 *9231:Q 0.0003111
3 *8927:C *8927:B 7.83659e-05
4 *8927:D *8927:B 0
*RES
1 *9231:Q *8927:B 31.6357
*END
*D_NET *477 0.000592114
*CONN
*I *8927:A I *D sky130_fd_sc_hd__or4_1
*I *9233:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8927:A 0.000231259
2 *9233:Q 0.000231259
3 *8927:D *8927:A 0.000129595
*RES
1 *9233:Q *8927:A 22.7429
*END
*D_NET *478 0.000416561
*CONN
*I *8924:D I *D sky130_fd_sc_hd__or4_1
*I *9235:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8924:D 0.000208281
2 *9235:Q 0.000208281
*RES
1 *9235:Q *8924:D 21.2071
*END
*D_NET *479 0.000495323
*CONN
*I *8924:C I *D sky130_fd_sc_hd__or4_1
*I *9237:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8924:C 0.000224789
2 *9237:Q 0.000224789
3 *8924:C *8924:A 4.57445e-05
*RES
1 *9237:Q *8924:C 30.9036
*END
*D_NET *480 0.000447694
*CONN
*I *8924:B I *D sky130_fd_sc_hd__or4_1
*I *9239:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8924:B 0.000223847
2 *9239:Q 0.000223847
*RES
1 *9239:Q *8924:B 30.4036
*END
*D_NET *481 0.000538305
*CONN
*I *8924:A I *D sky130_fd_sc_hd__or4_1
*I *9241:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8924:A 0.00024628
2 *9241:Q 0.00024628
3 *8924:A *9241:D 0
4 *8924:C *8924:A 4.57445e-05
*RES
1 *9241:Q *8924:A 32.2071
*END
*D_NET *482 0.00125411
*CONN
*I *8925:B I *D sky130_fd_sc_hd__or3_1
*I *9243:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8925:B 0.000496109
2 *9243:Q 0.000496109
3 *8925:B *8925:A 0.000230475
4 *8925:C *8925:B 0
5 *310:22 *8925:B 3.14193e-05
*RES
1 *9243:Q *8925:B 35.5821
*END
*D_NET *483 0.000528249
*CONN
*I *8925:A I *D sky130_fd_sc_hd__or3_1
*I *9245:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8925:A 7.20194e-05
2 *9245:Q 7.20194e-05
3 *8925:B *8925:A 0.000230475
4 *148:7 *8925:A 1.3701e-05
5 *310:22 *8925:A 0.000140034
*RES
1 *9245:Q *8925:A 21.6179
*END
*D_NET *484 0.00145358
*CONN
*I *8992:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *8986:C I *D sky130_fd_sc_hd__and3_1
*I *9199:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8992:B1 0.000306177
2 *8986:C 0.000417926
3 *9199:Q 0
4 *484:5 0.000724103
5 *8986:B *8986:C 6.67127e-08
6 *8992:A1 *8992:B1 0
7 *8993:C *8986:C 0
8 *8993:C *8992:B1 5.30637e-06
*RES
1 *9199:Q *484:5 13.8
2 *484:5 *8986:C 19.3446
3 *484:5 *8992:B1 19.05
*END
*D_NET *485 0.00200025
*CONN
*I *8987:A I *D sky130_fd_sc_hd__and2_1
*I *8994:A I *D sky130_fd_sc_hd__or2_1
*I *9200:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8987:A 4.60283e-05
2 *8994:A 0.000148652
3 *9200:Q 0.000446699
4 *485:7 0.000641379
5 *8994:B *8987:A 0.000125731
6 *8994:B *8994:A 0.000275809
7 *8995:B *8994:A 2.56601e-05
8 *8996:A *8987:A 9.22948e-05
9 *8996:A *8994:A 9.25014e-06
10 *9000:A *8994:A 0
11 *9200:D *485:7 0.000188743
*RES
1 *9200:Q *485:7 19.2643
2 *485:7 *8994:A 17.8
3 *485:7 *8987:A 15.4429
*END
*D_NET *486 0.00258761
*CONN
*I *8997:A I *D sky130_fd_sc_hd__nand2_1
*I *8998:A I *D sky130_fd_sc_hd__or2_1
*I *8988:A2 I *D sky130_fd_sc_hd__a311o_1
*I *9201:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8997:A 0.000176955
2 *8998:A 0.000167796
3 *8988:A2 6.10014e-05
4 *9201:Q 0.000312118
5 *486:14 0.000228797
6 *486:12 0.000489074
7 *8988:A3 *8988:A2 5.49489e-05
8 *8988:A3 *8998:A 0.000356028
9 *8988:C1 *486:12 0
10 *8999:B *8997:A 0.000172091
11 *8999:B *486:12 0.000161679
12 *9001:A1 *8997:A 2.28598e-05
13 *9001:A2 *8997:A 0.00010038
14 *9202:D *8997:A 0
15 *9202:D *486:12 0
16 *153:8 *8997:A 0
17 *154:7 *486:12 0.000185394
18 *205:7 *8997:A 2.89114e-05
19 *310:22 *486:12 6.95767e-05
*RES
1 *9201:Q *486:12 20.7107
2 *486:12 *486:14 4.5
3 *486:14 *8988:A2 10.2464
4 *486:14 *8998:A 12.7107
5 *486:12 *8997:A 18.0321
*END
*D_NET *487 0.00146985
*CONN
*I *8988:A1 I *D sky130_fd_sc_hd__a311o_1
*I *8923:A I *D sky130_fd_sc_hd__inv_2
*I *9202:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8988:A1 0.00040557
2 *8923:A 0.000126446
3 *9202:Q 0
4 *487:4 0.000532016
5 *8988:B1 *8988:A1 2.23592e-05
6 *8988:C1 *8988:A1 0.00015656
7 *9202:D *8988:A1 0.000101545
8 *146:7 *8923:A 9.24825e-05
9 *146:7 *8988:A1 3.2875e-05
*RES
1 *9202:Q *487:4 9.3
2 *487:4 *8923:A 12.2107
3 *487:4 *8988:A1 24.4071
*END
*D_NET *488 0.00150656
*CONN
*I *8929:D I *D sky130_fd_sc_hd__or4_1
*I *9203:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8929:D 0.000680506
2 *9203:Q 0.000680506
3 *8929:D *8929:A 0
4 *8929:D *9209:D 3.32132e-06
5 *9203:CLK *8929:D 1.55885e-05
6 *9209:CLK *8929:D 0.000126639
7 *291:134 *8929:D 0
*RES
1 *9203:Q *8929:D 36.2429
*END
*D_NET *489 0.0010586
*CONN
*I *8929:C I *D sky130_fd_sc_hd__or4_1
*I *9205:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8929:C 0.000284245
2 *9205:Q 0.000284245
3 *8929:C *8929:B 0.000119125
4 *8929:C *9209:D 5.33882e-05
5 *9205:CLK *8929:C 0
6 *291:134 *8929:C 0.000317594
7 *313:12 *8929:C 0
*RES
1 *9205:Q *8929:C 34.2607
*END
*D_NET *490 0.000452208
*CONN
*I *8929:B I *D sky130_fd_sc_hd__or4_1
*I *9207:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8929:B 0.000166542
2 *9207:Q 0.000166542
3 *8929:C *8929:B 0.000119125
*RES
1 *9207:Q *8929:B 20.7786
*END
*D_NET *491 0.000363034
*CONN
*I *8929:A I *D sky130_fd_sc_hd__or4_1
*I *9209:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8929:A 0.000178491
2 *9209:Q 0.000178491
3 *8929:D *8929:A 0
4 *8930:D *8929:A 6.05161e-06
*RES
1 *9209:Q *8929:A 30.4929
*END
*D_NET *492 0.00419744
*CONN
*I *8973:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *8972:A I *D sky130_fd_sc_hd__and3_1
*I *8967:A I *D sky130_fd_sc_hd__and4_1
*I *8970:A I *D sky130_fd_sc_hd__xnor2_1
*I *9147:A I *D sky130_fd_sc_hd__nor2_1
*I *9662:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8973:A1 0.000120032
2 *8972:A 0
3 *8967:A 0.000301892
4 *8970:A 2.23854e-05
5 *9147:A 0.000278746
6 *9662:Q 0
7 *492:41 0.000241989
8 *492:28 0.00100931
9 *492:9 0.000557801
10 *492:5 0.00084213
11 *8967:A *8967:D 6.50951e-05
12 *8973:A1 *8973:A2 0.000230482
13 *8973:A1 *512:19 2.44318e-05
14 *492:9 *8970:B 0
15 *492:28 *8970:B 0
16 *492:28 *503:17 0
17 *492:41 *503:17 0.000128161
18 *492:41 *503:35 7.83587e-05
19 *8971:B *492:9 0.000186662
20 *8971:B *492:28 0
21 *8974:C *492:28 6.04069e-05
22 *8974:C *492:41 0
23 *8975:B *492:41 0
24 *9148:D *492:28 0
25 *9149:D *8967:A 4.95577e-05
*RES
1 *9662:Q *492:5 13.8
2 *492:5 *492:9 9.25
3 *492:9 *9147:A 13.55
4 *492:9 *8970:A 9.72857
5 *492:5 *492:28 14.0893
6 *492:28 *8967:A 13.1393
7 *492:28 *492:41 11.8571
8 *492:41 *8972:A 9.3
9 *492:41 *8973:A1 11.8893
*END
*D_NET *493 0.00109309
*CONN
*I *8937:B I *D sky130_fd_sc_hd__or4_1
*I *9160:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8937:B 0.000546545
2 *9160:Q 0.000546545
3 *8937:B *9160:D 0
*RES
1 *9160:Q *8937:B 36.8679
*END
*D_NET *494 0.000542068
*CONN
*I *8937:C I *D sky130_fd_sc_hd__or4_1
*I *9162:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8937:C 0.000170442
2 *9162:Q 0.000170442
3 *8937:C *8937:A 0.000128154
4 *8940:B *8937:C 7.30305e-05
5 *162:13 *8937:C 0
*RES
1 *9162:Q *8937:C 31.6714
*END
*D_NET *495 0.000327083
*CONN
*I *8937:D I *D sky130_fd_sc_hd__or4_1
*I *9164:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8937:D 0.000163541
2 *9164:Q 0.000163541
*RES
1 *9164:Q *8937:D 21.2071
*END
*D_NET *496 0.000505649
*CONN
*I *8936:A I *D sky130_fd_sc_hd__or4_1
*I *9166:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8936:A 0.000252824
2 *9166:Q 0.000252824
*RES
1 *9166:Q *8936:A 22.8679
*END
*D_NET *497 0.00088403
*CONN
*I *8936:B I *D sky130_fd_sc_hd__or4_1
*I *9168:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8936:B 0.000339521
2 *9168:Q 0.000339521
3 *8936:B *8936:C 7.83587e-05
4 *8936:B *9168:D 0.000126629
*RES
1 *9168:Q *8936:B 33.2429
*END
*D_NET *498 0.000701524
*CONN
*I *8936:C I *D sky130_fd_sc_hd__or4_1
*I *9170:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8936:C 0.000311583
2 *9170:Q 0.000311583
3 *8936:B *8936:C 7.83587e-05
*RES
1 *9170:Q *8936:C 31.8321
*END
*D_NET *499 0.000747244
*CONN
*I *8936:D I *D sky130_fd_sc_hd__or4_1
*I *9172:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8936:D 0.000373622
2 *9172:Q 0.000373622
3 *8940:A *8936:D 0
*RES
1 *9172:Q *8936:D 33.1357
*END
*D_NET *500 0.000510032
*CONN
*I *8938:A I *D sky130_fd_sc_hd__or4_1
*I *9174:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8938:A 0.000255016
2 *9174:Q 0.000255016
3 *8938:A *8938:C 0
*RES
1 *9174:Q *8938:A 31.8321
*END
*D_NET *501 0.000378153
*CONN
*I *8938:B I *D sky130_fd_sc_hd__or4_1
*I *9176:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8938:B 0.000189077
2 *9176:Q 0.000189077
*RES
1 *9176:Q *8938:B 20.7786
*END
*D_NET *502 0.000724011
*CONN
*I *8938:C I *D sky130_fd_sc_hd__or4_1
*I *9178:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8938:C 0.000310376
2 *9178:Q 0.000310376
3 *8938:C *8938:D 0.00010326
4 *8938:A *8938:C 0
5 *9174:CLK *8938:C 0
*RES
1 *9178:Q *8938:C 32.9214
*END
*D_NET *503 0.00371843
*CONN
*I *8973:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *8972:C I *D sky130_fd_sc_hd__and3_1
*I *8967:D I *D sky130_fd_sc_hd__and4_1
*I *8970:B I *D sky130_fd_sc_hd__xnor2_1
*I *9148:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8973:A2 9.11051e-05
2 *8972:C 0
3 *8967:D 0.000289157
4 *8970:B 0.000270846
5 *9148:Q 0
6 *503:35 0.000167912
7 *503:17 0.000559842
8 *503:5 0.000464724
9 *8973:A2 *512:19 0.000320024
10 *503:35 *8967:C 5.33702e-07
11 *8967:A *8967:D 6.50951e-05
12 *8973:A1 *8973:A2 0.000230482
13 *8974:A *503:17 0.000245349
14 *8974:A *503:35 6.18948e-05
15 *8975:B *503:35 4.1331e-06
16 *9149:CLK *503:17 1.08359e-05
17 *9149:D *8967:D 0.000137983
18 *316:8 *8970:B 0.000151333
19 *316:12 *8970:B 0.000200413
20 *316:86 *8970:B 0.00020042
21 *316:86 *503:17 3.98294e-05
22 *492:9 *8970:B 0
23 *492:28 *8970:B 0
24 *492:28 *503:17 0
25 *492:41 *503:17 0.000128161
26 *492:41 *503:35 7.83587e-05
*RES
1 *9148:Q *503:5 13.8
2 *503:5 *8970:B 20.6036
3 *503:5 *503:17 4.73214
4 *503:17 *8967:D 19.425
5 *503:17 *503:35 6.14286
6 *503:35 *8972:C 9.3
7 *503:35 *8973:A2 13.0321
*END
*D_NET *504 0.00194253
*CONN
*I *8938:D I *D sky130_fd_sc_hd__or4_1
*I *9180:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8938:D 0.000746571
2 *9180:Q 0.000746571
3 *8938:D *9174:D 0.000346131
4 *8938:C *8938:D 0.00010326
5 *9174:CLK *8938:D 0
*RES
1 *9180:Q *8938:D 37.6893
*END
*D_NET *505 0.00133573
*CONN
*I *8939:A I *D sky130_fd_sc_hd__or3_1
*I *9182:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8939:A 0.00051159
2 *9182:Q 0.00051159
3 *8939:A *8939:B 0.000270236
4 *291:29 *8939:A 4.23086e-05
5 *317:16 *8939:A 0
*RES
1 *9182:Q *8939:A 35.9214
*END
*D_NET *506 0.00146828
*CONN
*I *8939:B I *D sky130_fd_sc_hd__or3_1
*I *9184:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8939:B 0.000547393
2 *9184:Q 0.000547393
3 *8939:B *9162:D 0
4 *8939:A *8939:B 0.000270236
5 *291:29 *8939:B 0.00010326
6 *317:16 *8939:B 0
*RES
1 *9184:Q *8939:B 36.4929
*END
*D_NET *507 0.000335761
*CONN
*I *8939:C I *D sky130_fd_sc_hd__or3_1
*I *9186:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8939:C 0.000167881
2 *9186:Q 0.000167881
*RES
1 *9186:Q *8939:C 21.5107
*END
*D_NET *508 0.000556649
*CONN
*I *8935:B I *D sky130_fd_sc_hd__or3_1
*I *9188:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8935:B 0.00025451
2 *9188:Q 0.00025451
3 *8935:B *8935:A 2.19684e-05
4 *8935:C *8935:B 0
5 *157:6 *8935:B 2.56601e-05
*RES
1 *9188:Q *8935:B 31.5107
*END
*D_NET *509 0.00040719
*CONN
*I *8935:A I *D sky130_fd_sc_hd__or3_1
*I *9190:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8935:A 0.000164999
2 *9190:Q 0.000164999
3 *8935:A *8934:B 0
4 *8935:B *8935:A 2.19684e-05
5 *8935:C *8935:A 5.52238e-05
*RES
1 *9190:Q *8935:A 30.6
*END
*D_NET *510 0.00147413
*CONN
*I *8934:B I *D sky130_fd_sc_hd__or4_1
*I *9192:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8934:B 0.000345318
2 *9192:Q 0.000345318
3 *8934:B *8934:A 9.60875e-05
4 *8934:B *8934:C 0.000367382
5 *8934:B *8934:D 0.000320024
6 *8935:A *8934:B 0
7 *8935:C *8934:B 0
*RES
1 *9192:Q *8934:B 35.8857
*END
*D_NET *511 0.00104156
*CONN
*I *8934:A I *D sky130_fd_sc_hd__or4_1
*I *9194:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8934:A 0.000312723
2 *9194:Q 0.000312723
3 *8934:A *8934:C 0.000320024
4 *8934:A *9194:D 0
5 *8934:B *8934:A 9.60875e-05
*RES
1 *9194:Q *8934:A 33.4393
*END
*D_NET *512 0.00233634
*CONN
*I *8972:B I *D sky130_fd_sc_hd__and3_1
*I *8967:C I *D sky130_fd_sc_hd__and4_1
*I *8973:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *9149:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8972:B 0
2 *8967:C 0.000447539
3 *8973:B1 0
4 *9149:Q 0.000209947
5 *512:19 0.000684311
6 *512:9 0.000446718
7 *8967:C *8967:B 0.000202835
8 *8973:A1 *512:19 2.44318e-05
9 *8973:A2 *512:19 0.000320024
10 *8974:A *8967:C 0
11 *8974:C *512:9 0
12 *9150:D *8967:C 0
13 *503:35 *8967:C 5.33702e-07
*RES
1 *9149:Q *512:9 21.7107
2 *512:9 *8973:B1 9.3
3 *512:9 *512:19 5.39286
4 *512:19 *8967:C 24.9786
5 *512:19 *8972:B 9.3
*END
*D_NET *513 0.0011214
*CONN
*I *8975:A I *D sky130_fd_sc_hd__or2_1
*I *8967:B I *D sky130_fd_sc_hd__and4_1
*I *9150:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8975:A 0.000103722
2 *8967:B 0.000225852
3 *9150:Q 9.70462e-05
4 *513:7 0.00042662
5 *8967:C *8967:B 0.000202835
6 *8974:A *8967:B 0
7 *8975:B *8967:B 0
8 *8976:B *8975:A 2.47833e-05
9 *8976:B *513:7 4.05411e-05
10 *9150:D *8967:B 0
*RES
1 *9150:Q *513:7 11.0857
2 *513:7 *8967:B 22.9786
3 *513:7 *8975:A 11.0679
*END
*D_NET *514 0.00293042
*CONN
*I *8979:A I *D sky130_fd_sc_hd__or2_1
*I *8978:A I *D sky130_fd_sc_hd__and2_1
*I *8968:B I *D sky130_fd_sc_hd__and3_1
*I *9151:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8979:A 0.000316409
2 *8978:A 0
3 *8968:B 0.000354375
4 *9151:Q 0.000328175
5 *514:19 0.000316409
6 *514:8 0.00068255
7 *8968:C *8968:B 0
8 *8978:B *8979:A 0.000447622
9 *8980:B *8979:A 0.000166416
10 *8980:C *8979:A 5.33005e-05
11 *8982:B *8968:B 3.5707e-05
12 *185:12 *8979:A 0.000229456
13 *185:16 *514:8 0
*RES
1 *9151:Q *514:8 18.0321
2 *514:8 *8968:B 18.3357
3 *514:8 *514:19 4.5
4 *514:19 *8978:A 9.3
5 *514:19 *8979:A 17.6393
*END
*D_NET *515 0.000889014
*CONN
*I *8968:A I *D sky130_fd_sc_hd__and3_1
*I *8982:A I *D sky130_fd_sc_hd__or2_1
*I *9152:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8968:A 0.000112636
2 *8982:A 0.000107876
3 *9152:Q 0.00016675
4 *515:8 0.000387261
5 *8968:C *8968:A 0
6 *8982:B *8968:A 0
7 *8983:B *8982:A 7.77652e-05
8 *8984:A *8982:A 1.39841e-05
9 *8984:A *515:8 0
10 *9152:CLK *515:8 2.27416e-05
11 *316:54 *8968:A 0
12 *316:54 *515:8 0
*RES
1 *9152:Q *515:8 16.2821
2 *515:8 *8982:A 15.9786
3 *515:8 *8968:A 15.7464
*END
*D_NET *516 0.00179782
*CONN
*I *8969:A1 I *D sky130_fd_sc_hd__a211o_1
*I *8942:A_N I *D sky130_fd_sc_hd__and2b_1
*I *8985:A1 I *D sky130_fd_sc_hd__o21ba_1
*I *9153:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8969:A1 4.92458e-05
2 *8942:A_N 0.000436224
3 *8985:A1 0
4 *9153:Q 0.00012451
5 *516:11 0.000478922
6 *516:8 0.000216454
7 *8969:B1 *8969:A1 2.82057e-05
8 *8969:C1 *8969:A1 0.000128161
9 *8969:C1 *516:8 0.000151333
10 *8985:A2 *8969:A1 0.000142797
11 *8985:A2 *516:8 1.02504e-05
12 *9689:A *8942:A_N 0
13 *163:6 *8942:A_N 0
14 *186:14 *8969:A1 3.17148e-05
*RES
1 *9153:Q *516:8 16.2821
2 *516:8 *516:11 5.03571
3 *516:11 *8985:A1 9.3
4 *516:11 *8942:A_N 25.3
5 *516:8 *8969:A1 16.05
*END
*D_NET *517 0.00197744
*CONN
*I *8934:C I *D sky130_fd_sc_hd__or4_1
*I *9154:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8934:C 0.000417483
2 *9154:Q 0.000417483
3 *8934:C *8934:D 9.1235e-05
4 *8934:A *8934:C 0.000320024
5 *8934:B *8934:C 0.000367382
6 *315:11 *8934:C 0.000363837
*RES
1 *9154:Q *8934:C 37.8143
*END
*D_NET *518 0.00162979
*CONN
*I *8934:D I *D sky130_fd_sc_hd__or4_1
*I *9156:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8934:D 0.000523351
2 *9156:Q 0.000523351
3 *8934:D *9154:D 0.000164959
4 *8934:B *8934:D 0.000320024
5 *8934:C *8934:D 9.1235e-05
6 *315:31 *8934:D 6.8646e-06
*RES
1 *9156:Q *8934:D 39.1
*END
*D_NET *519 0.00116897
*CONN
*I *8937:A I *D sky130_fd_sc_hd__or4_1
*I *9158:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8937:A 0.000499703
2 *9158:Q 0.000499703
3 *8937:A *9158:D 2.12451e-06
4 *8937:C *8937:A 0.000128154
5 *8940:B *8937:A 3.92854e-05
*RES
1 *9158:Q *8937:A 34.2964
*END
*D_NET *520 0.00613823
*CONN
*I *9125:B I *D sky130_fd_sc_hd__nand2_1
*I *9120:D I *D sky130_fd_sc_hd__and4_1
*I *9129:C I *D sky130_fd_sc_hd__nand3_1
*I *9133:A3 I *D sky130_fd_sc_hd__a31o_1
*I *9126:B I *D sky130_fd_sc_hd__or2_1
*I *9130:A2 I *D sky130_fd_sc_hd__a21o_1
*I *9123:A_N I *D sky130_fd_sc_hd__and2b_1
*I *9613:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *9125:B 0
2 *9120:D 0
3 *9129:C 0.00031619
4 *9133:A3 5.38977e-05
5 *9126:B 9.74949e-05
6 *9130:A2 0.00039285
7 *9123:A_N 0.000157405
8 *9613:Q 0.000277183
9 *520:59 0.000410876
10 *520:40 0.000344209
11 *520:22 0.000704684
12 *520:20 0.000408083
13 *520:18 0.000462305
14 *520:9 0.000702512
15 *9126:B *9120:B 0
16 *9129:C *9129:B 0.00031624
17 *9130:A2 *9130:A1 0.000318024
18 *9130:A2 *9130:B1 0
19 *9133:A3 *9133:A1 7.90255e-05
20 *9133:A3 *9133:B1 0.000160342
21 *520:18 *9125:A 4.1331e-06
22 *520:20 *9125:A 6.16864e-05
23 *520:22 *9120:B 0
24 *520:22 *9125:A 9.69119e-06
25 *520:22 *9130:A1 6.61507e-05
26 *520:40 *9120:B 0
27 *520:40 *9133:A1 0.000227322
28 *520:40 *9133:B1 0.000186662
29 *520:59 *9129:B 1.54142e-05
30 *520:59 *531:17 9.90367e-05
31 *9123:B *9123:A_N 8.07313e-05
32 *9124:A *520:9 0
33 *9127:A *520:20 9.1469e-05
34 *9132:A *9126:B 6.26466e-05
35 *9613:CLK *520:18 0
36 *9613:CLK *520:20 0
37 *81:7 *9129:C 3.19626e-05
38 *320:71 *9130:A2 0
39 *320:77 *9130:A2 0
*RES
1 *9613:Q *520:9 22.9429
2 *520:9 *9123:A_N 12.3
3 *520:9 *520:18 9.48214
4 *520:18 *520:20 4.375
5 *520:20 *520:22 2.40179
6 *520:22 *9130:A2 22.5054
7 *520:22 *520:40 9.5625
8 *520:40 *9126:B 20.55
9 *520:40 *9133:A3 11.3893
10 *520:20 *520:59 5.85714
11 *520:59 *9129:C 14.0857
12 *520:59 *9120:D 9.3
13 *520:18 *9125:B 13.8
*END
*D_NET *521 0.000597617
*CONN
*I *8947:A I *D sky130_fd_sc_hd__or4_1
*I *9625:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8947:A 0.000199256
2 *9625:Q 0.000199256
3 *8947:A *8947:D 0.000186669
4 *8947:A *9625:D 1.24368e-05
*RES
1 *9625:Q *8947:A 22.7429
*END
*D_NET *522 0.000712454
*CONN
*I *8947:B I *D sky130_fd_sc_hd__or4_1
*I *9627:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8947:B 0.000228143
2 *9627:Q 0.000228143
3 *8947:B *8947:C 0.000202835
4 *8947:B *9627:D 5.33334e-05
*RES
1 *9627:Q *8947:B 32.0107
*END
*D_NET *523 0.000208742
*CONN
*I *8948:A I *D sky130_fd_sc_hd__or4_1
*I *9629:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8948:A 0.000104371
2 *9629:Q 0.000104371
3 *8950:B *8948:A 0
4 *292:207 *8948:A 0
*RES
1 *9629:Q *8948:A 29.2429
*END
*D_NET *524 0.000695082
*CONN
*I *8948:B I *D sky130_fd_sc_hd__or4_1
*I *9631:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8948:B 0.000307501
2 *9631:Q 0.000307501
3 *8948:B *8948:C 8.00806e-05
4 *292:207 *8948:B 0
*RES
1 *9631:Q *8948:B 33.4929
*END
*D_NET *525 0.000227709
*CONN
*I *8948:C I *D sky130_fd_sc_hd__or4_1
*I *9633:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8948:C 7.38144e-05
2 *9633:Q 7.38144e-05
3 *8948:B *8948:C 8.00806e-05
4 *292:207 *8948:C 0
*RES
1 *9633:Q *8948:C 29.2429
*END
*D_NET *526 0.000501599
*CONN
*I *8948:D I *D sky130_fd_sc_hd__or4_1
*I *9635:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8948:D 0.000250799
2 *9635:Q 0.000250799
*RES
1 *9635:Q *8948:D 21.6179
*END
*D_NET *527 0.000333106
*CONN
*I *8949:D I *D sky130_fd_sc_hd__or4_1
*I *9637:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8949:D 0.000131398
2 *9637:Q 0.000131398
3 *8949:D *8949:B 7.03103e-05
*RES
1 *9637:Q *8949:D 21.2071
*END
*D_NET *528 0.000368249
*CONN
*I *8949:C I *D sky130_fd_sc_hd__or4_1
*I *9639:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8949:C 0.000113032
2 *9639:Q 0.000113032
3 *8949:C *8949:A 0.000128154
4 *319:111 *8949:C 1.40306e-05
*RES
1 *9639:Q *8949:C 29.7786
*END
*D_NET *529 0.00107548
*CONN
*I *8949:A I *D sky130_fd_sc_hd__or4_1
*I *9641:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8949:A 0.00036101
2 *9641:Q 0.00036101
3 *8949:C *8949:A 0.000128154
4 *292:207 *8949:A 0
5 *319:111 *8949:A 0.000225307
*RES
1 *9641:Q *8949:A 33.85
*END
*D_NET *530 0.00268245
*CONN
*I *8949:B I *D sky130_fd_sc_hd__or4_1
*I *9643:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8949:B 0.00125306
2 *9643:Q 0.00125306
3 *8949:B *9637:D 8.67451e-05
4 *8949:D *8949:B 7.03103e-05
5 *9637:CLK *8949:B 1.92789e-05
*RES
1 *9643:Q *8949:B 43.8321
*END
*D_NET *531 0.00634688
*CONN
*I *9133:A2 I *D sky130_fd_sc_hd__a31o_1
*I *9126:A I *D sky130_fd_sc_hd__or2_1
*I *9125:A I *D sky130_fd_sc_hd__nand2_1
*I *9120:C I *D sky130_fd_sc_hd__and4_1
*I *9130:A1 I *D sky130_fd_sc_hd__a21o_1
*I *9129:B I *D sky130_fd_sc_hd__nand3_1
*I *9614:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *9133:A2 7.64062e-05
2 *9126:A 0
3 *9125:A 0.000230379
4 *9120:C 0
5 *9130:A1 0.000270257
6 *9129:B 9.94095e-05
7 *9614:Q 0.000681944
8 *531:17 0.00046021
9 *531:13 0.000409165
10 *531:11 0.00035763
11 *531:5 0.00102774
12 *9129:B *9120:A 1.98839e-05
13 *9129:B *9129:A 0.000312289
14 *9130:A1 *9130:B1 0.0001098
15 *9130:A1 *540:19 0.000308136
16 *9130:A1 *540:36 0.000176234
17 *531:13 *9120:B 0.000129515
18 *531:17 *9120:A 1.02936e-05
19 *531:17 *9120:B 0.000185643
20 *9127:A *9125:A 0.000176766
21 *9127:C *9125:A 8.00806e-05
22 *9127:C *531:13 0.00010096
23 *9129:C *9129:B 0.00031624
24 *9130:A2 *9130:A1 0.000318024
25 *9132:A *9125:A 0
26 *9132:A *9133:A2 0.000123288
27 *9614:CLK *9133:A2 0
28 *9614:D *531:5 9.23789e-05
29 *9614:D *531:11 2.51343e-06
30 *81:7 *9129:B 1.55885e-05
31 *520:18 *9125:A 4.1331e-06
32 *520:20 *9125:A 6.16864e-05
33 *520:22 *9125:A 9.69119e-06
34 *520:22 *9130:A1 6.61507e-05
35 *520:59 *9129:B 1.54142e-05
36 *520:59 *531:17 9.90367e-05
*RES
1 *9614:Q *531:5 17.6393
2 *531:5 *531:11 4.05357
3 *531:11 *531:13 2.17857
4 *531:13 *531:17 2.60714
5 *531:17 *9129:B 13.675
6 *531:17 *9130:A1 27.5321
7 *531:17 *9120:C 9.3
8 *531:13 *9125:A 23.5143
9 *531:11 *9126:A 9.3
10 *531:5 *9133:A2 19.9429
*END
*D_NET *532 0.000403062
*CONN
*I *8944:A I *D sky130_fd_sc_hd__or4_1
*I *9645:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8944:A 0.000139961
2 *9645:Q 0.000139961
3 *8944:A *8944:C 6.97972e-05
4 *294:24 *8944:A 5.33433e-05
*RES
1 *9645:Q *8944:A 30.1893
*END
*D_NET *533 0.00199273
*CONN
*I *8944:B I *D sky130_fd_sc_hd__or4_1
*I *9647:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8944:B 0.000775837
2 *9647:Q 0.000775837
3 *8944:B *8944:C 0.000342488
4 *8946:A *8944:B 5.80706e-06
5 *9619:CLK *8944:B 9.27592e-05
6 *9647:CLK *8944:B 0
7 *292:194 *8944:B 0
*RES
1 *9647:Q *8944:B 42.0464
*END
*D_NET *534 0.000434913
*CONN
*I *8944:D I *D sky130_fd_sc_hd__or4_1
*I *9649:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8944:D 0.000217456
2 *9649:Q 0.000217456
*RES
1 *9649:Q *8944:D 21.2071
*END
*D_NET *535 0.00097433
*CONN
*I *8944:C I *D sky130_fd_sc_hd__or4_1
*I *9651:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8944:C 0.000256602
2 *9651:Q 0.000256602
3 *8944:A *8944:C 6.97972e-05
4 *8944:B *8944:C 0.000342488
5 *292:194 *8944:C 4.884e-05
*RES
1 *9651:Q *8944:C 33.3321
*END
*D_NET *536 0.00088596
*CONN
*I *8945:C I *D sky130_fd_sc_hd__or4_1
*I *9653:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8945:C 0.000386017
2 *9653:Q 0.000386017
3 *8945:C *8945:A 0
4 *8945:C *8945:B 6.0038e-05
5 *8945:C *9653:D 0
6 *8945:C *9659:D 0
7 *8946:B *8945:C 5.38886e-05
8 *318:54 *8945:C 0
*RES
1 *9653:Q *8945:C 33.85
*END
*D_NET *537 0.000724113
*CONN
*I *8945:D I *D sky130_fd_sc_hd__or4_1
*I *9655:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8945:D 0.000342768
2 *9655:Q 0.000342768
3 *8945:D *8945:B 3.25247e-05
4 *9655:CLK *8945:D 6.05161e-06
5 *318:54 *8945:D 0
*RES
1 *9655:Q *8945:D 31.9393
*END
*D_NET *538 0.000981929
*CONN
*I *8945:B I *D sky130_fd_sc_hd__or4_1
*I *9657:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8945:B 0.000414736
2 *9657:Q 0.000414736
3 *8945:B *8945:A 0
4 *8945:C *8945:B 6.0038e-05
5 *8945:D *8945:B 3.25247e-05
6 *8946:B *8945:B 5.80706e-06
7 *9651:CLK *8945:B 6.05161e-06
8 *9655:CLK *8945:B 0
9 *9657:CLK *8945:B 4.8035e-05
10 *318:54 *8945:B 0
11 *318:70 *8945:B 0
*RES
1 *9657:Q *8945:B 34.35
*END
*D_NET *539 0.00118275
*CONN
*I *8945:A I *D sky130_fd_sc_hd__or4_1
*I *9659:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8945:A 0.000508711
2 *9659:Q 0.000508711
3 *8945:A *9649:D 0
4 *8945:B *8945:A 0
5 *8945:C *8945:A 0
6 *8946:B *8945:A 0
7 *9649:CLK *8945:A 9.90367e-05
8 *292:203 *8945:A 0
9 *292:207 *8945:A 0
10 *318:57 *8945:A 6.62898e-05
*RES
1 *9659:Q *8945:A 37.1179
*END
*D_NET *540 0.00412338
*CONN
*I *9120:A I *D sky130_fd_sc_hd__and4_1
*I *9129:A I *D sky130_fd_sc_hd__nand3_1
*I *9133:A1 I *D sky130_fd_sc_hd__a31o_1
*I *9130:B1 I *D sky130_fd_sc_hd__a21o_1
*I *9615:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *9120:A 0.000107887
2 *9129:A 0.000128093
3 *9133:A1 0.000272905
4 *9130:B1 9.36473e-05
5 *9615:Q 0.000198252
6 *540:36 0.000299823
7 *540:19 0.000489837
8 *540:7 0.00044499
9 *9120:A *9120:B 5.17614e-05
10 *9133:A1 *9133:B1 3.52065e-05
11 *9129:B *9120:A 1.98839e-05
12 *9129:B *9129:A 0.000312289
13 *9130:A1 *9130:B1 0.0001098
14 *9130:A1 *540:19 0.000308136
15 *9130:A1 *540:36 0.000176234
16 *9130:A2 *9130:B1 0
17 *9131:C *9130:B1 0.0001098
18 *9131:C *540:19 0.000107109
19 *9131:C *540:36 6.07626e-05
20 *9133:A3 *9133:A1 7.90255e-05
21 *9134:C *9133:A1 0.000337439
22 *81:7 *9129:A 0.000142882
23 *520:40 *9133:A1 0.000227322
24 *531:17 *9120:A 1.02936e-05
*RES
1 *9615:Q *540:7 15.9786
2 *540:7 *9130:B1 16.9607
3 *540:7 *540:19 4.73214
4 *540:19 *9133:A1 22.3
5 *540:19 *540:36 6.75
6 *540:36 *9129:A 13.7107
7 *540:36 *9120:A 11.0679
*END
*D_NET *541 0.00189008
*CONN
*I *9133:B1 I *D sky130_fd_sc_hd__a31o_1
*I *9120:B I *D sky130_fd_sc_hd__and4_1
*I *9616:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *9133:B1 0.000352394
2 *9120:B 0.000218082
3 *9616:Q 0
4 *541:4 0.000570476
5 *9120:A *9120:B 5.17614e-05
6 *9126:B *9120:B 0
7 *9133:A1 *9133:B1 3.52065e-05
8 *9133:A3 *9133:B1 0.000160342
9 *520:22 *9120:B 0
10 *520:40 *9120:B 0
11 *520:40 *9133:B1 0.000186662
12 *531:13 *9120:B 0.000129515
13 *531:17 *9120:B 0.000185643
*RES
1 *9616:Q *541:4 9.3
2 *541:4 *9120:B 23.2464
3 *541:4 *9133:B1 14.5679
*END
*D_NET *542 0.00430905
*CONN
*I *9121:B I *D sky130_fd_sc_hd__and4_1
*I *9140:A I *D sky130_fd_sc_hd__and3_1
*I *9141:A1 I *D sky130_fd_sc_hd__a21o_1
*I *9137:A I *D sky130_fd_sc_hd__or2_1
*I *9136:A I *D sky130_fd_sc_hd__nand2_1
*I *9617:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *9121:B 0.000307386
2 *9140:A 0.000156641
3 *9141:A1 4.09108e-05
4 *9137:A 0
5 *9136:A 0.000266802
6 *9617:Q 0.000131653
7 *542:27 0.000197552
8 *542:25 0.000386369
9 *542:18 0.000288739
10 *542:7 0.000608211
11 *9140:A *9140:B 0.000120587
12 *9141:A1 *9140:B 1.55885e-05
13 *9121:D *9121:B 0.000408389
14 *9136:B *9136:A 0.000195766
15 *9136:B *542:7 2.32517e-05
16 *9136:B *542:18 0.000114111
17 *9138:A *9136:A 0.000445571
18 *9138:A *542:18 0
19 *9140:C *9140:A 0.0002181
20 *9141:A2 *9140:A 9.71197e-05
21 *9145:A2 *9121:B 0
22 *9257:CLK *542:18 0
23 *9617:D *9136:A 8.95186e-05
24 *81:8 *542:18 4.66203e-05
25 *81:32 *542:18 2.82057e-05
26 *81:32 *542:25 5.80706e-06
27 *81:39 *9121:B 4.46186e-06
28 *81:39 *542:25 6.16744e-05
29 *81:48 *9121:B 2.16719e-05
30 *82:8 *9121:B 7.43578e-06
31 *83:13 *9121:B 6.8646e-06
32 *83:24 *9121:B 0
33 *83:24 *542:18 0
34 *83:24 *542:25 0
35 *83:46 *9140:A 1.40415e-05
*RES
1 *9617:Q *542:7 11.4964
2 *542:7 *9136:A 16.8357
3 *542:7 *542:18 9.17857
4 *542:18 *9137:A 13.8
5 *542:18 *542:25 1.64286
6 *542:25 *542:27 4.5
7 *542:27 *9141:A1 9.83571
8 *542:27 *9140:A 13.55
9 *542:25 *9121:B 20.2643
*END
*D_NET *543 0.00224339
*CONN
*I *9121:C I *D sky130_fd_sc_hd__and4_1
*I *9140:B I *D sky130_fd_sc_hd__and3_1
*I *9141:B1 I *D sky130_fd_sc_hd__a21o_1
*I *9618:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *9121:C 0.000136291
2 *9140:B 0.000170893
3 *9141:B1 0
4 *9618:Q 0.00018625
5 *543:9 0.000244488
6 *543:6 0.000396137
7 *9138:A *543:6 0.000177227
8 *9139:A *543:6 0
9 *9140:A *9140:B 0.000120587
10 *9141:A1 *9140:B 1.55885e-05
11 *9141:A2 *9140:B 9.71197e-05
12 *9142:A_N *543:9 5.33433e-05
13 *9142:C *543:9 7.48091e-05
14 *9145:A2 *9121:C 8.91726e-05
15 *83:24 *9121:C 1.69115e-05
16 *83:24 *543:6 4.53031e-05
17 *96:5 *9140:B 0.000357952
18 *96:5 *543:9 6.13217e-05
*RES
1 *9618:Q *543:6 17.8714
2 *543:6 *543:9 6.67857
3 *543:9 *9141:B1 9.3
4 *543:9 *9140:B 14.6929
5 *543:6 *9121:C 16.6571
*END
*D_NET *544 0.00201449
*CONN
*I *9145:A1 I *D sky130_fd_sc_hd__o21ba_1
*I *9121:A I *D sky130_fd_sc_hd__and4_1
*I *9619:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *9145:A1 0
2 *9121:A 0.000184332
3 *9619:Q 0.000437199
4 *544:7 0.000621531
5 *9121:A *9146:A1 1.19309e-05
6 *9145:A2 *9121:A 0.000371533
7 *9145:B1_N *544:7 0.000318107
8 *9146:A2 *9121:A 2.89114e-05
9 *9146:A2 *544:7 1.65169e-05
10 *9619:D *544:7 2.44318e-05
11 *83:13 *9121:A 0
*RES
1 *9619:Q *544:7 15.4964
2 *544:7 *9121:A 23.5143
3 *544:7 *9145:A1 9.3
*END
*D_NET *545 0.00216248
*CONN
*I *9122:A1 I *D sky130_fd_sc_hd__a211oi_4
*I *9146:A1 I *D sky130_fd_sc_hd__o21a_1
*I *8951:A I *D sky130_fd_sc_hd__nor3_1
*I *9620:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *9122:A1 0
2 *9146:A1 0.000246535
3 *8951:A 0.000128473
4 *9620:Q 0
5 *545:18 0.000579756
6 *545:4 0.000461695
7 *8951:B *8951:A 0.00010326
8 *8951:B *545:18 0
9 *8951:C *8951:A 3.79467e-05
10 *9121:A *9146:A1 1.19309e-05
11 *9123:B *9146:A1 0.000142856
12 *9146:A2 *9146:A1 0.000317082
13 *9620:D *9146:A1 4.48113e-05
14 *9620:D *545:18 8.81343e-05
15 *82:19 *9146:A1 0
16 *83:8 *9146:A1 0
17 *83:13 *9146:A1 0
*RES
1 *9620:Q *545:4 9.3
2 *545:4 *8951:A 20.5857
3 *545:4 *545:18 10.1429
4 *545:18 *9146:A1 19.7643
5 *545:18 *9122:A1 13.8
*END
*D_NET *546 0.00115149
*CONN
*I *8947:D I *D sky130_fd_sc_hd__or4_1
*I *9621:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8947:D 0.000355007
2 *9621:Q 0.000355007
3 *8947:D *9625:D 0.000175519
4 *865:DIODE *8947:D 7.92879e-05
5 *8947:A *8947:D 0.000186669
*RES
1 *9621:Q *8947:D 34.4929
*END
*D_NET *547 0.00105865
*CONN
*I *8947:C I *D sky130_fd_sc_hd__or4_1
*I *9623:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8947:C 0.000427907
2 *9623:Q 0.000427907
3 *8947:B *8947:C 0.000202835
4 *8950:A *8947:C 0
*RES
1 *9623:Q *8947:C 34.1714
*END
*D_NET *548 0.00590215
*CONN
*I *9086:D I *D sky130_fd_sc_hd__and4_1
*I *9098:A2 I *D sky130_fd_sc_hd__a21o_1
*I *9097:C I *D sky130_fd_sc_hd__nand3_1
*I *9101:A3 I *D sky130_fd_sc_hd__a31o_1
*I *9094:B I *D sky130_fd_sc_hd__or2_1
*I *9093:B I *D sky130_fd_sc_hd__nand2_1
*I *9091:A_N I *D sky130_fd_sc_hd__and2b_1
*I *9565:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *9086:D 1.49752e-05
2 *9098:A2 4.39079e-05
3 *9097:C 0.000432847
4 *9101:A3 0
5 *9094:B 0.000128148
6 *9093:B 2.58596e-05
7 *9091:A_N 0.000144019
8 *9565:Q 0.000302572
9 *548:56 5.88831e-05
10 *548:27 0.000376474
11 *548:24 0.000285371
12 *548:20 0.000522522
13 *548:18 0.000116188
14 *548:9 0.000536009
15 *9086:D *9086:B 4.08637e-05
16 *9093:B *559:41 7.14195e-05
17 *9094:B *9094:A 0.000276211
18 *9094:B *559:41 1.69961e-05
19 *9097:C *9086:B 0.000400867
20 *9097:C *9097:A 1.54142e-05
21 *9097:C *9097:B 0.000380664
22 *9098:A2 *9086:B 0.000271345
23 *548:18 *559:32 5.41794e-05
24 *548:20 *559:32 5.41794e-05
25 *548:24 *559:32 0.000150625
26 *548:24 *569:8 0
27 *548:27 *9101:A1 1.74352e-05
28 *548:27 *559:41 0.000342919
29 *9087:A *548:24 0.00015234
30 *9092:A *548:9 0
31 *9095:A *548:9 4.46186e-06
32 *9095:A *548:18 0
33 *9095:B *9091:A_N 5.06983e-05
34 *9095:B *548:9 0.000137874
35 *9099:B *9091:A_N 3.80277e-05
36 *9567:D *9097:C 1.24368e-05
37 *271:47 *548:18 4.43698e-05
38 *271:47 *548:20 1.37292e-05
39 *271:47 *548:24 7.79781e-06
40 *292:272 *9086:D 5.33005e-05
41 *292:272 *9097:C 3.48705e-05
42 *292:272 *9098:A2 0.000271345
*RES
1 *9565:Q *548:9 23.9607
2 *548:9 *9091:A_N 11.8893
3 *548:9 *548:18 6.44643
4 *548:18 *548:20 0.732143
5 *548:20 *548:24 6.75
6 *548:24 *548:27 4.66071
7 *548:27 *9093:B 10.2643
8 *548:27 *9094:B 12.3
9 *548:24 *9101:A3 9.3
10 *548:20 *9097:C 22.8714
11 *548:18 *548:56 4.5
12 *548:56 *9098:A2 11.8893
13 *548:56 *9086:D 9.83571
*END
*D_NET *549 0.00187902
*CONN
*I *8955:A I *D sky130_fd_sc_hd__or3_1
*I *9576:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8955:A 0.000749433
2 *9576:Q 0.000749433
3 *9604:CLK *8955:A 5.33005e-05
4 *302:13 *8955:A 0.000150618
5 *302:107 *8955:A 0.000176234
*RES
1 *9576:Q *8955:A 39.3143
*END
*D_NET *550 0.000846785
*CONN
*I *8956:D I *D sky130_fd_sc_hd__or4_1
*I *9578:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8956:D 0.000242945
2 *9578:Q 0.000242945
3 *8956:D *8956:B 0
4 *8956:D *9580:D 0
5 *8956:D *9584:D 0.000360894
*RES
1 *9578:Q *8956:D 32.35
*END
*D_NET *551 0.000490322
*CONN
*I *8956:C I *D sky130_fd_sc_hd__or4_1
*I *9580:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8956:C 0.000120895
2 *9580:Q 0.000120895
3 *8956:C *8956:B 9.90431e-05
4 *8956:C *9584:D 0.000149489
*RES
1 *9580:Q *8956:C 21.6179
*END
*D_NET *552 0.00119968
*CONN
*I *8956:B I *D sky130_fd_sc_hd__or4_1
*I *9582:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8956:B 0.000443077
2 *9582:Q 0.000443077
3 *8956:B *9578:D 0.000173797
4 *8956:B *9584:D 4.06875e-05
5 *8956:C *8956:B 9.90431e-05
6 *8956:D *8956:B 0
*RES
1 *9582:Q *8956:B 34.8857
*END
*D_NET *553 0.00106079
*CONN
*I *8956:A I *D sky130_fd_sc_hd__or4_1
*I *9584:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8956:A 0.000378147
2 *9584:Q 0.000378147
3 *8956:A *9584:D 5.56943e-05
4 *9584:CLK *8956:A 0.000248798
*RES
1 *9584:Q *8956:A 33.475
*END
*D_NET *554 0.000843474
*CONN
*I *8957:D I *D sky130_fd_sc_hd__or4_1
*I *9586:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8957:D 0.000421737
2 *9586:Q 0.000421737
*RES
1 *9586:Q *8957:D 23.6714
*END
*D_NET *555 0.00102523
*CONN
*I *8957:C I *D sky130_fd_sc_hd__or4_1
*I *9588:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8957:C 0.000507491
2 *9588:Q 0.000507491
3 *8957:C *8957:A 1.02504e-05
4 *9582:CLK *8957:C 0
*RES
1 *9588:Q *8957:C 33.8857
*END
*D_NET *556 0.000731778
*CONN
*I *8957:B I *D sky130_fd_sc_hd__or4_1
*I *9590:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8957:B 0.000348031
2 *9590:Q 0.000348031
3 *8957:B *8957:A 3.57163e-05
*RES
1 *9590:Q *8957:B 33.1714
*END
*D_NET *557 0.0016568
*CONN
*I *8957:A I *D sky130_fd_sc_hd__or4_1
*I *9592:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8957:A 0.000486458
2 *9592:Q 0.000486458
3 *8957:A *9580:D 0
4 *8957:A *9592:D 0
5 *8957:B *8957:A 3.57163e-05
6 *8957:C *8957:A 1.02504e-05
7 *8958:C *8957:A 0.000145584
8 *9582:CLK *8957:A 0
9 *9592:CLK *8957:A 0.000492333
*RES
1 *9592:Q *8957:A 36.6179
*END
*D_NET *558 0.0012894
*CONN
*I *8952:D I *D sky130_fd_sc_hd__or4_1
*I *9594:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8952:D 0.000541496
2 *9594:Q 0.000541496
3 *8952:D *8952:B 3.80385e-05
4 *8952:D *9596:D 0.000168365
5 *8952:D *9600:D 0
6 *9594:CLK *8952:D 0
7 *9600:CLK *8952:D 0
8 *303:12 *8952:D 0
*RES
1 *9594:Q *8952:D 37.2429
*END
*D_NET *559 0.00555094
*CONN
*I *9086:C I *D sky130_fd_sc_hd__and4_1
*I *9101:A2 I *D sky130_fd_sc_hd__a31o_1
*I *9094:A I *D sky130_fd_sc_hd__or2_1
*I *9093:A I *D sky130_fd_sc_hd__nand2_1
*I *9098:A1 I *D sky130_fd_sc_hd__a21o_1
*I *9097:B I *D sky130_fd_sc_hd__nand3_1
*I *9566:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *9086:C 0
2 *9101:A2 0
3 *9094:A 0.000131586
4 *9093:A 0
5 *9098:A1 0
6 *9097:B 0.000204891
7 *9566:Q 0.000451684
8 *559:41 0.000304287
9 *559:32 0.000323622
10 *559:9 0.000443899
11 *559:7 0.000427172
12 *559:5 0.000488927
13 *9097:B *9097:A 0.000315152
14 *9097:B *568:10 3.17148e-05
15 *559:32 *9086:A 0
16 *559:32 *569:8 0
17 *559:41 *9101:A1 4.22908e-05
18 *559:41 *9101:B1 9.90367e-05
19 *9093:B *559:41 7.14195e-05
20 *9094:B *9094:A 0.000276211
21 *9094:B *559:41 1.69961e-05
22 *9095:C *9094:A 5.30637e-06
23 *9097:C *9097:B 0.000380664
24 *9565:CLK *9097:B 7.6644e-05
25 *292:272 *9097:B 0.000167288
26 *292:272 *559:5 0.000304767
27 *292:272 *559:7 4.27935e-05
28 *292:272 *559:9 0.000342688
29 *292:272 *559:32 0
30 *548:18 *559:32 5.41794e-05
31 *548:20 *559:32 5.41794e-05
32 *548:24 *559:32 0.000150625
33 *548:27 *559:41 0.000342919
*RES
1 *9566:Q *559:5 15.175
2 *559:5 *559:7 0.535714
3 *559:7 *559:9 3.41071
4 *559:9 *9097:B 24.5321
5 *559:9 *9098:A1 9.3
6 *559:7 *559:32 12.1607
7 *559:32 *559:41 5.64286
8 *559:41 *9093:A 9.3
9 *559:41 *9094:A 12.7107
10 *559:32 *9101:A2 9.3
11 *559:5 *9086:C 9.3
*END
*D_NET *560 0.000730665
*CONN
*I *8952:C I *D sky130_fd_sc_hd__or4_1
*I *9596:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8952:C 0.000353229
2 *9596:Q 0.000353229
3 *8952:C *8952:A 0
4 *8952:C *9596:D 0
5 *8954:A *8952:C 2.42082e-05
*RES
1 *9596:Q *8952:C 32.2429
*END
*D_NET *561 0.000445512
*CONN
*I *8952:B I *D sky130_fd_sc_hd__or4_1
*I *9598:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8952:B 0.000154218
2 *9598:Q 0.000154218
3 *8952:B *9596:D 9.90367e-05
4 *8952:D *8952:B 3.80385e-05
*RES
1 *9598:Q *8952:B 20.7786
*END
*D_NET *562 0.000686769
*CONN
*I *8952:A I *D sky130_fd_sc_hd__or4_1
*I *9600:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8952:A 0.000314223
2 *9600:Q 0.000314223
3 *8952:A *9596:D 0
4 *8952:C *8952:A 0
5 *8954:A *8952:A 5.83233e-05
*RES
1 *9600:Q *8952:A 33.0286
*END
*D_NET *563 0.00180848
*CONN
*I *8953:C I *D sky130_fd_sc_hd__or4_1
*I *9602:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8953:C 0.000288525
2 *9602:Q 0.000288525
3 *8953:C *8953:A 0.000737718
4 *8953:C *8953:B 0.000122552
5 *8954:B *8953:C 0.000139907
6 *303:10 *8953:C 0.00019197
7 *303:58 *8953:C 3.92854e-05
*RES
1 *9602:Q *8953:C 37.225
*END
*D_NET *564 0.0017703
*CONN
*I *8953:D I *D sky130_fd_sc_hd__or4_1
*I *9604:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8953:D 0.00075751
2 *9604:Q 0.00075751
3 *8953:D *9602:D 3.63866e-05
4 *8953:D *9606:D 0
5 *9606:CLK *8953:D 0
6 *292:54 *8953:D 0.000129736
7 *303:10 *8953:D 0
8 *303:58 *8953:D 7.51199e-05
9 *303:62 *8953:D 1.40415e-05
*RES
1 *9604:Q *8953:D 39.8679
*END
*D_NET *565 0.000736781
*CONN
*I *8953:B I *D sky130_fd_sc_hd__or4_1
*I *9606:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8953:B 0.000219784
2 *9606:Q 0.000219784
3 *8953:C *8953:B 0.000122552
4 *8954:B *8953:B 9.80173e-05
5 *303:10 *8953:B 7.6644e-05
*RES
1 *9606:Q *8953:B 30.9393
*END
*D_NET *566 0.00205779
*CONN
*I *8953:A I *D sky130_fd_sc_hd__or4_1
*I *9608:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8953:A 0.000626917
2 *9608:Q 0.000626917
3 *8953:C *8953:A 0.000737718
4 *8954:B *8953:A 2.14658e-05
5 *9118:A *8953:A 0
6 *303:10 *8953:A 6.8646e-06
7 *303:58 *8953:A 3.79104e-05
*RES
1 *9608:Q *8953:A 40.7786
*END
*D_NET *567 0.000839997
*CONN
*I *8955:C I *D sky130_fd_sc_hd__or3_1
*I *9610:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8955:C 0.000366765
2 *9610:Q 0.000366765
3 *8955:C *8955:B 0.000106467
4 *8955:C *9610:D 0
5 *8958:A *8955:C 0
*RES
1 *9610:Q *8955:C 34.5643
*END
*D_NET *568 0.00325648
*CONN
*I *9101:A1 I *D sky130_fd_sc_hd__a31o_1
*I *9098:B1 I *D sky130_fd_sc_hd__a21o_1
*I *9086:B I *D sky130_fd_sc_hd__and4_1
*I *9097:A I *D sky130_fd_sc_hd__nand3_1
*I *9567:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *9101:A1 0.000246868
2 *9098:B1 0
3 *9086:B 0.000170338
4 *9097:A 6.06365e-05
5 *9567:Q 0
6 *568:10 0.000424684
7 *568:4 0.000440579
8 *9086:D *9086:B 4.08637e-05
9 *9097:B *9097:A 0.000315152
10 *9097:B *568:10 3.17148e-05
11 *9097:C *9086:B 0.000400867
12 *9097:C *9097:A 1.54142e-05
13 *9098:A2 *9086:B 0.000271345
14 *9102:C *9101:A1 0.000229456
15 *9102:C *568:10 2.14757e-05
16 *9565:CLK *568:10 5.97623e-05
17 *271:47 *568:10 0
18 *292:272 *9086:B 0.000111579
19 *292:272 *9097:A 0.000356015
20 *548:27 *9101:A1 1.74352e-05
21 *559:41 *9101:A1 4.22908e-05
*RES
1 *9567:Q *568:4 9.3
2 *568:4 *568:10 11.8929
3 *568:10 *9097:A 12.7107
4 *568:10 *9086:B 15.2107
5 *568:10 *9098:B1 9.3
6 *568:4 *9101:A1 13.9786
*END
*D_NET *569 0.00101505
*CONN
*I *9086:A I *D sky130_fd_sc_hd__and4_1
*I *9101:B1 I *D sky130_fd_sc_hd__a31o_1
*I *9568:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *9086:A 0.000110525
2 *9101:B1 4.05809e-05
3 *9568:Q 0.0003069
4 *569:8 0.000458007
5 *846:DIODE *569:8 0
6 *9087:A *569:8 0
7 *268:9 *569:8 0
8 *271:47 *569:8 0
9 *292:272 *9086:A 0
10 *292:272 *569:8 0
11 *548:24 *569:8 0
12 *559:32 *9086:A 0
13 *559:32 *569:8 0
14 *559:41 *9101:B1 9.90367e-05
*RES
1 *9568:Q *569:8 18.7107
2 *569:8 *9101:B1 14.7464
3 *569:8 *9086:A 15.7464
*END
*D_NET *570 0.00184034
*CONN
*I *9109:A1 I *D sky130_fd_sc_hd__a21o_1
*I *9088:B I *D sky130_fd_sc_hd__and2_1
*I *9104:A I *D sky130_fd_sc_hd__nand2_1
*I *9105:A I *D sky130_fd_sc_hd__or2_1
*I *9569:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *9109:A1 0.000116025
2 *9088:B 8.36426e-05
3 *9104:A 0.000176596
4 *9105:A 3.44045e-05
5 *9569:Q 0
6 *570:27 0.000369009
7 *570:8 0.000278115
8 *570:4 0.000236455
9 *9109:A1 *9088:A 0.00020212
10 *9104:B *9104:A 0
11 *9104:B *570:8 1.19309e-05
12 *9106:A *9104:A 0.000177941
13 *9106:A *9109:A1 0
14 *9106:A *570:8 0.000128161
15 *9106:C *9104:A 2.59355e-05
*RES
1 *9569:Q *570:4 9.3
2 *570:4 *570:8 10.6429
3 *570:8 *9105:A 9.83571
4 *570:8 *9104:A 22.1036
5 *570:4 *570:27 2.91071
6 *570:27 *9088:B 10.6571
7 *570:27 *9109:A1 21.1571
*END
*D_NET *571 0.00131765
*CONN
*I *9088:A I *D sky130_fd_sc_hd__and2_1
*I *9109:B1 I *D sky130_fd_sc_hd__a21o_1
*I *9570:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *9088:A 9.84636e-05
2 *9109:B1 5.01743e-05
3 *9570:Q 0.00027198
4 *571:8 0.000420618
5 *9106:A *9088:A 0
6 *9106:A *571:8 0
7 *9109:A1 *9088:A 0.00020212
8 *9111:A *571:8 0
9 *9570:D *571:8 0.000274294
*RES
1 *9570:Q *571:8 17.8804
2 *571:8 *9109:B1 14.6125
3 *571:8 *9088:A 16.5054
*END
*D_NET *572 0.00337878
*CONN
*I *9112:A I *D sky130_fd_sc_hd__and3_1
*I *9089:B I *D sky130_fd_sc_hd__and4_1
*I *9113:B1 I *D sky130_fd_sc_hd__a21o_1
*I *9116:A1 I *D sky130_fd_sc_hd__a31o_1
*I *9571:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *9112:A 0
2 *9089:B 0.000102704
3 *9113:B1 3.33736e-05
4 *9116:A1 0.00011419
5 *9571:Q 3.72435e-05
6 *572:25 0.000257027
7 *572:9 0.000454229
8 *572:5 0.000498233
9 *9089:D *9089:B 5.71472e-05
10 *9116:A3 *9116:A1 0
11 *268:16 *9113:B1 2.48938e-05
12 *268:18 *9113:B1 0.00010326
13 *268:18 *9116:A1 2.31791e-05
14 *268:20 *9116:A1 0.000169405
15 *268:24 *9116:A1 0.000254337
16 *268:45 *572:5 4.27935e-05
17 *268:45 *572:9 0.000400867
18 *269:26 *9089:B 4.46186e-06
19 *269:26 *572:25 0.000152919
20 *269:28 *9089:B 5.33882e-05
21 *271:21 *9116:A1 0.000275094
22 *271:33 *9113:B1 0.000119618
23 *271:33 *9116:A1 0.000200413
*RES
1 *9571:Q *572:5 9.83571
2 *572:5 *572:9 8.73214
3 *572:9 *9116:A1 19.6929
4 *572:9 *9113:B1 15.4429
5 *572:5 *572:25 8.26786
6 *572:25 *9089:B 15.675
7 *572:25 *9112:A 13.8
*END
*D_NET *573 0.00114537
*CONN
*I *9089:A I *D sky130_fd_sc_hd__and4_1
*I *9116:B1 I *D sky130_fd_sc_hd__a31o_1
*I *9572:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *9089:A 7.80196e-05
2 *9116:B1 0.00022383
3 *9572:Q 6.35099e-05
4 *573:8 0.000365359
5 *9089:C *9089:A 2.44318e-05
6 *9089:C *9116:B1 2.44318e-05
7 *9116:A3 *9089:A 0.000142856
8 *9116:A3 *9116:B1 0.00014285
9 *9117:D_N *573:8 8.00806e-05
10 *270:6 *573:8 0
11 *271:21 *573:8 0
*RES
1 *9572:Q *573:8 19.6393
2 *573:8 *9116:B1 13.5679
3 *573:8 *9089:A 11.0679
*END
*D_NET *574 0.00120808
*CONN
*I *8959:A I *D sky130_fd_sc_hd__nor3_1
*I *9090:A1 I *D sky130_fd_sc_hd__a211oi_4
*I *9119:A1 I *D sky130_fd_sc_hd__o21a_1
*I *9573:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8959:A 5.93124e-05
2 *9090:A1 2.36895e-05
3 *9119:A1 0.000155939
4 *9573:Q 5.05962e-05
5 *574:17 0.000164408
6 *574:7 0.000287941
7 *8959:B *8959:A 7.38748e-05
8 *8959:B *574:17 4.46186e-06
9 *9117:C *9119:A1 7.43578e-06
10 *9117:D_N *9119:A1 0
11 *9517:CLK *9090:A1 5.52238e-05
12 *9573:D *9119:A1 0
13 *174:22 *9119:A1 0
14 *174:22 *574:17 0
15 *270:6 *8959:A 7.38748e-05
16 *270:11 *8959:A 4.46186e-06
17 *270:11 *9119:A1 0.000167577
18 *270:11 *574:17 7.92879e-05
*RES
1 *9573:Q *574:7 14.3357
2 *574:7 *9119:A1 17.4964
3 *574:7 *574:17 1.94643
4 *574:17 *9090:A1 14.3357
5 *574:17 *8959:A 15.7464
*END
*D_NET *575 0.000478286
*CONN
*I *8955:B I *D sky130_fd_sc_hd__or3_1
*I *9574:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8955:B 0.00018591
2 *9574:Q 0.00018591
3 *8955:C *8955:B 0.000106467
*RES
1 *9574:Q *8955:B 21.1893
*END
*D_NET *576 0.00112878
*CONN
*I *8872:D I *D sky130_fd_sc_hd__or4_1
*I *9462:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8872:D 0.000228447
2 *9462:Q 0.000228447
3 *8872:D *8872:B 0
4 *8872:D *8872:C 0.000230475
5 *8872:D *9515:D 0.00036192
6 *100:10 *8872:D 7.94875e-05
*RES
1 *9462:Q *8872:D 33.9036
*END
*D_NET *577 0.000378153
*CONN
*I *8874:B I *D sky130_fd_sc_hd__or4_1
*I *9481:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8874:B 0.000189077
2 *9481:Q 0.000189077
*RES
1 *9481:Q *8874:B 20.7786
*END
*D_NET *578 0.00116451
*CONN
*I *8877:D I *D sky130_fd_sc_hd__or4_1
*I *9483:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8877:D 0.000530625
2 *9483:Q 0.000530625
3 *8877:D *8877:C 0.00010326
*RES
1 *9483:Q *8877:D 34.4036
*END
*D_NET *579 0.00103634
*CONN
*I *8877:C I *D sky130_fd_sc_hd__or4_1
*I *9485:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8877:C 0.000379645
2 *9485:Q 0.000379645
3 *8877:C *8877:A 0.00017379
4 *8877:C *9487:D 0
5 *8877:D *8877:C 0.00010326
6 *323:8 *8877:C 0
*RES
1 *9485:Q *8877:C 34.5643
*END
*D_NET *580 0.00136181
*CONN
*I *8877:A I *D sky130_fd_sc_hd__or4_1
*I *9487:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8877:A 0.000594009
2 *9487:Q 0.000594009
3 *8877:C *8877:A 0.00017379
4 *323:8 *8877:A 0
*RES
1 *9487:Q *8877:A 37.5107
*END
*D_NET *581 0.000378153
*CONN
*I *8877:B I *D sky130_fd_sc_hd__or4_1
*I *9489:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8877:B 0.000189077
2 *9489:Q 0.000189077
*RES
1 *9489:Q *8877:B 20.7786
*END
*D_NET *582 0.000556744
*CONN
*I *8878:D I *D sky130_fd_sc_hd__or4_1
*I *9491:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8878:D 0.000278372
2 *9491:Q 0.000278372
*RES
1 *9491:Q *8878:D 22.0286
*END
*D_NET *583 0.000288095
*CONN
*I *8878:C I *D sky130_fd_sc_hd__or4_1
*I *9493:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8878:C 4.90946e-05
2 *9493:Q 4.90946e-05
3 *8878:C *8878:A 0.000129868
4 *8878:C *8878:B 6.0038e-05
*RES
1 *9493:Q *8878:C 29.2429
*END
*D_NET *584 0.000447071
*CONN
*I *8878:B I *D sky130_fd_sc_hd__or4_1
*I *9495:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8878:B 0.000186465
2 *9495:Q 0.000186465
3 *8878:B *8878:A 1.41029e-05
4 *8878:C *8878:B 6.0038e-05
*RES
1 *9495:Q *8878:B 30.6893
*END
*D_NET *585 0.000565285
*CONN
*I *8878:A I *D sky130_fd_sc_hd__or4_1
*I *9497:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8878:A 0.000210657
2 *9497:Q 0.000210657
3 *8878:B *8878:A 1.41029e-05
4 *8878:C *8878:A 0.000129868
*RES
1 *9497:Q *8878:A 31.975
*END
*D_NET *586 0.00111407
*CONN
*I *8875:D I *D sky130_fd_sc_hd__or4_1
*I *9499:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8875:D 0.000395235
2 *9499:Q 0.000395235
3 *8875:D *8875:B 0
4 *8875:D *8875:C 0.000126629
5 *8875:D *9505:D 0
6 *8879:A *8875:D 0.000196968
*RES
1 *9499:Q *8875:D 35.9214
*END
*D_NET *587 0.000910113
*CONN
*I *8872:B I *D sky130_fd_sc_hd__or4_1
*I *9463:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8872:B 0.000385336
2 *9463:Q 0.000385336
3 *8872:B *8872:A 4.03318e-05
4 *8872:B *9515:D 9.91086e-05
5 *8872:D *8872:B 0
*RES
1 *9463:Q *8872:B 33.1536
*END
*D_NET *588 0.000439436
*CONN
*I *8875:C I *D sky130_fd_sc_hd__or4_1
*I *9501:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8875:C 0.00015064
2 *9501:Q 0.00015064
3 *8875:D *8875:C 0.000126629
4 *8879:A *8875:C 1.15281e-05
*RES
1 *9501:Q *8875:C 21.6179
*END
*D_NET *589 0.0012054
*CONN
*I *8875:B I *D sky130_fd_sc_hd__or4_1
*I *9503:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8875:B 0.000588281
2 *9503:Q 0.000588281
3 *8875:B *8875:A 2.88424e-05
4 *8875:D *8875:B 0
*RES
1 *9503:Q *8875:B 35.9929
*END
*D_NET *590 0.00023017
*CONN
*I *8875:A I *D sky130_fd_sc_hd__or4_1
*I *9505:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8875:A 0.000100664
2 *9505:Q 0.000100664
3 *8875:B *8875:A 2.88424e-05
*RES
1 *9505:Q *8875:A 29.5464
*END
*D_NET *591 0.00129476
*CONN
*I *8876:D I *D sky130_fd_sc_hd__or4_1
*I *9507:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8876:D 0.000519266
2 *9507:Q 0.000519266
3 *8876:D *8876:B 0
4 *8876:D *8876:C 0.000151061
5 *8876:D *9513:D 0
6 *292:54 *8876:D 0
7 *292:56 *8876:D 0
8 *305:72 *8876:D 0.000105163
*RES
1 *9507:Q *8876:D 36.7429
*END
*D_NET *592 0.000501262
*CONN
*I *8876:C I *D sky130_fd_sc_hd__or4_1
*I *9509:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8876:C 0.000175101
2 *9509:Q 0.000175101
3 *8876:D *8876:C 0.000151061
*RES
1 *9509:Q *8876:C 22.0286
*END
*D_NET *593 0.000798969
*CONN
*I *8876:B I *D sky130_fd_sc_hd__or4_1
*I *9511:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8876:B 0.000347847
2 *9511:Q 0.000347847
3 *8876:B *9513:D 0.000103275
4 *8876:D *8876:B 0
*RES
1 *9511:Q *8876:B 32.7429
*END
*D_NET *594 0.00138808
*CONN
*I *8876:A I *D sky130_fd_sc_hd__or4_1
*I *9513:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8876:A 0.000579213
2 *9513:Q 0.000579213
3 *8879:A *8876:A 0
4 *8879:B *8876:A 5.41494e-05
5 *8879:C *8876:A 0
6 *9503:CLK *8876:A 0
7 *107:14 *8876:A 0.000175505
8 *305:148 *8876:A 0
*RES
1 *9513:Q *8876:A 38.2429
*END
*D_NET *595 0.000497962
*CONN
*I *8872:C I *D sky130_fd_sc_hd__or4_1
*I *9515:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8872:C 0.000125943
2 *9515:Q 0.000125943
3 *8872:D *8872:C 0.000230475
4 *100:10 *8872:C 1.56e-05
*RES
1 *9515:Q *8872:C 21.6179
*END
*D_NET *596 0.000818152
*CONN
*I *8872:A I *D sky130_fd_sc_hd__or4_1
*I *9465:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8872:A 0.000340288
2 *9465:Q 0.000340288
3 *8872:A *9465:D 9.72447e-05
4 *8872:A *9515:D 0
5 *8872:B *8872:A 4.03318e-05
*RES
1 *9465:Q *8872:A 33.4393
*END
*D_NET *597 0.00111975
*CONN
*I *8873:D I *D sky130_fd_sc_hd__or4_1
*I *9467:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8873:D 0.00048519
2 *9467:Q 0.00048519
3 *8873:D *8873:C 0.000126629
4 *127:10 *8873:D 0
5 *306:11 *8873:D 2.27416e-05
6 *306:20 *8873:D 0
*RES
1 *9467:Q *8873:D 35.9571
*END
*D_NET *598 0.000438526
*CONN
*I *8873:C I *D sky130_fd_sc_hd__or4_1
*I *9469:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8873:C 0.000155949
2 *9469:Q 0.000155949
3 *8873:D *8873:C 0.000126629
*RES
1 *9469:Q *8873:C 21.6179
*END
*D_NET *599 0.000904598
*CONN
*I *8873:B I *D sky130_fd_sc_hd__or4_1
*I *9471:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8873:B 0.000452299
2 *9471:Q 0.000452299
3 *8873:B *8873:A 0
4 *127:10 *8873:B 0
5 *306:8 *8873:B 0
*RES
1 *9471:Q *8873:B 34.35
*END
*D_NET *600 0.00043352
*CONN
*I *8873:A I *D sky130_fd_sc_hd__or4_1
*I *9473:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8873:A 0.000161512
2 *9473:Q 0.000161512
3 *8873:B *8873:A 0
4 *101:10 *8873:A 2.89016e-05
5 *306:8 *8873:A 8.15939e-05
*RES
1 *9473:Q *8873:A 30.9036
*END
*D_NET *601 0.000172318
*CONN
*I *8874:D I *D sky130_fd_sc_hd__or4_1
*I *9475:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8874:D 5.83118e-05
2 *9475:Q 5.83118e-05
3 *8874:D *8874:C 5.56943e-05
*RES
1 *9475:Q *8874:D 28.9393
*END
*D_NET *602 0.000744878
*CONN
*I *8874:C I *D sky130_fd_sc_hd__or4_1
*I *9477:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8874:C 0.00032172
2 *9477:Q 0.00032172
3 *8874:C *9479:D 0
4 *8874:D *8874:C 5.56943e-05
5 *9406:CLK *8874:C 4.57445e-05
*RES
1 *9477:Q *8874:C 33.0286
*END
*D_NET *603 0.00108537
*CONN
*I *8874:A I *D sky130_fd_sc_hd__or4_1
*I *9479:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *8874:A 0.000542686
2 *9479:Q 0.000542686
3 *9406:D *8874:A 0
*RES
1 *9479:Q *8874:A 36.35
*END
*D_NET *604 0.0118615
*CONN
*I *870:DIODE I *D sky130_fd_sc_hd__diode_2
*I *9697:A I *D sky130_fd_sc_hd__buf_2
*I *9406:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *870:DIODE 0
2 *9697:A 0.00021216
3 *9406:Q 0
4 *604:8 0.00172894
5 *604:5 0.00571857
6 *604:4 0.00420179
*RES
1 *9406:Q *604:4 9.3
2 *604:4 *604:5 49
3 *604:5 *604:8 32.25
4 *604:8 *9697:A 17.425
5 *604:8 *870:DIODE 13.8
*END
*D_NET *605 0.00936742
*CONN
*I *9698:A I *D sky130_fd_sc_hd__buf_2
*I *9517:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *9698:A 0.000305902
2 *9517:Q 0
3 *605:5 0.00466644
4 *605:4 0.00436054
5 cout9 *9698:A 3.454e-05
*RES
1 *9517:Q *605:4 9.3
2 *605:4 *605:5 51.0536
3 *605:5 *9698:A 24.1929
*END
*D_NET *606 0.000252724
*CONN
*I *9449:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9450:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9449:D 0.000124131
2 *9450:LO 0.000124131
3 *9449:CLK *9449:D 4.46186e-06
*RES
1 *9450:LO *9449:D 29.7116
*END
*D_NET *607 0.000699341
*CONN
*I *9447:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9448:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9447:D 0.000310885
2 *9448:LO 0.000310885
3 *8886:B *9447:D 4.87669e-06
4 *8886:C *9447:D 0
5 *9447:CLK *9447:D 7.26935e-05
6 *113:9 *9447:D 0
*RES
1 *9448:LO *9447:D 33.283
*END
*D_NET *608 0.000618932
*CONN
*I *9445:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9446:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9445:D 0.00030596
2 *9446:LO 0.00030596
3 *8886:B *9445:D 7.01199e-06
4 *9445:CLK *9445:D 0
5 *295:37 *9445:D 0
*RES
1 *9446:LO *9445:D 32.3723
*END
*D_NET *609 0.000560356
*CONN
*I *9443:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9444:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9443:D 0.000216644
2 *9444:LO 0.000216644
3 *9443:CLK *9443:D 0.000127067
*RES
1 *9444:LO *9443:D 22.3009
*END
*D_NET *610 0.000257798
*CONN
*I *9441:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9442:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9441:D 0.000120682
2 *9442:LO 0.000120682
3 *9259:CLK *9441:D 0
4 *297:38 *9441:D 1.64343e-05
*RES
1 *9442:LO *9441:D 29.7116
*END
*D_NET *611 0.000929057
*CONN
*I *9439:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9440:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9439:D 0.000464528
2 *9440:LO 0.000464528
3 *113:9 *9439:D 0
4 *295:31 *9439:D 0
5 *295:33 *9439:D 0
6 *295:35 *9439:D 0
7 *295:37 *9439:D 0
*RES
1 *9440:LO *9439:D 35.1045
*END
*D_NET *612 0.000903851
*CONN
*I *9437:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9438:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9437:D 0.000249074
2 *9438:LO 0.000249074
3 *844:DIODE *9437:D 0
4 *8888:B *9437:D 4.87669e-06
5 *295:18 *9437:D 0.000200406
6 *295:78 *9437:D 0.00020042
*RES
1 *9438:LO *9437:D 33.283
*END
*D_NET *613 0.000548296
*CONN
*I *9435:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9436:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9435:D 0.000209143
2 *9436:LO 0.000209143
3 *9435:CLK *9435:D 0.00013001
*RES
1 *9436:LO *9435:D 22.3009
*END
*D_NET *614 0.00052469
*CONN
*I *9433:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9434:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9433:D 0.000212823
2 *9434:LO 0.000212823
3 *9433:CLK *9433:D 9.90431e-05
*RES
1 *9434:LO *9433:D 22.3321
*END
*D_NET *615 0.00104894
*CONN
*I *9431:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9432:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9431:D 0.000524471
2 *9432:LO 0.000524471
3 *9431:D *9425:D 0
4 *8883:B *9431:D 0
5 *8883:C *9431:D 0
*RES
1 *9432:LO *9431:D 36.6223
*END
*D_NET *616 0.00112771
*CONN
*I *9659:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9660:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9659:D 0.000468594
2 *9660:LO 0.000468594
3 *9659:D *9653:D 9.34004e-05
4 *8945:C *9659:D 0
5 *318:7 *9659:D 9.71197e-05
6 *318:10 *9659:D 0
7 *318:15 *9659:D 0
*RES
1 *9660:LO *9659:D 36.158
*END
*D_NET *617 0.0002621
*CONN
*I *9429:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9430:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9429:D 0.000128612
2 *9430:LO 0.000128612
3 *8889:D *9429:D 4.87669e-06
*RES
1 *9430:LO *9429:D 29.7116
*END
*D_NET *618 0.000843604
*CONN
*I *9427:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9428:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9427:D 0.000313134
2 *9428:LO 0.000313134
3 *9431:CLK *9427:D 7.80929e-05
4 *292:176 *9427:D 0
5 *297:79 *9427:D 2.87274e-05
6 *297:84 *9427:D 0.000110515
*RES
1 *9428:LO *9427:D 33.8187
*END
*D_NET *619 0.000671435
*CONN
*I *9425:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9426:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9425:D 0.000266063
2 *9426:LO 0.000266063
3 *9431:D *9425:D 0
4 *297:79 *9425:D 7.6644e-05
5 *297:84 *9425:D 6.26653e-05
*RES
1 *9426:LO *9425:D 32.0687
*END
*D_NET *620 0.00126391
*CONN
*I *9423:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9424:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9423:D 0.000376469
2 *9424:LO 0.000376469
3 *8882:A *9423:D 0.000232399
4 *296:72 *9423:D 0.000278574
*RES
1 *9424:LO *9423:D 26.1759
*END
*D_NET *621 0.000304375
*CONN
*I *9421:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9422:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9421:D 0.000152188
2 *9422:LO 0.000152188
*RES
1 *9422:LO *9421:D 30.1223
*END
*D_NET *622 0.000962681
*CONN
*I *9419:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9420:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9419:D 0.000368988
2 *9420:LO 0.000368988
3 *9419:D *9417:D 4.87669e-06
4 *296:72 *9419:D 0.000219828
*RES
1 *9420:LO *9419:D 25.7473
*END
*D_NET *623 0.000893794
*CONN
*I *9417:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9418:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9417:D 0.000390263
2 *9418:LO 0.000390263
3 *8889:A *9417:D 9.82585e-06
4 *9417:CLK *9417:D 7.92879e-05
5 *9419:CLK *9417:D 1.92789e-05
6 *9419:D *9417:D 4.87669e-06
*RES
1 *9418:LO *9417:D 33.1223
*END
*D_NET *624 0.000591812
*CONN
*I *9415:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9416:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9415:D 0.000293467
2 *9416:LO 0.000293467
3 *9415:D *9411:D 4.87669e-06
4 *8881:B *9415:D 0
5 *9413:CLK *9415:D 0
*RES
1 *9416:LO *9415:D 32.3723
*END
*D_NET *625 0.000845914
*CONN
*I *9413:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9414:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9413:D 0.000357952
2 *9414:LO 0.000357952
3 *9413:CLK *9413:D 0.00013001
*RES
1 *9414:LO *9413:D 33.6402
*END
*D_NET *626 0.00117203
*CONN
*I *9411:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9412:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9411:D 0.000556906
2 *9412:LO 0.000556906
3 *8881:B *9411:D 0
4 *8881:C *9411:D 0
5 *9415:CLK *9411:D 5.33433e-05
6 *9415:D *9411:D 4.87669e-06
7 *295:87 *9411:D 0
8 *295:96 *9411:D 0
*RES
1 *9412:LO *9411:D 35.3545
*END
*D_NET *627 0.000552772
*CONN
*I *9657:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9658:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9657:D 0.000213241
2 *9658:LO 0.000213241
3 *9657:CLK *9657:D 0.000126291
*RES
1 *9658:LO *9657:D 22.8857
*END
*D_NET *628 0.000548296
*CONN
*I *9409:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9410:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9409:D 0.000209143
2 *9410:LO 0.000209143
3 *9409:CLK *9409:D 0.00013001
*RES
1 *9410:LO *9409:D 22.3009
*END
*D_NET *629 0.000368296
*CONN
*I *9404:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9405:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9404:D 0.000175931
2 *9405:LO 0.000175931
3 *8900:A *9404:D 0
4 *302:21 *9404:D 1.64343e-05
*RES
1 *9405:LO *9404:D 30.2473
*END
*D_NET *630 0.000581927
*CONN
*I *9402:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9403:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9402:D 0.000250162
2 *9403:LO 0.000250162
3 *9402:D *9392:D 0
4 *9402:D *9398:D 8.16036e-05
*RES
1 *9403:LO *9402:D 32.0464
*END
*D_NET *631 0.000867257
*CONN
*I *9400:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9401:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9400:D 0.000433628
2 *9401:LO 0.000433628
3 *8896:D *9400:D 0
4 *9400:CLK *9400:D 0
5 *301:58 *9400:D 0
6 *301:77 *9400:D 0
*RES
1 *9401:LO *9400:D 34.9795
*END
*D_NET *632 0.00113774
*CONN
*I *9398:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9399:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9398:D 0.000285439
2 *9399:LO 0.000285439
3 *9398:D *9392:D 0
4 *9400:CLK *9398:D 0.000202128
5 *9402:D *9398:D 8.16036e-05
6 *301:53 *9398:D 5.38218e-05
7 *301:57 *9398:D 3.67257e-05
8 *301:58 *9398:D 0.000119618
9 *301:77 *9398:D 7.29667e-05
*RES
1 *9399:LO *9398:D 35.0821
*END
*D_NET *633 0.000523843
*CONN
*I *9396:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9397:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9396:D 0.00022112
2 *9397:LO 0.00022112
3 *9396:CLK *9396:D 8.16036e-05
*RES
1 *9397:LO *9396:D 31.5866
*END
*D_NET *634 0.000398572
*CONN
*I *9394:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9395:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9394:D 0.000143489
2 *9395:LO 0.000143489
3 *9394:D *9360:D 5.35423e-05
4 *8899:D *9394:D 5.52238e-05
5 *9680:A *9394:D 0
6 *292:14 *9394:D 0
7 *307:55 *9394:D 2.8266e-06
*RES
1 *9395:LO *9394:D 30.2473
*END
*D_NET *635 0.00123688
*CONN
*I *9392:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9393:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9392:D 0.000475327
2 *9393:LO 0.000475327
3 *9392:CLK *9392:D 0.000286225
4 *9398:D *9392:D 0
5 *9402:D *9392:D 0
*RES
1 *9393:LO *9392:D 36.5687
*END
*D_NET *636 0.000351945
*CONN
*I *9390:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9391:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9390:D 0.000146831
2 *9391:LO 0.000146831
3 *9390:D *9380:D 0
4 *8898:D *9390:D 4.8032e-05
5 *9390:CLK *9390:D 1.02504e-05
*RES
1 *9391:LO *9390:D 30.4036
*END
*D_NET *637 0.00110531
*CONN
*I *9388:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9389:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9388:D 0.000552656
2 *9389:LO 0.000552656
3 *9388:D *9386:D 0
4 *8895:D *9388:D 0
5 *301:91 *9388:D 0
6 *301:102 *9388:D 0
*RES
1 *9389:LO *9388:D 37.033
*END
*D_NET *638 0.000345375
*CONN
*I *9655:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9656:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9655:D 0.000142933
2 *9656:LO 0.000142933
3 *9655:CLK *9655:D 5.95099e-05
*RES
1 *9656:LO *9655:D 30.1223
*END
*D_NET *639 0.00177544
*CONN
*I *9386:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9387:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9386:D 0.000734652
2 *9387:LO 0.000734652
3 *9386:D *9382:D 2.47724e-05
4 *9382:CLK *9386:D 3.32631e-05
5 *9388:D *9386:D 0
6 *301:77 *9386:D 8.95186e-05
7 *301:82 *9386:D 9.34004e-05
8 *301:91 *9386:D 6.5185e-05
*RES
1 *9387:LO *9386:D 38.4036
*END
*D_NET *640 0.000411847
*CONN
*I *9384:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9385:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9384:D 0.000205923
2 *9385:LO 0.000205923
*RES
1 *9385:LO *9384:D 21.9214
*END
*D_NET *641 0.000572912
*CONN
*I *9382:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9383:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9382:D 0.000202642
2 *9383:LO 0.000202642
3 *9382:CLK *9382:D 0.000142856
4 *9386:D *9382:D 2.47724e-05
*RES
1 *9383:LO *9382:D 22.3009
*END
*D_NET *642 0.0026693
*CONN
*I *9380:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9381:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9380:D 0.000961931
2 *9381:LO 0.000961931
3 *8898:D *9380:D 2.34204e-05
4 *9390:CLK *9380:D 0
5 *9390:D *9380:D 0
6 *9680:A *9380:D 0.000186662
7 *307:5 *9380:D 3.98559e-05
8 *307:7 *9380:D 0.000265784
9 *307:9 *9380:D 0.000229712
*RES
1 *9381:LO *9380:D 42.3366
*END
*D_NET *643 0.00025164
*CONN
*I *9378:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9379:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9378:D 0.00012582
2 *9379:LO 0.00012582
*RES
1 *9379:LO *9378:D 29.7116
*END
*D_NET *644 0.000949682
*CONN
*I *9376:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9377:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9376:D 0.000382468
2 *9377:LO 0.000382468
3 *9326:CLK *9376:D 0.000184745
4 *301:91 *9376:D 0
5 *301:102 *9376:D 0
*RES
1 *9377:LO *9376:D 34.2295
*END
*D_NET *645 0.00226451
*CONN
*I *9374:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9375:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9374:D 0.000569142
2 *9375:LO 0.000569142
3 *9374:D *9366:D 0.000101621
4 *8893:A *9374:D 0.000223215
5 *8893:B *9374:D 0
6 *9368:CLK *9374:D 0
7 *9374:CLK *9374:D 0.000801385
*RES
1 *9375:LO *9374:D 42.1045
*END
*D_NET *646 0.00100755
*CONN
*I *9372:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9373:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9372:D 0.0003347
2 *9373:LO 0.0003347
3 *9034:A *9372:D 0.000153054
4 *9353:D *9372:D 0.000129868
5 *9372:CLK *9372:D 5.52302e-05
*RES
1 *9373:LO *9372:D 34.0509
*END
*D_NET *647 0.000715827
*CONN
*I *9370:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9371:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9370:D 0.000165484
2 *9371:LO 0.000165484
3 *8899:C *9370:D 0
4 *8900:B *9370:D 0.000153047
5 *9370:CLK *9370:D 0.000231812
*RES
1 *9371:LO *9370:D 31.7652
*END
*D_NET *648 0.000640254
*CONN
*I *9368:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9369:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9368:D 0.000264537
2 *9369:LO 0.000264537
3 *9368:CLK *9368:D 1.64343e-05
4 *292:8 *9368:D 9.47456e-05
*RES
1 *9369:LO *9368:D 31.783
*END
*D_NET *649 0.000705455
*CONN
*I *9653:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9654:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9653:D 0.000215232
2 *9654:LO 0.000215232
3 *8945:C *9653:D 0
4 *9659:D *9653:D 9.34004e-05
5 *318:8 *9653:D 0.000104236
6 *318:10 *9653:D 4.46186e-06
7 *318:54 *9653:D 6.75007e-05
8 *318:57 *9653:D 5.39166e-06
*RES
1 *9654:LO *9653:D 32.3723
*END
*D_NET *650 0.00168191
*CONN
*I *9366:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9367:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9366:D 0.000523031
2 *9367:LO 0.000523031
3 *9374:CLK *9366:D 0.000534222
4 *9374:D *9366:D 0.000101621
5 *292:8 *9366:D 0
*RES
1 *9367:LO *9366:D 35.9437
*END
*D_NET *651 0.000940793
*CONN
*I *9364:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9365:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9364:D 0.000362914
2 *9365:LO 0.000362914
3 *8897:A *9364:D 0.000101545
4 *8897:D *9364:D 1.08359e-05
5 *8899:C *9364:D 0
6 *9364:CLK *9364:D 0.000102583
7 *9372:CLK *9364:D 0
*RES
1 *9365:LO *9364:D 33.7116
*END
*D_NET *652 0.000331137
*CONN
*I *9362:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9363:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9362:D 0.000157351
2 *9363:LO 0.000157351
3 *8893:A *9362:D 0
4 *292:10 *9362:D 0
5 *301:41 *9362:D 1.64343e-05
*RES
1 *9363:LO *9362:D 30.2473
*END
*D_NET *653 0.000860426
*CONN
*I *9360:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9361:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9360:D 0.000403442
2 *9361:LO 0.000403442
3 *8899:D *9360:D 0
4 *9394:D *9360:D 5.35423e-05
5 *307:55 *9360:D 0
*RES
1 *9361:LO *9360:D 35.1759
*END
*D_NET *654 0.000643829
*CONN
*I *9358:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9359:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9358:D 5.94436e-05
2 *9359:LO 5.94436e-05
3 *292:36 *9358:D 0.00035137
4 *301:47 *9358:D 4.85154e-05
5 *301:53 *9358:D 0.000125057
*RES
1 *9359:LO *9358:D 21.9214
*END
*D_NET *655 0.00119475
*CONN
*I *9356:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9357:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9356:D 0.000426983
2 *9357:LO 0.000426983
3 *8892:A *9356:D 0
4 *8896:A *9356:D 0
5 *8896:C *9356:D 0
6 *8900:A *9356:D 0.000340781
7 *301:47 *9356:D 0
*RES
1 *9357:LO *9356:D 36.033
*END
*D_NET *656 0.0013034
*CONN
*I *9350:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9351:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9350:D 0.000256538
2 *9351:LO 0.000256538
3 *8904:A *9350:D 3.67142e-05
4 *314:15 *9350:D 0.000753611
*RES
1 *9351:LO *9350:D 25.7473
*END
*D_NET *657 0.000256934
*CONN
*I *9348:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9349:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9348:D 9.73896e-05
2 *9349:LO 9.73896e-05
3 *291:174 *9348:D 0
4 *314:29 *9348:D 4.1331e-06
5 *314:40 *9348:D 5.80217e-05
*RES
1 *9349:LO *9348:D 29.7116
*END
*D_NET *658 0.000580614
*CONN
*I *9346:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9347:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9346:D 0.00023776
2 *9347:LO 0.00023776
3 *9346:D *9344:D 4.1331e-06
4 *9346:CLK *9346:D 0.00010096
5 *290:20 *9346:D 0
*RES
1 *9347:LO *9346:D 31.5866
*END
*D_NET *659 0.000729515
*CONN
*I *9344:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9345:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9344:D 0.000137652
2 *9345:LO 0.000137652
3 *9344:CLK *9344:D 0.000227204
4 *9346:D *9344:D 4.1331e-06
5 *290:20 *9344:D 0.000222874
6 *291:174 *9344:D 0
*RES
1 *9345:LO *9344:D 31.6357
*END
*D_NET *660 0.000490536
*CONN
*I *9651:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9652:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9651:D 0.000245268
2 *9652:LO 0.000245268
3 *9647:CLK *9651:D 0
*RES
1 *9652:LO *9651:D 31.4795
*END
*D_NET *661 0.000257256
*CONN
*I *9342:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9343:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9342:D 0.000128628
2 *9343:LO 0.000128628
3 *314:58 *9342:D 0
*RES
1 *9343:LO *9342:D 29.7116
*END
*D_NET *662 0.000453873
*CONN
*I *9340:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9341:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9340:D 0.000224271
2 *9341:LO 0.000224271
3 *1:23 *9340:D 5.33091e-06
*RES
1 *9341:LO *9340:D 31.533
*END
*D_NET *663 0.000248487
*CONN
*I *9338:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9339:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9338:D 0.000124243
2 *9339:LO 0.000124243
*RES
1 *9339:LO *9338:D 29.7116
*END
*D_NET *664 0.00126929
*CONN
*I *9336:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9337:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9336:D 0.000413105
2 *9337:LO 0.000413105
3 *9336:CLK *9336:D 5.52238e-05
4 *1:15 *9336:D 0.000230475
5 *314:49 *9336:D 8.16036e-05
6 *314:58 *9336:D 7.57773e-05
*RES
1 *9337:LO *9336:D 35.1759
*END
*D_NET *665 0.000251278
*CONN
*I *9334:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9335:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9334:D 9.66279e-05
2 *9335:LO 9.66279e-05
3 *319:46 *9334:D 5.80217e-05
*RES
1 *9335:LO *9334:D 29.7116
*END
*D_NET *666 0.000877458
*CONN
*I *9332:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9333:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9332:D 0.000438729
2 *9333:LO 0.000438729
3 *9332:CLK *9332:D 0
4 *319:48 *9332:D 0
*RES
1 *9333:LO *9332:D 34.6402
*END
*D_NET *667 0.00146726
*CONN
*I *9330:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9331:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9330:D 0.000733632
2 *9331:LO 0.000733632
3 *8906:C *9330:D 0
*RES
1 *9331:LO *9330:D 40.1402
*END
*D_NET *668 0.000552106
*CONN
*I *9328:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9329:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9328:D 0.000211513
2 *9329:LO 0.000211513
3 *9328:CLK *9328:D 0.00012908
*RES
1 *9329:LO *9328:D 22.3009
*END
*D_NET *669 0.00100019
*CONN
*I *9326:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9327:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9326:D 0.000441317
2 *9327:LO 0.000441317
3 *8905:B *9326:D 0.000117553
*RES
1 *9327:LO *9326:D 26.158
*END
*D_NET *670 0.000961682
*CONN
*I *9324:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9325:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9324:D 0.000454775
2 *9325:LO 0.000454775
3 *8905:A *9324:D 4.0552e-05
4 *8905:D *9324:D 1.15797e-05
5 *131:17 *9324:D 0
*RES
1 *9325:LO *9324:D 34.8187
*END
*D_NET *671 0.000664249
*CONN
*I *9649:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9650:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9649:D 0.000293983
2 *9650:LO 0.000293983
3 *8945:A *9649:D 0
4 *8946:B *9649:D 2.27416e-05
5 *292:194 *9649:D 5.35423e-05
*RES
1 *9650:LO *9649:D 32.7116
*END
*D_NET *672 0.000357636
*CONN
*I *9322:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9323:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9322:D 0.000178818
2 *9323:LO 0.000178818
3 *8907:B *9322:D 0
*RES
1 *9323:LO *9322:D 30.533
*END
*D_NET *673 0.00145425
*CONN
*I *9320:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9321:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9320:D 0.000378961
2 *9321:LO 0.000378961
3 *8905:B *9320:D 9.97744e-05
4 *8905:D *9320:D 0.000151333
5 *131:17 *9320:D 0.000158512
6 *290:11 *9320:D 0.000286706
*RES
1 *9321:LO *9320:D 38.1402
*END
*D_NET *674 0.000435982
*CONN
*I *9318:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9319:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9318:D 0.00013445
2 *9319:LO 0.00013445
3 *9318:D *9314:D 0.00015304
4 *8907:D *9318:D 0
5 *8910:C *9318:D 1.40415e-05
*RES
1 *9319:LO *9318:D 30.2473
*END
*D_NET *675 0.000384851
*CONN
*I *9316:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9317:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9316:D 0.00016481
2 *9317:LO 0.00016481
3 *8910:A *9316:D 0
4 *1:23 *9316:D 5.52302e-05
*RES
1 *9317:LO *9316:D 30.2473
*END
*D_NET *676 0.00120162
*CONN
*I *9314:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9315:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9314:D 0.000486991
2 *9315:LO 0.000486991
3 *8910:C *9314:D 4.05411e-05
4 *9314:CLK *9314:D 1.64343e-05
5 *9318:D *9314:D 0.00015304
6 *290:20 *9314:D 1.76204e-05
7 *314:8 *9314:D 0
8 *314:13 *9314:D 0
*RES
1 *9315:LO *9314:D 36.2295
*END
*D_NET *677 0.000304699
*CONN
*I *9312:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9313:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9312:D 0.000116237
2 *9313:LO 0.000116237
3 *314:13 *9312:D 7.22257e-05
*RES
1 *9313:LO *9312:D 30.0152
*END
*D_NET *678 0.00163283
*CONN
*I *9310:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9311:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9310:D 0.000384438
2 *9311:LO 0.000384438
3 *8908:C *9310:D 0
4 *8908:D *9310:D 4.87669e-06
5 *8909:D *9310:D 0.000511901
6 *290:11 *9310:D 0.000226954
7 *308:10 *9310:D 3.75052e-05
8 *308:14 *9310:D 8.27217e-05
*RES
1 *9311:LO *9310:D 38.1402
*END
*D_NET *679 0.000329369
*CONN
*I *9308:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9309:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9308:D 0.000156468
2 *9309:LO 0.000156468
3 *9308:D *9306:D 0
4 *9308:CLK *9308:D 1.64343e-05
5 *308:10 *9308:D 0
*RES
1 *9309:LO *9308:D 30.0152
*END
*D_NET *680 0.00189906
*CONN
*I *9306:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9307:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9306:D 0.000594175
2 *9307:LO 0.000594175
3 *9306:D *9304:D 4.79842e-05
4 *9308:D *9306:D 0
5 *290:11 *9306:D 0.000662725
*RES
1 *9307:LO *9306:D 36.7384
*END
*D_NET *681 0.000733121
*CONN
*I *9304:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9305:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9304:D 0.000312814
2 *9305:LO 0.000312814
3 *9304:CLK *9304:D 5.95099e-05
4 *9306:D *9304:D 4.79842e-05
*RES
1 *9305:LO *9304:D 33.4616
*END
*D_NET *682 0.000496835
*CONN
*I *9647:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9648:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9647:D 0.000246351
2 *9648:LO 0.000246351
3 *9647:CLK *9647:D 4.1331e-06
*RES
1 *9648:LO *9647:D 31.0688
*END
*D_NET *683 0.000602103
*CONN
*I *9297:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9298:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9297:D 0.000266099
2 *9298:LO 0.000266099
3 *319:24 *9297:D 6.99051e-05
*RES
1 *9298:LO *9297:D 31.35
*END
*D_NET *684 0.00150478
*CONN
*I *9295:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9296:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9295:D 0.000654393
2 *9296:LO 0.000654393
3 *9295:D *9289:D 5.5855e-05
4 *9295:D *9293:D 4.41574e-05
5 *8919:D *9295:D 1.76204e-05
6 *9289:CLK *9295:D 4.9997e-05
7 *300:77 *9295:D 1.19309e-05
8 *300:85 *9295:D 1.64343e-05
*RES
1 *9296:LO *9295:D 36.7116
*END
*D_NET *685 0.00181672
*CONN
*I *9293:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9294:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9293:D 0.000749137
2 *9294:LO 0.000749137
3 *8918:B *9293:D 0
4 *9289:CLK *9293:D 0.000274288
5 *9295:D *9293:D 4.41574e-05
*RES
1 *9294:LO *9293:D 39.2295
*END
*D_NET *686 0.000431041
*CONN
*I *9291:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9292:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9291:D 0.00014515
2 *9292:LO 0.00014515
3 *9289:CLK *9291:D 4.46186e-06
4 *320:77 *9291:D 0.000136279
*RES
1 *9292:LO *9291:D 30.2473
*END
*D_NET *687 0.000998979
*CONN
*I *9289:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9290:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9289:D 0.000351774
2 *9290:LO 0.000351774
3 *9289:CLK *9289:D 0.000147136
4 *9295:D *9289:D 5.5855e-05
5 *300:77 *9289:D 9.24395e-05
*RES
1 *9290:LO *9289:D 34.9259
*END
*D_NET *688 0.00133471
*CONN
*I *9287:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9288:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9287:D 0.000525548
2 *9288:LO 0.000525548
3 *8915:A *9287:D 0
4 *8915:C *9287:D 0
5 *8915:D *9287:D 4.79842e-05
6 *9287:CLK *9287:D 0.000154027
7 *292:130 *9287:D 8.16036e-05
*RES
1 *9288:LO *9287:D 38.0866
*END
*D_NET *689 0.00107539
*CONN
*I *9285:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9286:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9285:D 0.000428219
2 *9286:LO 0.000428219
3 *9285:D *9283:D 0.000151333
4 *8915:A *9285:D 0
5 *143:10 *9285:D 6.76152e-05
*RES
1 *9286:LO *9285:D 35.7473
*END
*D_NET *690 0.00141185
*CONN
*I *9283:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9284:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9283:D 0.000622206
2 *9284:LO 0.000622206
3 *8915:A *9283:D 0
4 *8919:A *9283:D 1.61086e-05
5 *9285:D *9283:D 0.000151333
*RES
1 *9284:LO *9283:D 37.4616
*END
*D_NET *691 0.00124718
*CONN
*I *9281:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9282:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9281:D 0.000287091
2 *9282:LO 0.000287091
3 *9281:CLK *9281:D 0.000141734
4 *9285:CLK *9281:D 0.000173804
5 *292:130 *9281:D 0.000302237
6 *300:5 *9281:D 5.52238e-05
*RES
1 *9282:LO *9281:D 34.5286
*END
*D_NET *692 0.000352903
*CONN
*I *9279:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9280:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9279:D 0.000176451
2 *9280:LO 0.000176451
3 *8916:B *9279:D 0
*RES
1 *9280:LO *9279:D 30.2473
*END
*D_NET *693 0.000613579
*CONN
*I *9645:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9646:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9645:D 0.000243256
2 *9646:LO 0.000243256
3 *294:28 *9645:D 0.000127067
*RES
1 *9646:LO *9645:D 22.7116
*END
*D_NET *694 0.000714902
*CONN
*I *9277:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9278:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9277:D 0.000346955
2 *9278:LO 0.000346955
3 *8917:D *9277:D 0
4 *9277:CLK *9277:D 0
5 *300:34 *9277:D 2.09915e-05
*RES
1 *9278:LO *9277:D 32.7116
*END
*D_NET *695 0.00030352
*CONN
*I *9275:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9276:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9275:D 0.000115647
2 *9276:LO 0.000115647
3 *300:47 *9275:D 1.64343e-05
4 *300:52 *9275:D 0
5 *300:77 *9275:D 5.57914e-05
*RES
1 *9276:LO *9275:D 30.0152
*END
*D_NET *696 0.000357344
*CONN
*I *9273:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9274:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9273:D 0.000172706
2 *9274:LO 0.000172706
3 *320:108 *9273:D 0
4 *320:111 *9273:D 1.19309e-05
*RES
1 *9274:LO *9273:D 30.2473
*END
*D_NET *697 0.0010856
*CONN
*I *9271:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9272:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9271:D 0.000405749
2 *9272:LO 0.000405749
3 *8917:C *9271:D 6.26466e-05
4 *9277:CLK *9271:D 3.17148e-05
5 *300:34 *9271:D 5.33005e-05
6 *300:45 *9271:D 0.000126439
7 *300:77 *9271:D 0
*RES
1 *9272:LO *9271:D 35.2295
*END
*D_NET *698 0.00145813
*CONN
*I *9269:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9270:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9269:D 0.000577708
2 *9270:LO 0.000577708
3 *8913:B *9269:D 2.56601e-05
4 *8913:C *9269:D 9.60875e-05
5 *8913:D *9269:D 0.000180962
*RES
1 *9270:LO *9269:D 37.4795
*END
*D_NET *699 0.000517299
*CONN
*I *9267:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9268:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9267:D 0.000231034
2 *9268:LO 0.000231034
3 *9267:CLK *9267:D 5.52302e-05
*RES
1 *9268:LO *9267:D 22.3009
*END
*D_NET *700 0.00047166
*CONN
*I *9265:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9266:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9265:D 0.000151943
2 *9266:LO 0.000151943
3 *9265:CLK *9265:D 0.000167774
*RES
1 *9266:LO *9265:D 30.5509
*END
*D_NET *701 0.000466267
*CONN
*I *9263:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9264:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9263:D 0.000233133
2 *9264:LO 0.000233133
3 *9263:D *9257:D 0
4 *9257:CLK *9263:D 0
*RES
1 *9264:LO *9263:D 31.533
*END
*D_NET *702 0.000248527
*CONN
*I *9261:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9262:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9261:D 0.000117284
2 *9262:LO 0.000117284
3 *8914:D *9261:D 9.82585e-06
4 *9261:CLK *9261:D 4.1331e-06
*RES
1 *9262:LO *9261:D 29.7116
*END
*D_NET *703 0.00145225
*CONN
*I *9259:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9260:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9259:D 0.000698511
2 *9260:LO 0.000698511
3 *8914:B *9259:D 5.52238e-05
*RES
1 *9260:LO *9259:D 38.9259
*END
*D_NET *704 0.00101242
*CONN
*I *9643:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9644:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9643:D 0.000428438
2 *9644:LO 0.000428438
3 *9637:CLK *9643:D 0
4 *9643:CLK *9643:D 2.89016e-05
5 *319:113 *9643:D 0.000126639
*RES
1 *9644:LO *9643:D 35.0509
*END
*D_NET *705 0.000658294
*CONN
*I *9257:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9258:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9257:D 0.000329147
2 *9258:LO 0.000329147
3 *9263:D *9257:D 0
*RES
1 *9258:LO *9257:D 32.9973
*END
*D_NET *706 0.000835403
*CONN
*I *9255:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9256:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9255:D 0.000323089
2 *9256:LO 0.000323089
3 *9263:CLK *9255:D 0.000178976
4 *319:24 *9255:D 1.02504e-05
*RES
1 *9256:LO *9255:D 32.5821
*END
*D_NET *707 0.000325026
*CONN
*I *9253:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9254:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9253:D 0.000113494
2 *9254:LO 0.000113494
3 *9261:CLK *9253:D 8.16036e-05
4 *299:40 *9253:D 1.64343e-05
*RES
1 *9254:LO *9253:D 30.0152
*END
*D_NET *708 0.000643483
*CONN
*I *9245:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9246:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9245:D 0.000176027
2 *9246:LO 0.000176027
3 *310:22 *9245:D 0.000116676
4 *310:24 *9245:D 9.90431e-05
5 *310:38 *9245:D 7.57098e-05
*RES
1 *9246:LO *9245:D 22.3009
*END
*D_NET *709 0.000253666
*CONN
*I *9243:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9244:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9243:D 0.000102841
2 *9244:LO 0.000102841
3 *9243:CLK *9243:D 4.79842e-05
*RES
1 *9244:LO *9243:D 29.7116
*END
*D_NET *710 0.00103278
*CONN
*I *9241:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9242:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9241:D 0.000516391
2 *9242:LO 0.000516391
3 *8924:A *9241:D 0
4 *8925:C *9241:D 0
*RES
1 *9242:LO *9241:D 36.283
*END
*D_NET *711 0.00027232
*CONN
*I *9239:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9240:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9239:D 9.5358e-05
2 *9240:LO 9.5358e-05
3 *291:136 *9239:D 8.16036e-05
*RES
1 *9240:LO *9239:D 29.7116
*END
*D_NET *712 0.00114662
*CONN
*I *9237:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9238:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9237:D 0.000371954
2 *9238:LO 0.000371954
3 *9237:D *9235:D 0.000154089
4 *9239:CLK *9237:D 2.31791e-05
5 *311:54 *9237:D 0.000225441
*RES
1 *9238:LO *9237:D 34.8902
*END
*D_NET *713 0.000612904
*CONN
*I *9235:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9236:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9235:D 0.000222356
2 *9236:LO 0.000222356
3 *9237:D *9235:D 0.000154089
4 *311:54 *9235:D 1.41029e-05
*RES
1 *9236:LO *9235:D 31.5866
*END
*D_NET *714 0.000517285
*CONN
*I *9233:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9234:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9233:D 0.000258643
2 *9234:LO 0.000258643
3 *9233:D *9231:D 0
4 *291:174 *9233:D 0
*RES
1 *9234:LO *9233:D 31.7652
*END
*D_NET *715 0.00389084
*CONN
*I *9699:A I *D sky130_fd_sc_hd__buf_2
*I *9461:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *9699:A 0
2 *9461:Q 0.00194542
3 *715:8 0.00194542
4 *715:8 *9556:D 0
*RES
1 *9461:Q *715:8 48.675
2 *715:8 *9699:A 13.8
*END
*D_NET *716 0.000366132
*CONN
*I *9641:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9642:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9641:D 0.000168775
2 *9642:LO 0.000168775
3 *9641:D *9639:D 2.85826e-05
*RES
1 *9642:LO *9641:D 30.533
*END
*D_NET *717 0.000899429
*CONN
*I *9231:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9232:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9231:D 0.000319132
2 *9232:LO 0.000319132
3 *9231:D *9229:D 0
4 *9233:CLK *9231:D 0.000227729
5 *9233:D *9231:D 0
6 *291:174 *9231:D 0
7 *309:77 *9231:D 3.34366e-05
*RES
1 *9232:LO *9231:D 33.9973
*END
*D_NET *718 0.00187633
*CONN
*I *9229:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9230:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9229:D 0.000633728
2 *9230:LO 0.000633728
3 *9229:D *9227:D 0.000293408
4 *9229:CLK *9229:D 8.42801e-05
5 *9231:D *9229:D 0
6 *9233:CLK *9229:D 8.30773e-05
7 *309:57 *9229:D 2.8266e-06
8 *309:68 *9229:D 8.99419e-05
9 *309:77 *9229:D 5.53406e-05
*RES
1 *9230:LO *9229:D 41.3187
*END
*D_NET *719 0.00133053
*CONN
*I *9227:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9228:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9227:D 0.000364131
2 *9228:LO 0.000364131
3 *8930:D *9227:D 0.00025364
4 *9227:CLK *9227:D 5.52238e-05
5 *9229:D *9227:D 0.000293408
*RES
1 *9228:LO *9227:D 35.1759
*END
*D_NET *720 0.000806881
*CONN
*I *9225:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9226:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9225:D 0.000231124
2 *9226:LO 0.000231124
3 *8930:A *9225:D 0.000174195
4 *8930:B *9225:D 0
5 *309:128 *9225:D 0.000170438
*RES
1 *9226:LO *9225:D 32.475
*END
*D_NET *721 0.000249437
*CONN
*I *9223:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9224:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9223:D 0.000124718
2 *9224:LO 0.000124718
3 *9223:D *9219:D 0
*RES
1 *9224:LO *9223:D 29.7116
*END
*D_NET *722 0.00187413
*CONN
*I *9221:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9222:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9221:D 0.000746204
2 *9222:LO 0.000746204
3 *8926:A *9221:D 0
4 *8926:B *9221:D 0
5 *8926:D *9221:D 0.00038172
*RES
1 *9222:LO *9221:D 41.5509
*END
*D_NET *723 0.000831205
*CONN
*I *9219:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9220:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9219:D 0.000415603
2 *9220:LO 0.000415603
3 *8926:B *9219:D 0
4 *9223:CLK *9219:D 0
5 *9223:D *9219:D 0
*RES
1 *9220:LO *9219:D 34.8009
*END
*D_NET *724 0.0013947
*CONN
*I *9217:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9218:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9217:D 0.000425875
2 *9218:LO 0.000425875
3 *8928:A *9217:D 0
4 *8928:C *9217:D 7.95581e-05
5 *8928:D *9217:D 5.33786e-05
6 *8930:C *9217:D 0
7 *153:8 *9217:D 0
8 *309:17 *9217:D 0.000410013
*RES
1 *9218:LO *9217:D 36.7473
*END
*D_NET *725 0.000427574
*CONN
*I *9215:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9216:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9215:D 0.000131281
2 *9216:LO 0.000131281
3 *9215:D *9211:D 0
4 *309:37 *9215:D 0.000129868
5 *309:44 *9215:D 3.51442e-05
*RES
1 *9216:LO *9215:D 30.4036
*END
*D_NET *726 0.00071125
*CONN
*I *9213:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9214:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9213:D 0.000327051
2 *9214:LO 0.000327051
3 *9213:CLK *9213:D 5.71472e-05
4 *309:44 *9213:D 0
*RES
1 *9214:LO *9213:D 32.8187
*END
*D_NET *727 0.00123253
*CONN
*I *9639:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9640:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9639:D 0.000599533
2 *9640:LO 0.000599533
3 *9637:CLK *9639:D 0
4 *9641:CLK *9639:D 0
5 *9641:D *9639:D 2.85826e-05
6 *319:111 *9639:D 4.87669e-06
*RES
1 *9640:LO *9639:D 35.1759
*END
*D_NET *728 0.0011009
*CONN
*I *9211:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9212:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9211:D 0.000550449
2 *9212:LO 0.000550449
3 *9211:CLK *9211:D 0
4 *9215:D *9211:D 0
5 *309:37 *9211:D 0
6 *309:44 *9211:D 0
7 *309:57 *9211:D 0
*RES
1 *9212:LO *9211:D 36.8009
*END
*D_NET *729 0.000590013
*CONN
*I *9209:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9210:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9209:D 0.00023079
2 *9210:LO 0.00023079
3 *8929:C *9209:D 5.33882e-05
4 *8929:D *9209:D 3.32132e-06
5 *9205:CLK *9209:D 0
6 *291:134 *9209:D 1.5942e-05
7 *291:136 *9209:D 5.5782e-05
*RES
1 *9210:LO *9209:D 32.0687
*END
*D_NET *730 0.000843137
*CONN
*I *9207:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9208:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9207:D 0.000278625
2 *9208:LO 0.000278625
3 *9207:CLK *9207:D 0.000101117
4 *291:134 *9207:D 0
5 *313:10 *9207:D 0.000153054
6 *313:12 *9207:D 3.17148e-05
*RES
1 *9208:LO *9207:D 33.0152
*END
*D_NET *731 0.0010624
*CONN
*I *9205:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9206:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9205:D 0.000381924
2 *9206:LO 0.000381924
3 *9205:D *9203:D 0
4 *9205:CLK *9205:D 0.000108883
5 *313:10 *9205:D 7.48356e-05
6 *313:12 *9205:D 0.000114829
*RES
1 *9206:LO *9205:D 35.408
*END
*D_NET *732 0.000383456
*CONN
*I *9203:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9204:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9203:D 0.000161973
2 *9204:LO 0.000161973
3 *9203:CLK *9203:D 5.95099e-05
4 *9205:D *9203:D 0
*RES
1 *9204:LO *9203:D 30.4259
*END
*D_NET *733 0.00075242
*CONN
*I *9194:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9195:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9194:D 0.00037621
2 *9195:LO 0.00037621
3 *8934:A *9194:D 0
4 *9186:CLK *9194:D 0
5 *315:6 *9194:D 0
*RES
1 *9195:LO *9194:D 33.975
*END
*D_NET *734 0.000492453
*CONN
*I *9192:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9193:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9192:D 0.000165627
2 *9193:LO 0.000165627
3 *9156:CLK *9192:D 1.41706e-05
4 *315:31 *9192:D 9.90431e-05
5 *315:32 *9192:D 4.79842e-05
*RES
1 *9193:LO *9192:D 30.658
*END
*D_NET *735 0.000362662
*CONN
*I *9190:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9191:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9190:D 0.000150253
2 *9191:LO 0.000150253
3 *315:48 *9190:D 1.41706e-05
4 *315:55 *9190:D 4.79842e-05
*RES
1 *9191:LO *9190:D 30.2473
*END
*D_NET *736 0.000256934
*CONN
*I *9188:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9189:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9188:D 9.73896e-05
2 *9189:LO 9.73896e-05
3 *9153:CLK *9188:D 4.79842e-05
4 *315:55 *9188:D 1.41706e-05
*RES
1 *9189:LO *9188:D 29.7116
*END
*D_NET *737 0.00107418
*CONN
*I *9186:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9187:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9186:D 0.000537092
2 *9187:LO 0.000537092
*RES
1 *9187:LO *9186:D 34.6357
*END
*D_NET *738 0.00051627
*CONN
*I *9637:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9638:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9637:D 0.000186189
2 *9638:LO 0.000186189
3 *8949:B *9637:D 8.67451e-05
4 *9637:CLK *9637:D 5.71472e-05
*RES
1 *9638:LO *9637:D 22.3009
*END
*D_NET *739 0.000756632
*CONN
*I *9184:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9185:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9184:D 0.00034457
2 *9185:LO 0.00034457
3 *9689:A *9184:D 6.74911e-05
*RES
1 *9185:LO *9184:D 33.5286
*END
*D_NET *740 0.000730455
*CONN
*I *9182:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9183:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9182:D 0.000253255
2 *9183:LO 0.000253255
3 *9182:CLK *9182:D 7.49332e-05
4 *9689:A *9182:D 0
5 *162:13 *9182:D 2.89016e-05
6 *316:16 *9182:D 0.00012011
*RES
1 *9183:LO *9182:D 33.283
*END
*D_NET *741 0.000570508
*CONN
*I *9180:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9181:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9180:D 0.000285254
2 *9181:LO 0.000285254
3 *291:10 *9180:D 0
4 *315:81 *9180:D 0
*RES
1 *9181:LO *9180:D 32.1759
*END
*D_NET *742 0.000248745
*CONN
*I *9178:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9179:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9178:D 0.000124373
2 *9179:LO 0.000124373
3 *291:10 *9178:D 0
*RES
1 *9179:LO *9178:D 29.7116
*END
*D_NET *743 0.000532822
*CONN
*I *9176:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9177:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9176:D 0.000138222
2 *9177:LO 0.000138222
3 *9176:CLK *9176:D 0.000103323
4 *291:10 *9176:D 0
5 *317:16 *9176:D 0.000153054
*RES
1 *9177:LO *9176:D 30.8366
*END
*D_NET *744 0.000611631
*CONN
*I *9174:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9175:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9174:D 0.00013275
2 *9175:LO 0.00013275
3 *8938:D *9174:D 0.000346131
*RES
1 *9175:LO *9174:D 22.3009
*END
*D_NET *745 0.00163621
*CONN
*I *9172:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9173:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9172:D 0.000551774
2 *9173:LO 0.000551774
3 *9172:D *9170:D 0.000532658
4 *9168:CLK *9172:D 0
5 *9172:CLK *9172:D 0
*RES
1 *9173:LO *9172:D 39.4259
*END
*D_NET *746 0.00172997
*CONN
*I *9170:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9171:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9170:D 0.000519232
2 *9171:LO 0.000519232
3 *9168:CLK *9170:D 1.19402e-05
4 *9172:CLK *9170:D 0.000146908
5 *9172:D *9170:D 0.000532658
*RES
1 *9171:LO *9170:D 37.9795
*END
*D_NET *747 0.00090071
*CONN
*I *9168:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9169:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9168:D 0.000387041
2 *9169:LO 0.000387041
3 *8936:B *9168:D 0.000126629
*RES
1 *9169:LO *9168:D 25.7473
*END
*D_NET *748 0.000552748
*CONN
*I *9166:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9167:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9166:D 0.000161136
2 *9167:LO 0.000161136
3 *317:40 *9166:D 0.000230475
*RES
1 *9167:LO *9166:D 21.9214
*END
*D_NET *749 0.000340215
*CONN
*I *9635:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9636:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9635:D 0.000161891
2 *9636:LO 0.000161891
3 *9635:CLK *9635:D 1.64343e-05
*RES
1 *9636:LO *9635:D 30.2473
*END
*D_NET *750 0.000459079
*CONN
*I *9164:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9165:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9164:D 0.000162467
2 *9165:LO 0.000162467
3 *9164:D *9162:D 0
4 *9164:CLK *9164:D 6.05161e-06
5 *162:13 *9164:D 0.000128093
*RES
1 *9165:LO *9164:D 30.7652
*END
*D_NET *751 0.000802407
*CONN
*I *9162:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9163:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9162:D 0.000390327
2 *9163:LO 0.000390327
3 *8939:B *9162:D 0
4 *9164:D *9162:D 0
5 *162:13 *9162:D 0
6 *317:11 *9162:D 1.76204e-05
7 *317:16 *9162:D 4.1331e-06
*RES
1 *9163:LO *9162:D 34.5687
*END
*D_NET *752 0.000253294
*CONN
*I *9160:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9161:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9160:D 0.000126647
2 *9161:LO 0.000126647
3 *8937:B *9160:D 0
*RES
1 *9161:LO *9160:D 29.7116
*END
*D_NET *753 0.000569976
*CONN
*I *9158:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9159:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9158:D 0.00016298
2 *9159:LO 0.00016298
3 *8937:A *9158:D 2.12451e-06
4 *9158:CLK *9158:D 0.00014285
5 *317:65 *9158:D 9.90431e-05
*RES
1 *9159:LO *9158:D 21.9214
*END
*D_NET *754 0.000253017
*CONN
*I *9156:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9157:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9156:D 0.000126508
2 *9157:LO 0.000126508
3 *9156:CLK *9156:D 0
*RES
1 *9157:LO *9156:D 29.7116
*END
*D_NET *755 0.00106882
*CONN
*I *9154:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9155:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9154:D 0.000259076
2 *9155:LO 0.000259076
3 *8934:D *9154:D 0.000164959
4 *315:11 *9154:D 1.64343e-05
5 *315:31 *9154:D 0.000369273
*RES
1 *9155:LO *9154:D 33.1937
*END
*D_NET *756 0.000251278
*CONN
*I *9633:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9634:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9633:D 9.66279e-05
2 *9634:LO 9.66279e-05
3 *292:207 *9633:D 0
4 *318:24 *9633:D 5.80217e-05
*RES
1 *9634:LO *9633:D 29.7116
*END
*D_NET *757 0.000602524
*CONN
*I *9631:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9632:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9631:D 0.000261618
2 *9632:LO 0.000261618
3 *292:207 *9631:D 0
4 *318:24 *9631:D 7.92879e-05
*RES
1 *9632:LO *9631:D 31.4795
*END
*D_NET *758 0.00025682
*CONN
*I *9629:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9630:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9629:D 0.000104418
2 *9630:LO 0.000104418
3 *9629:CLK *9629:D 4.79842e-05
4 *292:207 *9629:D 0
*RES
1 *9630:LO *9629:D 29.7116
*END
*D_NET *759 0.000870399
*CONN
*I *9627:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9628:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9627:D 0.000408533
2 *9628:LO 0.000408533
3 *8947:B *9627:D 5.33334e-05
*RES
1 *9628:LO *9627:D 25.7473
*END
*D_NET *760 0.00103673
*CONN
*I *9625:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9626:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9625:D 0.000424389
2 *9626:LO 0.000424389
3 *8947:A *9625:D 1.24368e-05
4 *8947:D *9625:D 0.000175519
*RES
1 *9626:LO *9625:D 34.9437
*END
*D_NET *761 0.000926611
*CONN
*I *9623:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9624:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9623:D 0.000368938
2 *9624:LO 0.000368938
3 *9625:CLK *9623:D 9.90431e-05
4 *319:80 *9623:D 9.69119e-06
5 *319:89 *9623:D 7.58664e-05
6 *319:98 *9623:D 4.1331e-06
*RES
1 *9624:LO *9623:D 34.4437
*END
*D_NET *762 0.00757658
*CONN
*I *9700:A I *D sky130_fd_sc_hd__buf_2
*I *9352:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *9700:A 0.000946683
2 *9352:Q 0
3 *762:6 0.0036997
4 *762:5 0.00275301
5 cout2 *9700:A 0.000177191
*RES
1 *9352:Q *762:5 13.8
2 *762:5 *762:6 50.5179
3 *762:6 *9700:A 30.7643
*END
*D_NET *763 0.000328455
*CONN
*I *9621:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9622:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9621:D 0.000135216
2 *9622:LO 0.000135216
3 *319:13 *9621:D 5.80217e-05
*RES
1 *9622:LO *9621:D 30.2473
*END
*D_NET *764 0.00172028
*CONN
*I *9610:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9611:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9610:D 0.0005868
2 *9611:LO 0.0005868
3 *9610:D *9574:D 0.000177948
4 *8955:C *9610:D 0
5 *9574:CLK *9610:D 0
6 *292:36 *9610:D 9.31055e-05
7 *302:15 *9610:D 0.000216121
8 *302:17 *9610:D 5.95099e-05
*RES
1 *9611:LO *9610:D 39.9259
*END
*D_NET *765 0.000246324
*CONN
*I *9608:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9609:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9608:D 0.000121095
2 *9609:LO 0.000121095
3 *9608:CLK *9608:D 4.1331e-06
*RES
1 *9609:LO *9608:D 29.7116
*END
*D_NET *766 0.000353404
*CONN
*I *9606:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9607:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9606:D 0.000174636
2 *9607:LO 0.000174636
3 *8953:D *9606:D 0
4 *9606:CLK *9606:D 4.1331e-06
*RES
1 *9607:LO *9606:D 30.2473
*END
*D_NET *767 0.000244907
*CONN
*I *9604:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9605:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9604:D 0.000122453
2 *9605:LO 0.000122453
3 *302:116 *9604:D 0
*RES
1 *9605:LO *9604:D 29.7116
*END
*D_NET *768 0.000976773
*CONN
*I *9602:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9603:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9602:D 0.000384035
2 *9603:LO 0.000384035
3 *8953:D *9602:D 3.63866e-05
4 *9606:CLK *9602:D 1.76039e-05
5 *9608:CLK *9602:D 6.51946e-05
6 *303:58 *9602:D 8.95186e-05
*RES
1 *9603:LO *9602:D 34.2429
*END
*D_NET *769 0.000830549
*CONN
*I *9600:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9601:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9600:D 0.000337096
2 *9601:LO 0.000337096
3 *9600:D *9596:D 8.05006e-05
4 *8952:D *9600:D 0
5 *9600:CLK *9600:D 7.58571e-05
*RES
1 *9601:LO *9600:D 34.5687
*END
*D_NET *770 0.000293218
*CONN
*I *9598:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9599:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9598:D 0.000102772
2 *9599:LO 0.000102772
3 *9598:D *9596:D 4.87669e-06
4 *303:10 *9598:D 7.83366e-05
5 *303:12 *9598:D 4.46186e-06
*RES
1 *9599:LO *9598:D 29.7116
*END
*D_NET *771 0.00201124
*CONN
*I *9596:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9597:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9596:D 0.000819592
2 *9597:LO 0.000819592
3 *8952:A *9596:D 0
4 *8952:B *9596:D 9.90367e-05
5 *8952:C *9596:D 0
6 *8952:D *9596:D 0.000168365
7 *8954:A *9596:D 0
8 *9598:CLK *9596:D 1.92789e-05
9 *9598:D *9596:D 4.87669e-06
10 *9600:D *9596:D 8.05006e-05
*RES
1 *9597:LO *9596:D 40.3366
*END
*D_NET *772 0.000635901
*CONN
*I *9594:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9595:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9594:D 0.000281445
2 *9595:LO 0.000281445
3 *9355:CLK *9594:D 6.81335e-05
4 *9594:CLK *9594:D 4.87669e-06
5 *292:54 *9594:D 0
*RES
1 *9595:LO *9594:D 31.4795
*END
*D_NET *773 0.00398913
*CONN
*I *9701:A I *D sky130_fd_sc_hd__buf_2
*I *9299:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *9701:A 0
2 *9299:Q 0.00194615
3 *773:8 0.00194615
4 *9299:CLK *773:8 9.68208e-05
*RES
1 *9299:Q *773:8 48.3
2 *773:8 *9701:A 13.8
*END
*D_NET *774 0.00138744
*CONN
*I *9592:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9593:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9592:D 0.000357276
2 *9593:LO 0.000357276
3 *8957:A *9592:D 0
4 *9592:CLK *9592:D 0.00067289
*RES
1 *9593:LO *9592:D 36.1759
*END
*D_NET *775 0.000908256
*CONN
*I *9590:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9591:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9590:D 0.000454128
2 *9591:LO 0.000454128
3 *9590:D *9588:D 0
*RES
1 *9591:LO *9590:D 35.408
*END
*D_NET *776 0.000650605
*CONN
*I *9588:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9589:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9588:D 0.000325303
2 *9589:LO 0.000325303
3 *9590:D *9588:D 0
*RES
1 *9589:LO *9588:D 32.8902
*END
*D_NET *777 0.000525269
*CONN
*I *9586:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9587:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9586:D 0.000262634
2 *9587:LO 0.000262634
*RES
1 *9587:LO *9586:D 22.3009
*END
*D_NET *778 0.00198342
*CONN
*I *9584:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9585:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9584:D 0.000602808
2 *9585:LO 0.000602808
3 *9584:D *9578:D 4.46186e-06
4 *9584:D *9580:D 1.76204e-05
5 *8956:A *9584:D 5.56943e-05
6 *8956:B *9584:D 4.06875e-05
7 *8956:C *9584:D 0.000149489
8 *8956:D *9584:D 0.000360894
9 *9580:CLK *9584:D 8.21467e-05
10 *9584:CLK *9584:D 1.64343e-05
11 *9592:CLK *9584:D 5.03772e-05
*RES
1 *9585:LO *9584:D 41.1937
*END
*D_NET *779 0.000396958
*CONN
*I *9582:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9583:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9582:D 0.000121952
2 *9583:LO 0.000121952
3 *9582:D *9580:D 0.000153054
*RES
1 *9583:LO *9582:D 30.1223
*END
*D_NET *780 0.00114187
*CONN
*I *9580:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9581:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9580:D 0.000419607
2 *9581:LO 0.000419607
3 *8956:D *9580:D 0
4 *8957:A *9580:D 0
5 *8958:C *9580:D 0
6 *9578:CLK *9580:D 0
7 *9582:CLK *9580:D 0.000131985
8 *9582:D *9580:D 0.000153054
9 *9584:D *9580:D 1.76204e-05
*RES
1 *9581:LO *9580:D 36.6937
*END
*D_NET *781 0.00157627
*CONN
*I *9578:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9579:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9578:D 0.000672357
2 *9579:LO 0.000672357
3 *8956:B *9578:D 0.000173797
4 *9584:CLK *9578:D 5.33005e-05
5 *9584:D *9578:D 4.46186e-06
*RES
1 *9579:LO *9578:D 38.3545
*END
*D_NET *782 0.00147356
*CONN
*I *9576:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9577:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9576:D 0.000692023
2 *9577:LO 0.000692023
3 *302:13 *9576:D 8.95186e-05
*RES
1 *9577:LO *9576:D 36.6893
*END
*D_NET *783 0.000730873
*CONN
*I *9574:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9575:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9574:D 0.000184754
2 *9575:LO 0.000184754
3 *9574:CLK *9574:D 2.09846e-05
4 *9610:D *9574:D 0.000177948
5 *292:36 *9574:D 0.000162433
*RES
1 *9575:LO *9574:D 32.0687
*END
*D_NET *784 0.000227481
*CONN
*I *9702:A I *D sky130_fd_sc_hd__buf_2
*I *9247:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *9702:A 9.2945e-05
2 *9247:Q 9.2945e-05
3 cout4 *9702:A 4.15914e-05
*RES
1 *9247:Q *9702:A 29.5464
*END
*D_NET *785 0.00170516
*CONN
*I *9562:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9563:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9562:D 0.000634373
2 *9563:LO 0.000634373
3 *9562:D *9560:D 0.000153054
4 *9560:CLK *9562:D 0
5 *9562:CLK *9562:D 9.47712e-05
6 *9685:A *9562:D 0.000188586
7 *14:11 *9562:D 0
8 *180:9 *9562:D 0
9 *312:67 *9562:D 0
*RES
1 *9563:LO *9562:D 39.4437
*END
*D_NET *786 0.00032947
*CONN
*I *9560:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9561:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9560:D 6.42155e-05
2 *9561:LO 6.42155e-05
3 *9560:CLK *9560:D 4.79842e-05
4 *9562:D *9560:D 0.000153054
5 *14:11 *9560:D 0
*RES
1 *9561:LO *9560:D 29.7116
*END
*D_NET *787 0.00105803
*CONN
*I *9558:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9559:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9558:D 0.000425786
2 *9559:LO 0.000425786
3 *8960:B *9558:D 5.93177e-05
4 *8960:C *9558:D 0
5 *8960:D *9558:D 0
6 *312:8 *9558:D 0
7 *312:11 *9558:D 0.000147136
*RES
1 *9559:LO *9558:D 36.1045
*END
*D_NET *788 0.00146436
*CONN
*I *9556:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9557:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9556:D 0.00044533
2 *9557:LO 0.00044533
3 *8960:D *9556:D 0
4 *9461:CLK *9556:D 0.000126439
5 *9556:CLK *9556:D 0.00039396
6 *312:13 *9556:D 5.33005e-05
7 *715:8 *9556:D 0
*RES
1 *9557:LO *9556:D 37.1045
*END
*D_NET *789 0.000972052
*CONN
*I *9554:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9555:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9554:D 0.000411181
2 *9555:LO 0.000411181
3 *8960:C *9554:D 0.00014969
*RES
1 *9555:LO *9554:D 26.158
*END
*D_NET *790 0.000302144
*CONN
*I *9552:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9553:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9552:D 0.000142855
2 *9553:LO 0.000142855
3 *9552:CLK *9552:D 1.64343e-05
4 *9554:CLK *9552:D 0
*RES
1 *9553:LO *9552:D 30.0152
*END
*D_NET *791 0.000938213
*CONN
*I *9550:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9551:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9550:D 0.000415879
2 *9551:LO 0.000415879
3 *8965:C *9550:D 0
4 *291:64 *9550:D 0.000106455
5 *291:75 *9550:D 0
*RES
1 *9551:LO *9550:D 34.1223
*END
*D_NET *792 0.000970559
*CONN
*I *9548:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9549:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9548:D 0.000316548
2 *9549:LO 0.000316548
3 *8964:D *9548:D 0
4 *9247:CLK *9548:D 0.000177941
5 *9548:CLK *9548:D 5.5782e-05
6 *304:8 *9548:D 0.000103739
*RES
1 *9549:LO *9548:D 35.1759
*END
*D_NET *793 0.000417258
*CONN
*I *9546:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9547:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9546:D 0.000126157
2 *9547:LO 0.000126157
3 *9546:D *9544:D 8.15939e-05
4 *9546:CLK *9546:D 8.33504e-05
*RES
1 *9547:LO *9546:D 30.3545
*END
*D_NET *794 0.00101387
*CONN
*I *9544:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9545:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9544:D 0.000407392
2 *9545:LO 0.000407392
3 *9544:CLK *9544:D 4.1331e-06
4 *9546:D *9544:D 8.15939e-05
5 *9548:CLK *9544:D 6.26466e-05
6 *304:8 *9544:D 5.07157e-05
*RES
1 *9545:LO *9544:D 35.5152
*END
*D_NET *795 0.00860967
*CONN
*I *9703:A I *D sky130_fd_sc_hd__buf_2
*I *9196:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *9703:A 0
2 *9196:Q 0.000920044
3 *795:9 0.00334686
4 *795:8 0.00426691
5 *9352:D *795:8 7.58571e-05
*RES
1 *9196:Q *795:8 35.7286
2 *795:8 *795:9 55.9821
3 *795:9 *9703:A 9.3
*END
*D_NET *796 0.00112284
*CONN
*I *9542:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9543:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9542:D 0.000290694
2 *9543:LO 0.000290694
3 *293:10 *9542:D 0
4 *293:41 *9542:D 0.000541451
*RES
1 *9543:LO *9542:D 34.6402
*END
*D_NET *797 0.000432753
*CONN
*I *9540:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9541:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9540:D 0.000185299
2 *9541:LO 0.000185299
3 *9544:CLK *9540:D 4.79842e-05
4 *293:62 *9540:D 1.41706e-05
*RES
1 *9541:LO *9540:D 30.658
*END
*D_NET *798 0.00124255
*CONN
*I *9538:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9539:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9538:D 0.000475806
2 *9539:LO 0.000475806
3 *8963:A *9538:D 0.000120093
4 *8963:C *9538:D 0.000170848
*RES
1 *9539:LO *9538:D 37.1045
*END
*D_NET *799 0.000891915
*CONN
*I *9536:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9537:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9536:D 0.00030631
2 *9537:LO 0.00030631
3 *293:46 *9536:D 0.000165033
4 *293:53 *9536:D 0.0001098
5 *293:62 *9536:D 4.46186e-06
*RES
1 *9537:LO *9536:D 34.2786
*END
*D_NET *800 0.000764697
*CONN
*I *9534:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9535:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9534:D 0.000317344
2 *9535:LO 0.000317344
3 *9534:CLK *9534:D 0.00013001
*RES
1 *9535:LO *9534:D 33.1223
*END
*D_NET *801 0.000667186
*CONN
*I *9532:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9533:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9532:D 0.000196449
2 *9533:LO 0.000196449
3 *9550:CLK *9532:D 0.000274288
*RES
1 *9533:LO *9532:D 22.8857
*END
*D_NET *802 0.000552772
*CONN
*I *9530:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9531:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9530:D 0.000213241
2 *9531:LO 0.000213241
3 *293:97 *9530:D 0.000126291
*RES
1 *9531:LO *9530:D 22.8857
*END
*D_NET *803 0.00126136
*CONN
*I *9528:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9529:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9528:D 0.000630682
2 *9529:LO 0.000630682
3 *8965:A *9528:D 0
4 *8965:C *9528:D 0
5 *184:12 *9528:D 0
6 *291:85 *9528:D 0
7 *293:102 *9528:D 0
*RES
1 *9529:LO *9528:D 37.8545
*END
*D_NET *804 0.00163165
*CONN
*I *9515:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9516:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9515:D 0.000339593
2 *9516:LO 0.000339593
3 *8872:A *9515:D 0
4 *8872:B *9515:D 9.91086e-05
5 *8872:D *9515:D 0.00036192
6 *100:10 *9515:D 0.000491435
*RES
1 *9516:LO *9515:D 36.283
*END
*D_NET *805 0.00202246
*CONN
*I *9513:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9514:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9513:D 0.00090871
2 *9514:LO 0.00090871
3 *8876:B *9513:D 0.000103275
4 *8876:D *9513:D 0
5 *8879:B *9513:D 0
6 *8879:C *9513:D 0
7 *305:64 *9513:D 9.33978e-07
8 *323:8 *9513:D 0.000100831
*RES
1 *9514:LO *9513:D 41.6937
*END
*D_NET *806 0.0041712
*CONN
*I *9704:A I *D sky130_fd_sc_hd__buf_2
*I *9661:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *9704:A 0.00182473
2 *9661:Q 0.000260871
3 *806:7 0.0020856
*RES
1 *9661:Q *806:7 16.8
2 *806:7 *9704:A 47.3179
*END
*D_NET *807 0.000272454
*CONN
*I *9511:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9512:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9511:D 9.3194e-05
2 *9512:LO 9.3194e-05
3 *127:10 *9511:D 0
4 *292:54 *9511:D 8.16036e-05
5 *305:87 *9511:D 4.46186e-06
*RES
1 *9512:LO *9511:D 29.7116
*END
*D_NET *808 0.000969079
*CONN
*I *9509:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9510:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9509:D 0.000238108
2 *9510:LO 0.000238108
3 *127:10 *9509:D 0
4 *292:54 *9509:D 0.000131247
5 *292:56 *9509:D 0.000153153
6 *305:75 *9509:D 0.000204001
7 *305:87 *9509:D 4.46186e-06
*RES
1 *9510:LO *9509:D 34.5687
*END
*D_NET *809 0.000814985
*CONN
*I *9507:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9508:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9507:D 0.000277467
2 *9508:LO 0.000277467
3 *127:10 *9507:D 0.000170532
4 *305:75 *9507:D 0
5 *305:129 *9507:D 8.95186e-05
*RES
1 *9508:LO *9507:D 33.0643
*END
*D_NET *810 0.00139255
*CONN
*I *9505:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9506:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9505:D 0.000367902
2 *9506:LO 0.000367902
3 *9505:D *9499:D 5.80706e-06
4 *9505:D *9501:D 0
5 *8875:D *9505:D 0
6 *9503:CLK *9505:D 0.000175519
7 *9505:CLK *9505:D 0.000274294
8 *107:14 *9505:D 0
9 *305:148 *9505:D 0.000201127
*RES
1 *9506:LO *9505:D 36.3143
*END
*D_NET *811 0.000249893
*CONN
*I *9503:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9504:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9503:D 0.000122281
2 *9504:LO 0.000122281
3 *9503:CLK *9503:D 5.33091e-06
*RES
1 *9504:LO *9503:D 29.7116
*END
*D_NET *812 0.000617873
*CONN
*I *9501:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9502:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9501:D 0.000191556
2 *9502:LO 0.000191556
3 *8879:A *9501:D 0.000234761
4 *9505:D *9501:D 0
*RES
1 *9502:LO *9501:D 31.7652
*END
*D_NET *813 0.000301596
*CONN
*I *9499:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9500:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9499:D 0.000147894
2 *9500:LO 0.000147894
3 *9505:D *9499:D 5.80706e-06
4 *107:14 *9499:D 0
*RES
1 *9500:LO *9499:D 30.1223
*END
*D_NET *814 0.000297595
*CONN
*I *9497:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9498:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9497:D 0.00014058
2 *9498:LO 0.00014058
3 *305:89 *9497:D 1.64343e-05
4 *305:94 *9497:D 0
*RES
1 *9498:LO *9497:D 30.0152
*END
*D_NET *815 0.00145703
*CONN
*I *9495:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9496:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9495:D 0.000459758
2 *9496:LO 0.000459758
3 *9495:D *9491:D 0
4 *9495:CLK *9495:D 0.00015134
5 *305:94 *9495:D 0.000192585
6 *305:96 *9495:D 0.000193592
*RES
1 *9496:LO *9495:D 37.3902
*END
*D_NET *816 0.000876021
*CONN
*I *9493:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9494:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9493:D 0.00043801
2 *9494:LO 0.00043801
*RES
1 *9494:LO *9493:D 25.7473
*END
*D_NET *817 0.00561799
*CONN
*I *9705:A I *D sky130_fd_sc_hd__buf_2
*I *9612:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *9705:A 5.93839e-05
2 *9612:Q 0
3 *817:6 0.00280597
4 *817:5 0.00274659
5 cout7 *9705:A 6.05161e-06
*RES
1 *9612:Q *817:5 13.8
2 *817:5 *817:6 50.5179
3 *817:6 *9705:A 14.7464
*END
*D_NET *818 0.000302144
*CONN
*I *9491:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9492:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9491:D 0.000142855
2 *9492:LO 0.000142855
3 *9491:CLK *9491:D 1.64343e-05
4 *9495:D *9491:D 0
*RES
1 *9492:LO *9491:D 30.0152
*END
*D_NET *819 0.000419789
*CONN
*I *9489:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9490:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9489:D 0.000183123
2 *9490:LO 0.000183123
3 *9489:D *9485:D 5.35423e-05
*RES
1 *9490:LO *9489:D 30.9438
*END
*D_NET *820 0.000938666
*CONN
*I *9487:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9488:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9487:D 0.000469333
2 *9488:LO 0.000469333
3 *9487:D *9485:D 0
4 *8877:C *9487:D 0
*RES
1 *9488:LO *9487:D 35.2295
*END
*D_NET *821 0.00105721
*CONN
*I *9485:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9486:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9485:D 0.000354745
2 *9486:LO 0.000354745
3 *9487:D *9485:D 0
4 *9489:D *9485:D 5.35423e-05
5 *127:10 *9485:D 0.000294182
*RES
1 *9486:LO *9485:D 35.5152
*END
*D_NET *822 0.000361427
*CONN
*I *9483:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9484:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9483:D 0.000180713
2 *9484:LO 0.000180713
3 *127:10 *9483:D 0
*RES
1 *9484:LO *9483:D 30.5509
*END
*D_NET *823 0.000504664
*CONN
*I *9481:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9482:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9481:D 0.000234192
2 *9482:LO 0.000234192
3 *101:10 *9481:D 1.05947e-05
4 *306:8 *9481:D 0
5 *306:81 *9481:D 2.56845e-05
*RES
1 *9482:LO *9481:D 30.9616
*END
*D_NET *824 0.00150514
*CONN
*I *9479:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9480:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9479:D 0.000739739
2 *9480:LO 0.000739739
3 *8874:C *9479:D 0
4 *9406:D *9479:D 0
5 *102:9 *9479:D 2.56601e-05
*RES
1 *9480:LO *9479:D 37.408
*END
*D_NET *825 0.000258009
*CONN
*I *9477:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9478:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9477:D 0.000106128
2 *9478:LO 0.000106128
3 *9299:CLK *9477:D 4.57538e-05
*RES
1 *9478:LO *9477:D 29.7116
*END
*D_NET *826 0.000597878
*CONN
*I *9475:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9476:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9475:D 0.000211896
2 *9476:LO 0.000211896
3 *306:102 *9475:D 5.52302e-05
4 *306:112 *9475:D 0.000118855
*RES
1 *9476:LO *9475:D 22.8857
*END
*D_NET *827 0.0019002
*CONN
*I *9473:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9474:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9473:D 0.000527826
2 *9474:LO 0.000527826
3 *9473:D *9467:D 0
4 *9467:CLK *9473:D 0.000142856
5 *306:9 *9473:D 0.000113733
6 *306:11 *9473:D 0.000438128
7 *306:20 *9473:D 8.59039e-05
8 *306:29 *9473:D 6.39262e-05
*RES
1 *9474:LO *9473:D 39.4437
*END
*D_NET *828 0.00601307
*CONN
*I *9706:A I *D sky130_fd_sc_hd__buf_2
*I *9564:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *9706:A 5.93839e-05
2 *9564:Q 0
3 *828:6 0.00300351
4 *828:5 0.00294412
5 cout8 *9706:A 6.05161e-06
6 *9564:CLK *828:6 0
*RES
1 *9564:Q *828:5 13.8
2 *828:5 *828:6 54.1607
3 *828:6 *9706:A 14.7464
*END
*D_NET *829 0.000699515
*CONN
*I *9471:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9472:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9471:D 0.00027323
2 *9472:LO 0.00027323
3 *127:10 *9471:D 0.000153054
4 *306:36 *9471:D 0
*RES
1 *9472:LO *9471:D 32.5866
*END
*D_NET *830 0.000268927
*CONN
*I *9469:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9470:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9469:D 9.25136e-05
2 *9470:LO 9.25136e-05
3 *127:10 *9469:D 0
4 *306:29 *9469:D 8.39e-05
*RES
1 *9470:LO *9469:D 29.7116
*END
*D_NET *831 0.000302144
*CONN
*I *9467:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9468:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9467:D 0.000142855
2 *9468:LO 0.000142855
3 *9467:CLK *9467:D 1.64343e-05
4 *9473:D *9467:D 0
*RES
1 *9468:LO *9467:D 30.0152
*END
*D_NET *832 0.00121202
*CONN
*I *9465:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9466:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9465:D 0.000557387
2 *9466:LO 0.000557387
3 *8872:A *9465:D 9.72447e-05
*RES
1 *9466:LO *9465:D 37.5152
*END
*D_NET *833 0.000994191
*CONN
*I *9463:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9464:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9463:D 0.000241445
2 *9464:LO 0.000241445
3 *107:14 *9463:D 1.08359e-05
4 *305:14 *9463:D 0.000169405
5 *305:19 *9463:D 0.000331059
6 *305:28 *9463:D 0
7 *323:8 *9463:D 0
*RES
1 *9464:LO *9463:D 33.8723
*END
*D_NET *834 0.000397273
*CONN
*I *9459:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9460:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9459:D 0.000163212
2 *9460:LO 0.000163212
3 *8884:C1 *9459:D 0
4 *8889:C *9459:D 0
5 *9459:CLK *9459:D 7.08482e-05
*RES
1 *9460:LO *9459:D 30.9795
*END
*D_NET *835 0.000825676
*CONN
*I *9457:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9458:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9457:D 0.000260645
2 *9458:LO 0.000260645
3 *9457:CLK *9457:D 0.000101545
4 *296:39 *9457:D 0.000202842
*RES
1 *9458:LO *9457:D 32.9973
*END
*D_NET *836 0.00187308
*CONN
*I *9455:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9456:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9455:D 0.000772856
2 *9456:LO 0.000772856
3 *8885:A *9455:D 0.000173959
4 *8885:D *9455:D 0.000103898
5 *294:58 *9455:D 4.95093e-05
*RES
1 *9456:LO *9455:D 38.3009
*END
*D_NET *837 0.0014886
*CONN
*I *9453:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9454:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9453:D 0.000376721
2 *9454:LO 0.000376721
3 *8885:B *9453:D 1.92905e-05
4 *292:180 *9453:D 0.000715864
*RES
1 *9454:LO *9453:D 36.5866
*END
*D_NET *838 0.000298774
*CONN
*I *9451:D I *D sky130_fd_sc_hd__dfxtp_1
*I *9452:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *9451:D 0.00014117
2 *9452:LO 0.00014117
3 *292:180 *9451:D 1.64343e-05
*RES
1 *9452:LO *9451:D 30.0152
*END