blob: a12f7c9f19e86eafc54f77eaa3d94ca5a3a8664f [file] [log] [blame]
(DELAYFILE
(SDFVERSION "3.0")
(DESIGN "divider")
(DATE "Thu Dec 22 23:04:58 2022")
(VENDOR "Parallax")
(PROGRAM "STA")
(VERSION "2.3.2")
(DIVIDER .)
(VOLTAGE 1.800::1.800)
(PROCESS "1.000::1.000")
(TEMPERATURE 25.000::25.000)
(TIMESCALE 1ns)
(CELL
(CELLTYPE "divider")
(INSTANCE)
(DELAY
(ABSOLUTE
(INTERCONNECT clk clkbuf_0_clk.A (0.104:0.104:0.104) (0.051:0.051:0.051))
(INTERCONNECT clk ANTENNA_clkbuf_0_clk_A.DIODE (0.104:0.104:0.104) (0.051:0.051:0.051))
(INTERCONNECT _0501_.X _0509_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0501_.X _0677_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0502_.X _0509_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0502_.X _0677_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0503_.X _0509_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0503_.X _0677_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0504_.X _0508_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0505_.X _0508_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0506_.X _0508_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0507_.X _0508_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0508_.X _0509_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0508_.X _0677_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0509_.Y _0920_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0510_.X _0518_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0511_.X _0518_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0512_.X _0518_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0513_.X _0517_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0514_.X _0517_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0515_.X _0517_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0516_.X _0517_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0517_.X _0518_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0518_.Y _0519_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0518_.Y _0671_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0518_.Y _0675_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0519_.X _0520_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0520_.X _0891_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0521_.X _0525_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0522_.X _0525_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0523_.X _0525_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0524_.X _0525_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0525_.X _0529_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0526_.X _0528_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0527_.X _0528_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0528_.X _0529_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0529_.Y _0530_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _0529_.Y _0662_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0529_.Y _0666_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0529_.Y _0669_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _0530_.X _0531_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0531_.X _0862_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0532_.X _0539_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0533_.X _0539_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0534_.X _0538_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0535_.X _0538_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0536_.X _0538_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0537_.X _0538_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0538_.X _0539_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0539_.Y _0540_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0539_.Y _0649_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0539_.Y _0653_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0539_.Y _0657_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0539_.Y _0661_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0540_.X _0541_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0541_.X _0833_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0542_.X _0543_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0543_.X _0549_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0544_.X _0548_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0545_.X _0548_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0546_.X _0548_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0547_.X _0548_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0548_.X _0549_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0549_.Y _0550_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0549_.Y _0634_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0549_.Y _0638_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0549_.Y _0641_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0549_.Y _0644_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0549_.Y _0647_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0550_.X _0551_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0551_.X _0804_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0552_.Y _0561_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0552_.Y _0630_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0553_.X _0554_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0554_.X _0560_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0554_.X _0617_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0555_.X _0559_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0556_.X _0559_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0557_.X _0559_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0558_.X _0559_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0559_.X _0560_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0559_.X _0617_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0560_.Y _0561_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0560_.Y _0624_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0560_.Y _0628_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0561_.X _0562_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0562_.X _1065_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0563_.X _0564_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0564_.X _0570_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0564_.X _0598_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0565_.X _0569_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0566_.X _0569_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0567_.X _0569_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0568_.X _0569_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0569_.X _0570_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0569_.X _0598_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _0570_.Y _0571_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0570_.Y _0605_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0570_.Y _0609_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0570_.Y _0612_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0571_.X _0572_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0572_.X _1036_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0573_.X _0575_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0574_.X _0575_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0575_.X _0580_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0575_.X _0751_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0575_.X _0773_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0576_.X _0579_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0577_.X _0579_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0578_.X _0579_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0579_.X _0580_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0579_.X _0751_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0579_.X _0773_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0580_.Y _1007_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0581_.X _0583_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0582_.X _0583_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0583_.X _0588_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0583_.X _0719_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0583_.X _0746_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0584_.X _0587_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0585_.X _0587_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0586_.X _0587_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0587_.X _0588_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0587_.X _0719_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0587_.X _0746_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0588_.Y _0978_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0589_.X _0590_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0590_.X _0595_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0590_.X _0681_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0590_.X _0710_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0591_.X _0594_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0592_.X _0594_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0593_.X _0594_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0594_.X _0595_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0594_.X _0681_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0594_.X _0710_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0595_.Y _0949_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0596_.X _0597_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0596_.X _0605_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0596_.X _0607_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0596_.X _0608_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0597_.X _0598_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0597_.X _0612_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0597_.X _0614_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0598_.X _0600_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0598_.X _0603_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0598_.X _0614_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0598_.X _0776_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0599_.Y _0600_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0600_.Y _0777_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0601_.X _0603_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0601_.X _0604_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0602_.Y _0603_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0603_.Y _0778_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0604_.X _0605_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0605_.X _0606_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0606_.X _0779_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0607_.X _0609_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0607_.X _0611_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0608_.X _0609_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0609_.X _0610_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0610_.X _0780_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0611_.X _0612_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0612_.X _0613_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0613_.X _0781_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0614_.X _0782_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0615_.X _0616_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0615_.X _0622_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0615_.X _0623_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0616_.X _0617_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0616_.X _0624_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0616_.X _0626_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0616_.X _0627_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0617_.X _0618_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0617_.X _0620_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0617_.X _0622_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0617_.X _0630_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0618_.Y _0805_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0619_.Y _0620_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0620_.Y _0806_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0621_.Y _0622_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0622_.Y _0807_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0623_.X _0624_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0624_.X _0625_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0625_.X _0808_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0626_.Y _0628_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0626_.Y _0630_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0627_.X _0628_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0628_.X _0629_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0629_.X _0809_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0630_.Y _0810_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0631_.X _0632_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0631_.X _0641_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0631_.X _0643_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0632_.X _0633_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0632_.X _0644_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0632_.X _0646_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0633_.Y _0634_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0633_.Y _0647_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0634_.X _0635_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0635_.X _0834_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0636_.Y _0638_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0637_.X _0638_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0638_.X _0639_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0639_.X _0835_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0640_.X _0641_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0641_.X _0642_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0642_.X _0836_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0643_.X _0644_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0644_.X _0645_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0645_.X _0837_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0646_.X _0647_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0647_.X _0648_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0648_.X _0838_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0649_.X _0650_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0650_.X _0863_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0651_.Y _0653_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0651_.Y _0661_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0652_.X _0653_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0653_.X _0654_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0654_.X _0864_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0655_.Y _0657_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0656_.X _0657_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0657_.X _0658_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0658_.X _0865_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0659_.Y _0661_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0660_.X _0661_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0661_.X _0866_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0662_.X _0663_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0663_.X _0892_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0664_.X _0666_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0665_.Y _0666_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0665_.Y _0668_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0666_.X _0667_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0667_.X _0893_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0668_.Y _0669_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0669_.X _0670_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0670_.X _0894_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0671_.X _0672_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0672_.X _0921_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0673_.Y _0675_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0674_.X _0675_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0675_.X _0676_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0676_.X _0922_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0677_.Y _0950_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0678_.X _0680_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0678_.X _0693_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0678_.X _0695_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0678_.X _0696_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0678_.X _0699_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0678_.X _0700_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0678_.X _0703_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0678_.X _0704_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0679_.X _0680_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0679_.X _0699_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0679_.X _0703_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0679_.X _0704_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0680_.X _0681_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0680_.X _0708_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0680_.X _0710_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0680_.X _0711_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0680_.X _0712_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0681_.Y _0682_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0681_.Y _0686_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0681_.Y _0690_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0681_.Y _0693_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0681_.Y _0697_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0681_.Y _0701_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0681_.Y _0705_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0681_.Y _0708_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0681_.Y _0713_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0682_.X _0683_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0683_.X _0979_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0684_.Y _0686_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0685_.X _0686_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0686_.X _0687_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0687_.X _0980_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0688_.Y _0690_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0689_.X _0690_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0690_.X _0691_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0691_.X _0981_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0692_.X _0693_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0693_.X _0694_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0694_.X _0982_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0695_.Y _0697_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0696_.X _0697_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0697_.X _0698_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0698_.X _0983_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0699_.Y _0701_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0700_.X _0701_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0701_.X _0702_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0702_.X _0984_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0703_.X _0705_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0703_.X _0707_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0704_.X _0705_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0705_.X _0706_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0706_.X _0985_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0707_.X _0708_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0708_.X _0709_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0709_.X _0986_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0710_.X _0711_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0711_.X _0987_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0712_.X _0713_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0713_.X _0714_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0714_.X _0988_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0715_.X _0716_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0716_.X _0718_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0716_.X _0731_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0716_.X _0733_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0716_.X _0734_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0716_.X _0737_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0716_.X _0738_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0716_.X _0741_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0716_.X _0742_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0716_.X _0745_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0717_.X _0718_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0717_.X _0737_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0717_.X _0741_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0717_.X _0742_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0717_.X _0745_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0718_.X _0719_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0718_.X _0746_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0718_.X _0748_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0719_.Y _0720_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0719_.Y _0724_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0719_.Y _0728_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0719_.Y _0731_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0719_.Y _0735_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0719_.Y _0739_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0719_.Y _0743_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0719_.Y _0748_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0720_.X _0721_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0721_.X _1008_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0722_.Y _0724_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0723_.X _0724_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0724_.X _0725_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0725_.X _1009_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0726_.Y _0728_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0727_.X _0728_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0728_.X _0729_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0729_.X _1010_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0730_.X _0731_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0731_.X _0732_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0732_.X _1011_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0733_.Y _0735_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0734_.X _0735_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0735_.X _0736_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0736_.X _1012_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0737_.Y _0739_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0738_.X _0739_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0739_.X _0740_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0740_.X _1013_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0741_.X _0743_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0742_.X _0743_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0743_.X _0744_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0744_.X _1014_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0745_.X _0746_.D_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0746_.X _0747_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0747_.Y _1015_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0748_.X _1016_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0749_.X _0750_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0749_.X _0763_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0749_.X _0765_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0749_.X _0766_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0749_.X _0769_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0749_.X _0770_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0750_.X _0751_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _0750_.X _0773_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0750_.X _0775_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0751_.Y _0752_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0751_.Y _0756_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0751_.Y _0760_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0751_.Y _0763_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0751_.Y _0767_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0751_.Y _0771_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0751_.Y _0775_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0752_.X _0753_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0753_.X _1037_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0754_.Y _0756_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0755_.X _0756_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0756_.X _0757_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0757_.X _1038_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0758_.Y _0760_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0759_.X _0760_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0760_.X _0761_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0761_.X _1039_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0762_.X _0763_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0763_.X _0764_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0764_.X _1040_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0765_.Y _0767_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0766_.X _0767_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0767_.X _0768_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0768_.X _1041_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0769_.X _0771_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0769_.X _0774_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0770_.X _0771_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0771_.X _0772_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0772_.X _1042_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0773_.X _0774_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0774_.X _1043_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0775_.X _1044_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0776_.Y _1066_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0777_.Q _0596_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0777_.Q _0599_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0777_.Q _0601_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0777_.Q _0602_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0778_.Q _0596_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0778_.Q _0601_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0778_.Q _0602_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0779_.Q _0596_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0779_.Q _0604_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0780_.Q _0597_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0780_.Q _0607_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0780_.Q _0608_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0781_.Q _0597_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0781_.Q _0611_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0782_.Q _0571_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0782_.Q _0598_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0782_.Q _0614_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0783_.Q _0563_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0784_.Q _0563_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0785_.Q _0566_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0786_.Q _0566_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0787_.Q _0566_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0788_.Q _0566_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0789_.Q _0565_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0790_.Q _0565_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0791_.Q _0565_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0792_.Q _0565_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0793_.Q _0567_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0794_.Q _0567_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0795_.Q _0567_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0796_.Q _0567_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0797_.Q _0568_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0798_.Q _0568_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0799_.Q _0568_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0800_.Q _0564_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0801_.Q _0564_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0802_.Q _0563_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0803_.Q _0563_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0804_.Q output6.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0805_.Q _0615_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0805_.Q _0618_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0805_.Q _0619_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0805_.Q _0621_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0806_.Q _0615_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0806_.Q _0619_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0806_.Q _0621_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0807_.Q _0615_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0807_.Q _0621_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0808_.Q _0616_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0808_.Q _0623_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0809_.Q _0617_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0809_.Q _0626_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0809_.Q _0627_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0810_.Q _0552_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0810_.Q _0617_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0811_.Q _0558_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0812_.Q _0558_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0813_.Q _0558_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0814_.Q _0558_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0815_.Q _0557_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0816_.Q _0557_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0817_.Q _0557_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0818_.Q _0557_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0819_.Q _0555_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0820_.Q _0555_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0821_.Q _0555_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0822_.Q _0555_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0823_.Q _0556_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0824_.Q _0556_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0825_.Q _0556_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0826_.Q _0556_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0827_.Q _0553_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0828_.Q _0553_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0829_.Q _0553_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0830_.Q _0553_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0831_.Q _0554_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0832_.Q _0554_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0833_.Q output5.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0834_.Q _0631_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0834_.Q _0634_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0834_.Q _0636_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0834_.Q _0637_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0834_.Q _0640_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0835_.Q _0631_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0835_.Q _0636_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0835_.Q _0637_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0835_.Q _0640_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0836_.Q _0631_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0836_.Q _0640_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0837_.Q _0632_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0837_.Q _0643_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0838_.Q _0550_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0838_.Q _0633_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0838_.Q _0646_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0839_.Q _0543_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0840_.Q _0547_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0841_.Q _0547_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0842_.Q _0543_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0843_.Q _0543_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0844_.Q _0547_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0845_.Q _0546_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0846_.Q _0542_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0847_.Q _0542_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0848_.Q _0546_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0849_.Q _0542_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0850_.Q _0546_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0851_.Q _0546_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0852_.Q _0542_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0853_.Q _0544_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0854_.Q _0544_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0855_.Q _0544_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0856_.Q _0544_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0857_.Q _0545_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0858_.Q _0545_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0859_.Q _0545_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0860_.Q _0545_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0861_.Q _0547_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0862_.Q output4.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0863_.Q _0649_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0863_.Q _0651_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0863_.Q _0652_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0863_.Q _0655_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0863_.Q _0656_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0863_.Q _0660_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0864_.Q _0651_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0864_.Q _0652_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0864_.Q _0655_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0864_.Q _0656_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0864_.Q _0660_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0865_.Q _0655_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0865_.Q _0656_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0865_.Q _0659_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0865_.Q _0660_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0866_.Q _0540_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0866_.Q _0659_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0866_.Q _0660_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0867_.Q _0537_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0868_.Q _0537_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0869_.Q _0537_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0870_.Q _0537_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0871_.Q _0536_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0872_.Q _0536_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0873_.Q _0536_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0874_.Q _0536_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0875_.Q _0534_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0876_.Q _0534_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0877_.Q _0534_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0878_.Q _0534_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0879_.Q _0535_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0880_.Q _0535_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0881_.Q _0535_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0882_.Q _0535_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0883_.Q _0532_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0884_.Q _0532_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0885_.Q _0532_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0886_.Q _0532_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0887_.Q _0533_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0888_.Q _0533_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0889_.Q _0533_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0890_.Q _0533_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0891_.Q output3.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0892_.Q _0662_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0892_.Q _0664_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0892_.Q _0665_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0893_.Q _0664_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0893_.Q _0665_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0894_.Q _0530_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0894_.Q _0668_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0895_.Q _0521_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0896_.Q _0521_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0897_.Q _0526_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0898_.Q _0522_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0899_.Q _0526_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0900_.Q _0522_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0901_.Q _0522_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0902_.Q _0526_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0903_.Q _0526_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0904_.Q _0522_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0905_.Q _0524_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0906_.Q _0527_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0907_.Q _0527_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0908_.Q _0524_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0909_.Q _0527_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0910_.Q _0524_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0911_.Q _0524_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0912_.Q _0527_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0913_.Q _0523_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0914_.Q _0528_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0915_.Q _0528_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0916_.Q _0523_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0917_.Q _0523_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0918_.Q _0523_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0919_.Q _0521_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0920_.Q output1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0920_.Q ANTENNA_output1_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0921_.Q _0513_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0921_.Q _0671_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0921_.Q _0673_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0921_.Q _0674_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0922_.Q _0513_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0922_.Q _0519_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0922_.Q _0673_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0922_.Q _0674_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0923_.Q _0510_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0924_.Q _0510_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0925_.Q _0510_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0926_.Q _0510_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0927_.Q _0511_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0928_.Q _0511_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0929_.Q _0511_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0930_.Q _0511_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0931_.Q _0512_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0932_.Q _0512_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0933_.Q _0512_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0934_.Q _0512_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0935_.Q _0516_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0936_.Q _0516_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0937_.Q _0516_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0938_.Q _0516_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0939_.Q _0515_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0940_.Q _0515_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0941_.Q _0515_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0942_.Q _0515_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0943_.Q _0514_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0944_.Q _0514_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0945_.Q _0514_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0946_.Q _0514_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0947_.Q _0513_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0948_.Q _0513_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0949_.Q output2.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0950_.Q _0501_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0951_.Q _0501_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0952_.Q _0501_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0953_.Q _0502_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0954_.Q _0502_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0955_.Q _0502_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0956_.Q _0502_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0957_.Q _0503_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0958_.Q _0503_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0959_.Q _0503_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0960_.Q _0503_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0961_.Q _0506_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0962_.Q _0506_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0963_.Q _0506_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0964_.Q _0506_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0965_.Q _0507_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0966_.Q _0507_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0967_.Q _0507_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0968_.Q _0507_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0969_.Q _0504_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0970_.Q _0504_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0971_.Q _0504_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0972_.Q _0504_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0973_.Q _0505_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0974_.Q _0505_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0975_.Q _0505_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0976_.Q _0505_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0977_.Q _0501_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0978_.Q output10.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _0979_.Q _0678_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _0979_.Q _0682_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0979_.Q _0684_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0979_.Q _0685_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _0979_.Q _0688_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0979_.Q _0689_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0979_.Q _0692_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0980_.Q _0678_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0980_.Q _0684_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0980_.Q _0685_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0980_.Q _0688_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0980_.Q _0689_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0980_.Q _0692_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0981_.Q _0678_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0981_.Q _0688_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0981_.Q _0689_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0981_.Q _0692_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0982_.Q _0678_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0982_.Q _0692_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0983_.Q _0679_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0983_.Q _0695_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0983_.Q _0696_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0983_.Q _0700_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0984_.Q _0679_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0984_.Q _0700_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0985_.Q _0680_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0985_.Q _0703_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0985_.Q _0704_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0986_.Q _0680_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0986_.Q _0707_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0987_.Q _0681_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0987_.Q _0710_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0987_.Q _0711_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0987_.Q _0712_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0988_.Q _0595_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _0988_.Q _0681_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _0988_.Q _0712_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0989_.Q _0591_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0990_.Q _0591_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0991_.Q _0591_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0992_.Q _0591_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0993_.Q _0592_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0994_.Q _0592_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0995_.Q _0592_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0996_.Q _0592_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0997_.Q _0593_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0998_.Q _0593_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _0999_.Q _0593_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1000_.Q _0593_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1001_.Q _0589_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1002_.Q _0589_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1003_.Q _0589_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1004_.Q _0589_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1005_.Q _0590_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1006_.Q _0590_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1007_.Q output9.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1008_.Q _0715_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1008_.Q _0720_.A_N (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _1008_.Q _0722_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1008_.Q _0723_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1008_.Q _0726_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1008_.Q _0727_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1008_.Q _0730_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1009_.Q _0715_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1009_.Q _0722_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1009_.Q _0723_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1009_.Q _0726_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1009_.Q _0727_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1009_.Q _0730_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1010_.Q _0715_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1010_.Q _0726_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1010_.Q _0727_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1010_.Q _0730_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1011_.Q _0715_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1011_.Q _0730_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1012_.Q _0717_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1012_.Q _0733_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1012_.Q _0734_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1012_.Q _0738_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1013_.Q _0717_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1013_.Q _0738_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1014_.Q _0718_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1014_.Q _0741_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1014_.Q _0742_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1014_.Q _0745_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1015_.Q _0718_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1015_.Q _0745_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1016_.Q _0588_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1016_.Q _0719_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1016_.Q _0748_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1017_.Q _0584_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1018_.Q _0584_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1019_.Q _0585_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1020_.Q _0585_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1021_.Q _0585_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1022_.Q _0585_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1023_.Q _0586_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1024_.Q _0586_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1025_.Q _0586_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1026_.Q _0586_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1027_.Q _0581_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1028_.Q _0581_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1029_.Q _0581_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1030_.Q _0581_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1031_.Q _0582_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1032_.Q _0582_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1033_.Q _0582_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1034_.Q _0582_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1035_.Q _0584_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1036_.Q output8.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1037_.Q _0749_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1037_.Q _0752_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1037_.Q _0754_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1037_.Q _0755_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1037_.Q _0758_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1037_.Q _0759_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1037_.Q _0762_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1038_.Q _0749_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1038_.Q _0754_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1038_.Q _0755_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1038_.Q _0758_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1038_.Q _0759_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1038_.Q _0762_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1039_.Q _0749_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1039_.Q _0758_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1039_.Q _0759_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1039_.Q _0762_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1040_.Q _0749_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1040_.Q _0762_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1041_.Q _0750_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1041_.Q _0765_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1041_.Q _0766_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1041_.Q _0769_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1041_.Q _0770_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1042_.Q _0750_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1042_.Q _0769_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1042_.Q _0770_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1043_.Q _0750_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1043_.Q _0774_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1044_.Q _0580_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1044_.Q _0751_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1044_.Q _0775_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1045_.Q _0576_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1046_.Q _0576_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1047_.Q _0576_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1048_.Q _0576_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1049_.Q _0577_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1050_.Q _0577_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1051_.Q _0577_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1052_.Q _0577_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1053_.Q _0578_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1054_.Q _0578_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1055_.Q _0578_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1056_.Q _0578_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1057_.Q _0573_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1058_.Q _0573_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1059_.Q _0573_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1060_.Q _0573_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1061_.Q _0574_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1062_.Q _0574_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1063_.Q _0574_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1064_.Q _0574_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1065_.Q output7.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1066_.Q _0596_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1066_.Q _0599_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1066_.Q _0601_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1066_.Q _0602_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1066_.Q _0776_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _1063__12.LO _1063_.D (0.000:0.000:0.000))
(INTERCONNECT _1062__13.LO _1062_.D (0.000:0.000:0.000))
(INTERCONNECT _1061__14.LO _1061_.D (0.000:0.000:0.000))
(INTERCONNECT _1060__15.LO _1060_.D (0.000:0.000:0.000))
(INTERCONNECT _1059__16.LO _1059_.D (0.000:0.000:0.000))
(INTERCONNECT _1058__17.LO _1058_.D (0.000:0.000:0.000))
(INTERCONNECT _1057__18.LO _1057_.D (0.000:0.000:0.000))
(INTERCONNECT _1056__19.LO _1056_.D (0.000:0.000:0.000))
(INTERCONNECT _1055__20.LO _1055_.D (0.000:0.000:0.000))
(INTERCONNECT _1054__21.LO _1054_.D (0.000:0.000:0.000))
(INTERCONNECT _1053__22.LO _1053_.D (0.000:0.000:0.000))
(INTERCONNECT _1052__23.LO _1052_.D (0.000:0.000:0.000))
(INTERCONNECT _1051__24.LO _1051_.D (0.000:0.000:0.000))
(INTERCONNECT _1050__25.LO _1050_.D (0.000:0.000:0.000))
(INTERCONNECT _1049__26.LO _1049_.D (0.000:0.000:0.000))
(INTERCONNECT _1048__27.LO _1048_.D (0.000:0.000:0.000))
(INTERCONNECT _1047__28.LO _1047_.D (0.000:0.000:0.000))
(INTERCONNECT _1046__29.LO _1046_.D (0.000:0.000:0.000))
(INTERCONNECT _1045__30.LO _1045_.D (0.000:0.000:0.000))
(INTERCONNECT _1035__31.LO _1035_.D (0.000:0.000:0.000))
(INTERCONNECT _1034__32.LO _1034_.D (0.000:0.000:0.000))
(INTERCONNECT _1033__33.LO _1033_.D (0.000:0.000:0.000))
(INTERCONNECT _1032__34.LO _1032_.D (0.000:0.000:0.000))
(INTERCONNECT _1031__35.LO _1031_.D (0.000:0.000:0.000))
(INTERCONNECT _1030__36.LO _1030_.D (0.000:0.000:0.000))
(INTERCONNECT _1029__37.LO _1029_.D (0.000:0.000:0.000))
(INTERCONNECT _1028__38.LO _1028_.D (0.000:0.000:0.000))
(INTERCONNECT _1027__39.LO _1027_.D (0.000:0.000:0.000))
(INTERCONNECT _1026__40.LO _1026_.D (0.000:0.000:0.000))
(INTERCONNECT _1025__41.LO _1025_.D (0.000:0.000:0.000))
(INTERCONNECT _1024__42.LO _1024_.D (0.000:0.000:0.000))
(INTERCONNECT _1023__43.LO _1023_.D (0.000:0.000:0.000))
(INTERCONNECT _1022__44.LO _1022_.D (0.000:0.000:0.000))
(INTERCONNECT _1021__45.LO _1021_.D (0.000:0.000:0.000))
(INTERCONNECT _1020__46.LO _1020_.D (0.000:0.000:0.000))
(INTERCONNECT _1019__47.LO _1019_.D (0.000:0.000:0.000))
(INTERCONNECT _1018__48.LO _1018_.D (0.000:0.000:0.000))
(INTERCONNECT _1017__49.LO _1017_.D (0.000:0.000:0.000))
(INTERCONNECT _1006__50.LO _1006_.D (0.000:0.000:0.000))
(INTERCONNECT _1005__51.LO _1005_.D (0.000:0.000:0.000))
(INTERCONNECT _1004__52.LO _1004_.D (0.000:0.000:0.000))
(INTERCONNECT _1003__53.LO _1003_.D (0.000:0.000:0.000))
(INTERCONNECT _1002__54.LO _1002_.D (0.000:0.000:0.000))
(INTERCONNECT _1001__55.LO _1001_.D (0.000:0.000:0.000))
(INTERCONNECT _1000__56.LO _1000_.D (0.000:0.000:0.000))
(INTERCONNECT _0999__57.LO _0999_.D (0.000:0.000:0.000))
(INTERCONNECT _0998__58.LO _0998_.D (0.000:0.000:0.000))
(INTERCONNECT _0997__59.LO _0997_.D (0.000:0.000:0.000))
(INTERCONNECT _0996__60.LO _0996_.D (0.000:0.000:0.000))
(INTERCONNECT _0995__61.LO _0995_.D (0.000:0.000:0.000))
(INTERCONNECT _0994__62.LO _0994_.D (0.000:0.000:0.000))
(INTERCONNECT _0993__63.LO _0993_.D (0.000:0.000:0.000))
(INTERCONNECT _0992__64.LO _0992_.D (0.000:0.000:0.000))
(INTERCONNECT _0991__65.LO _0991_.D (0.000:0.000:0.000))
(INTERCONNECT _0990__66.LO _0990_.D (0.000:0.000:0.000))
(INTERCONNECT _0989__67.LO _0989_.D (0.000:0.000:0.000))
(INTERCONNECT _0977__68.LO _0977_.D (0.000:0.000:0.000))
(INTERCONNECT _0976__69.LO _0976_.D (0.000:0.000:0.000))
(INTERCONNECT _0975__70.LO _0975_.D (0.000:0.000:0.000))
(INTERCONNECT _0974__71.LO _0974_.D (0.000:0.000:0.000))
(INTERCONNECT _0973__72.LO _0973_.D (0.000:0.000:0.000))
(INTERCONNECT _0972__73.LO _0972_.D (0.000:0.000:0.000))
(INTERCONNECT _0971__74.LO _0971_.D (0.000:0.000:0.000))
(INTERCONNECT _0970__75.LO _0970_.D (0.000:0.000:0.000))
(INTERCONNECT _0969__76.LO _0969_.D (0.000:0.000:0.000))
(INTERCONNECT _0968__77.LO _0968_.D (0.000:0.000:0.000))
(INTERCONNECT _0967__78.LO _0967_.D (0.000:0.000:0.000))
(INTERCONNECT _0966__79.LO _0966_.D (0.000:0.000:0.000))
(INTERCONNECT _0965__80.LO _0965_.D (0.000:0.000:0.000))
(INTERCONNECT _0964__81.LO _0964_.D (0.000:0.000:0.000))
(INTERCONNECT _0963__82.LO _0963_.D (0.000:0.000:0.000))
(INTERCONNECT _0962__83.LO _0962_.D (0.000:0.000:0.000))
(INTERCONNECT _0961__84.LO _0961_.D (0.000:0.000:0.000))
(INTERCONNECT _0960__85.LO _0960_.D (0.000:0.000:0.000))
(INTERCONNECT _0959__86.LO _0959_.D (0.000:0.000:0.000))
(INTERCONNECT _0958__87.LO _0958_.D (0.000:0.000:0.000))
(INTERCONNECT _0957__88.LO _0957_.D (0.000:0.000:0.000))
(INTERCONNECT _0956__89.LO _0956_.D (0.000:0.000:0.000))
(INTERCONNECT _0955__90.LO _0955_.D (0.000:0.000:0.000))
(INTERCONNECT _0954__91.LO _0954_.D (0.000:0.000:0.000))
(INTERCONNECT _0953__92.LO _0953_.D (0.000:0.000:0.000))
(INTERCONNECT _0952__93.LO _0952_.D (0.000:0.000:0.000))
(INTERCONNECT _0951__94.LO _0951_.D (0.000:0.000:0.000))
(INTERCONNECT _0948__95.LO _0948_.D (0.000:0.000:0.000))
(INTERCONNECT _0947__96.LO _0947_.D (0.000:0.000:0.000))
(INTERCONNECT _0946__97.LO _0946_.D (0.000:0.000:0.000))
(INTERCONNECT _0945__98.LO _0945_.D (0.000:0.000:0.000))
(INTERCONNECT _0944__99.LO _0944_.D (0.000:0.000:0.000))
(INTERCONNECT _0943__100.LO _0943_.D (0.000:0.000:0.000))
(INTERCONNECT _0942__101.LO _0942_.D (0.000:0.000:0.000))
(INTERCONNECT _0941__102.LO _0941_.D (0.000:0.000:0.000))
(INTERCONNECT _0940__103.LO _0940_.D (0.000:0.000:0.000))
(INTERCONNECT _0939__104.LO _0939_.D (0.000:0.000:0.000))
(INTERCONNECT _0938__105.LO _0938_.D (0.000:0.000:0.000))
(INTERCONNECT _0937__106.LO _0937_.D (0.000:0.000:0.000))
(INTERCONNECT _0936__107.LO _0936_.D (0.000:0.000:0.000))
(INTERCONNECT _0935__108.LO _0935_.D (0.000:0.000:0.000))
(INTERCONNECT _0934__109.LO _0934_.D (0.000:0.000:0.000))
(INTERCONNECT _0933__110.LO _0933_.D (0.000:0.000:0.000))
(INTERCONNECT _0932__111.LO _0932_.D (0.000:0.000:0.000))
(INTERCONNECT _0931__112.LO _0931_.D (0.000:0.000:0.000))
(INTERCONNECT _0930__113.LO _0930_.D (0.000:0.000:0.000))
(INTERCONNECT _0929__114.LO _0929_.D (0.000:0.000:0.000))
(INTERCONNECT _0928__115.LO _0928_.D (0.000:0.000:0.000))
(INTERCONNECT _0927__116.LO _0927_.D (0.000:0.000:0.000))
(INTERCONNECT _0926__117.LO _0926_.D (0.000:0.000:0.000))
(INTERCONNECT _0925__118.LO _0925_.D (0.000:0.000:0.000))
(INTERCONNECT _0924__119.LO _0924_.D (0.000:0.000:0.000))
(INTERCONNECT _0923__120.LO _0923_.D (0.000:0.000:0.000))
(INTERCONNECT _0919__121.LO _0919_.D (0.000:0.000:0.000))
(INTERCONNECT _0918__122.LO _0918_.D (0.000:0.000:0.000))
(INTERCONNECT _0917__123.LO _0917_.D (0.000:0.000:0.000))
(INTERCONNECT _0916__124.LO _0916_.D (0.000:0.000:0.000))
(INTERCONNECT _0915__125.LO _0915_.D (0.000:0.000:0.000))
(INTERCONNECT _0914__126.LO _0914_.D (0.000:0.000:0.000))
(INTERCONNECT _0913__127.LO _0913_.D (0.000:0.000:0.000))
(INTERCONNECT _0912__128.LO _0912_.D (0.000:0.000:0.000))
(INTERCONNECT _0911__129.LO _0911_.D (0.000:0.000:0.000))
(INTERCONNECT _0910__130.LO _0910_.D (0.000:0.000:0.000))
(INTERCONNECT _0909__131.LO _0909_.D (0.000:0.000:0.000))
(INTERCONNECT _0908__132.LO _0908_.D (0.000:0.000:0.000))
(INTERCONNECT _0907__133.LO _0907_.D (0.000:0.000:0.000))
(INTERCONNECT _0906__134.LO _0906_.D (0.000:0.000:0.000))
(INTERCONNECT _0905__135.LO _0905_.D (0.000:0.000:0.000))
(INTERCONNECT _0904__136.LO _0904_.D (0.000:0.000:0.000))
(INTERCONNECT _0903__137.LO _0903_.D (0.000:0.000:0.000))
(INTERCONNECT _0902__138.LO _0902_.D (0.000:0.000:0.000))
(INTERCONNECT _0901__139.LO _0901_.D (0.000:0.000:0.000))
(INTERCONNECT _0900__140.LO _0900_.D (0.000:0.000:0.000))
(INTERCONNECT _0899__141.LO _0899_.D (0.000:0.000:0.000))
(INTERCONNECT _0898__142.LO _0898_.D (0.000:0.000:0.000))
(INTERCONNECT _0897__143.LO _0897_.D (0.000:0.000:0.000))
(INTERCONNECT _0896__144.LO _0896_.D (0.000:0.000:0.000))
(INTERCONNECT _0895__145.LO _0895_.D (0.000:0.000:0.000))
(INTERCONNECT _0890__146.LO _0890_.D (0.000:0.000:0.000))
(INTERCONNECT _0889__147.LO _0889_.D (0.000:0.000:0.000))
(INTERCONNECT _0888__148.LO _0888_.D (0.000:0.000:0.000))
(INTERCONNECT _0887__149.LO _0887_.D (0.000:0.000:0.000))
(INTERCONNECT _0886__150.LO _0886_.D (0.000:0.000:0.000))
(INTERCONNECT _0885__151.LO _0885_.D (0.000:0.000:0.000))
(INTERCONNECT _0884__152.LO _0884_.D (0.000:0.000:0.000))
(INTERCONNECT _0883__153.LO _0883_.D (0.000:0.000:0.000))
(INTERCONNECT _0882__154.LO _0882_.D (0.000:0.000:0.000))
(INTERCONNECT _0881__155.LO _0881_.D (0.000:0.000:0.000))
(INTERCONNECT _0880__156.LO _0880_.D (0.000:0.000:0.000))
(INTERCONNECT _0879__157.LO _0879_.D (0.000:0.000:0.000))
(INTERCONNECT _0878__158.LO _0878_.D (0.000:0.000:0.000))
(INTERCONNECT _0877__159.LO _0877_.D (0.000:0.000:0.000))
(INTERCONNECT _0876__160.LO _0876_.D (0.000:0.000:0.000))
(INTERCONNECT _0875__161.LO _0875_.D (0.000:0.000:0.000))
(INTERCONNECT _0874__162.LO _0874_.D (0.000:0.000:0.000))
(INTERCONNECT _0873__163.LO _0873_.D (0.000:0.000:0.000))
(INTERCONNECT _0872__164.LO _0872_.D (0.000:0.000:0.000))
(INTERCONNECT _0871__165.LO _0871_.D (0.000:0.000:0.000))
(INTERCONNECT _0870__166.LO _0870_.D (0.000:0.000:0.000))
(INTERCONNECT _0869__167.LO _0869_.D (0.000:0.000:0.000))
(INTERCONNECT _0868__168.LO _0868_.D (0.000:0.000:0.000))
(INTERCONNECT _0867__169.LO _0867_.D (0.000:0.000:0.000))
(INTERCONNECT _0861__170.LO _0861_.D (0.000:0.000:0.000))
(INTERCONNECT _0860__171.LO _0860_.D (0.000:0.000:0.000))
(INTERCONNECT _0859__172.LO _0859_.D (0.000:0.000:0.000))
(INTERCONNECT _0858__173.LO _0858_.D (0.000:0.000:0.000))
(INTERCONNECT _0857__174.LO _0857_.D (0.000:0.000:0.000))
(INTERCONNECT _0856__175.LO _0856_.D (0.000:0.000:0.000))
(INTERCONNECT _0855__176.LO _0855_.D (0.000:0.000:0.000))
(INTERCONNECT _0854__177.LO _0854_.D (0.000:0.000:0.000))
(INTERCONNECT _0853__178.LO _0853_.D (0.000:0.000:0.000))
(INTERCONNECT _0852__179.LO _0852_.D (0.000:0.000:0.000))
(INTERCONNECT _0851__180.LO _0851_.D (0.000:0.000:0.000))
(INTERCONNECT _0850__181.LO _0850_.D (0.000:0.000:0.000))
(INTERCONNECT _0849__182.LO _0849_.D (0.000:0.000:0.000))
(INTERCONNECT _0848__183.LO _0848_.D (0.000:0.000:0.000))
(INTERCONNECT _0847__184.LO _0847_.D (0.000:0.000:0.000))
(INTERCONNECT _0846__185.LO _0846_.D (0.000:0.000:0.000))
(INTERCONNECT _0845__186.LO _0845_.D (0.000:0.000:0.000))
(INTERCONNECT _0844__187.LO _0844_.D (0.000:0.000:0.000))
(INTERCONNECT _0843__188.LO _0843_.D (0.000:0.000:0.000))
(INTERCONNECT _0842__189.LO _0842_.D (0.000:0.000:0.000))
(INTERCONNECT _0841__190.LO _0841_.D (0.000:0.000:0.000))
(INTERCONNECT _0840__191.LO _0840_.D (0.000:0.000:0.000))
(INTERCONNECT _0839__192.LO _0839_.D (0.000:0.000:0.000))
(INTERCONNECT _0832__193.LO _0832_.D (0.000:0.000:0.000))
(INTERCONNECT _0831__194.LO _0831_.D (0.000:0.000:0.000))
(INTERCONNECT _0830__195.LO _0830_.D (0.000:0.000:0.000))
(INTERCONNECT _0829__196.LO _0829_.D (0.000:0.000:0.000))
(INTERCONNECT _0828__197.LO _0828_.D (0.000:0.000:0.000))
(INTERCONNECT _0827__198.LO _0827_.D (0.000:0.000:0.000))
(INTERCONNECT _0826__199.LO _0826_.D (0.000:0.000:0.000))
(INTERCONNECT _0825__200.LO _0825_.D (0.000:0.000:0.000))
(INTERCONNECT _0824__201.LO _0824_.D (0.000:0.000:0.000))
(INTERCONNECT _0823__202.LO _0823_.D (0.000:0.000:0.000))
(INTERCONNECT _0822__203.LO _0822_.D (0.000:0.000:0.000))
(INTERCONNECT _0821__204.LO _0821_.D (0.000:0.000:0.000))
(INTERCONNECT _0820__205.LO _0820_.D (0.000:0.000:0.000))
(INTERCONNECT _0819__206.LO _0819_.D (0.000:0.000:0.000))
(INTERCONNECT _0818__207.LO _0818_.D (0.000:0.000:0.000))
(INTERCONNECT _0817__208.LO _0817_.D (0.000:0.000:0.000))
(INTERCONNECT _0816__209.LO _0816_.D (0.000:0.000:0.000))
(INTERCONNECT _0815__210.LO _0815_.D (0.000:0.000:0.000))
(INTERCONNECT _0814__211.LO _0814_.D (0.000:0.000:0.000))
(INTERCONNECT _0813__212.LO _0813_.D (0.000:0.000:0.000))
(INTERCONNECT _0812__213.LO _0812_.D (0.000:0.000:0.000))
(INTERCONNECT _0811__214.LO _0811_.D (0.000:0.000:0.000))
(INTERCONNECT _0803__215.LO _0803_.D (0.000:0.000:0.000))
(INTERCONNECT _0802__216.LO _0802_.D (0.000:0.000:0.000))
(INTERCONNECT _0801__217.LO _0801_.D (0.000:0.000:0.000))
(INTERCONNECT _0800__218.LO _0800_.D (0.000:0.000:0.000))
(INTERCONNECT _0799__219.LO _0799_.D (0.000:0.000:0.000))
(INTERCONNECT _0798__220.LO _0798_.D (0.000:0.000:0.000))
(INTERCONNECT _0797__221.LO _0797_.D (0.000:0.000:0.000))
(INTERCONNECT _0796__222.LO _0796_.D (0.000:0.000:0.000))
(INTERCONNECT _0795__223.LO _0795_.D (0.000:0.000:0.000))
(INTERCONNECT _0794__224.LO _0794_.D (0.000:0.000:0.000))
(INTERCONNECT _0793__225.LO _0793_.D (0.000:0.000:0.000))
(INTERCONNECT _0792__226.LO _0792_.D (0.000:0.000:0.000))
(INTERCONNECT _0791__227.LO _0791_.D (0.000:0.000:0.000))
(INTERCONNECT _0790__228.LO _0790_.D (0.000:0.000:0.000))
(INTERCONNECT _0789__229.LO _0789_.D (0.000:0.000:0.000))
(INTERCONNECT _0788__230.LO _0788_.D (0.000:0.000:0.000))
(INTERCONNECT _0787__231.LO _0787_.D (0.000:0.000:0.000))
(INTERCONNECT _0786__232.LO _0786_.D (0.000:0.000:0.000))
(INTERCONNECT _0785__233.LO _0785_.D (0.000:0.000:0.000))
(INTERCONNECT _0784__234.LO _0784_.D (0.000:0.000:0.000))
(INTERCONNECT _0783__235.LO _0783_.D (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_0_clk.X _0987_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_0_clk.X _0988_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_0_clk.X _0996_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_0_clk.X _0994_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_0_clk.X _0993_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_0_clk.X _0989_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_0_clk.X _0990_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_0_clk.X _0984_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_0_clk.X _0992_.CLK (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_0_clk.X _0991_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_0_clk.X _0995_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_0_clk.X _0997_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_0_clk.X _1000_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output1.X cout1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output2.X cout10 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output3.X cout2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output4.X cout3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output5.X cout4 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output6.X cout5 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output7.X cout6 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output8.X cout7 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output9.X cout8 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output10.X cout9 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _1064__11.LO _1064_.D (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_1_clk.X _0999_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_1_clk.X _0998_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_1_clk.X _0833_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_2_clk.X _0865_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_2_clk.X _0811_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_2_clk.X _0812_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_2_clk.X _0813_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_2_clk.X _0814_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_2_clk.X _0888_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_2_clk.X _0864_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_2_clk.X _0866_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_2_clk.X _0863_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_3_clk.X _0886_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_3_clk.X _0883_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_3_clk.X _0889_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_3_clk.X _0890_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_3_clk.X _0887_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_3_clk.X _0871_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_3_clk.X _0874_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_3_clk.X _0873_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_3_clk.X _0876_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_3_clk.X _0885_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_3_clk.X _0884_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_4_clk.X _0795_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_4_clk.X _0796_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_4_clk.X _0803_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_4_clk.X _0799_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_4_clk.X _0801_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_4_clk.X _0783_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_4_clk.X _0784_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_4_clk.X _0802_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_4_clk.X _0800_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_4_clk.X _0782_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_5_clk.X _0781_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_5_clk.X _1036_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_5_clk.X _0780_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_5_clk.X _0779_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_5_clk.X _0778_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_5_clk.X _0786_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_5_clk.X _0777_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_5_clk.X _0788_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_5_clk.X _1066_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_5_clk.X _0798_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_5_clk.X _0797_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_6_clk.X _0787_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_6_clk.X _0794_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_6_clk.X _0793_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_6_clk.X _0792_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_6_clk.X _0785_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_6_clk.X _0790_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_6_clk.X _0791_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_6_clk.X _0789_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_7_clk.X _1060_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_7_clk.X _1063_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_7_clk.X _1062_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_7_clk.X _1061_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_7_clk.X _1064_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_7_clk.X _1059_.CLK (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_7_clk.X _1050_.CLK (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_7_clk.X _1051_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_7_clk.X _1052_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_8_clk.X _1056_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_8_clk.X _1053_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_8_clk.X _1049_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_8_clk.X _1054_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_8_clk.X _1055_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_8_clk.X _0880_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_8_clk.X _0882_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_8_clk.X _0879_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_8_clk.X _0881_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_8_clk.X _0861_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_8_clk.X _0840_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_8_clk.X _0844_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_8_clk.X _1047_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_8_clk.X _1045_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_8_clk.X _1046_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_8_clk.X _1048_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_9_clk.X _1041_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_9_clk.X _0841_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_9_clk.X _0857_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_9_clk.X _0858_.CLK (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_9_clk.X _1039_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_9_clk.X _0847_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_9_clk.X _0846_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_9_clk.X _0849_.CLK (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_9_clk.X _1038_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_9_clk.X _1040_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_9_clk.X _1037_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_9_clk.X _1042_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_10_clk.X _1043_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_10_clk.X _1044_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_10_clk.X _1057_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_10_clk.X _1058_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_10_clk.X _1007_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_10_clk.X _0944_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_10_clk.X _0946_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_10_clk.X _0943_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_11_clk.X _0941_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_11_clk.X _0940_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_11_clk.X _0936_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_11_clk.X _0938_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_11_clk.X _0935_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_11_clk.X _0933_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_11_clk.X _0937_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_11_clk.X _0945_.CLK (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_11_clk.X _0924_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_11_clk.X _0923_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_11_clk.X _0925_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_11_clk.X _0926_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_12_clk.X _0929_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_12_clk.X _0930_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_12_clk.X _0927_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_12_clk.X _0928_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_12_clk.X _0804_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_12_clk.X _0891_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_12_clk.X _0921_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_12_clk.X _0922_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_12_clk.X _0948_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_12_clk.X _0947_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_13_clk.X _0838_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_13_clk.X _0934_.CLK (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_13_clk.X _0931_.CLK (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_13_clk.X _0932_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_13_clk.X _0837_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_13_clk.X _0942_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_13_clk.X _0939_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_13_clk.X _0842_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_13_clk.X _0834_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_13_clk.X _0835_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_14_clk.X _1009_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_14_clk.X _1008_.CLK (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_14_clk.X _1010_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_14_clk.X _1011_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_14_clk.X _1013_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_14_clk.X _1012_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_14_clk.X _1014_.CLK (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_15_clk.X _1021_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_15_clk.X _0856_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_15_clk.X _0836_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_15_clk.X _0839_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_15_clk.X _0843_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_16_clk.X _0852_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_16_clk.X _0859_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_16_clk.X _0860_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_16_clk.X _0855_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_16_clk.X _0853_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_16_clk.X _0854_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_16_clk.X _1024_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_16_clk.X _1023_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_16_clk.X _0845_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_16_clk.X _0851_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_16_clk.X _0850_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_16_clk.X _0848_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_17_clk.X _0878_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_17_clk.X _0911_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_17_clk.X _0905_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_17_clk.X _0908_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_17_clk.X _0910_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_17_clk.X _0917_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_17_clk.X _0918_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_17_clk.X _0916_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_17_clk.X _0904_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_17_clk.X _0901_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_17_clk.X _0900_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_17_clk.X _0898_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_17_clk.X _1025_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_17_clk.X _0896_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_18_clk.X _0919_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_18_clk.X _0895_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_18_clk.X _0899_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_18_clk.X _0902_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_18_clk.X _0903_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_18_clk.X _0892_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_18_clk.X _0893_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_18_clk.X _1018_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_18_clk.X _1032_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_18_clk.X _1035_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_18_clk.X _1017_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_18_clk.X _1026_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_18_clk.X _1019_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_18_clk.X _1020_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_19_clk.X _1022_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_19_clk.X _1034_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_19_clk.X _1031_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_19_clk.X _1033_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_19_clk.X _1016_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_19_clk.X _1015_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_19_clk.X _0978_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_19_clk.X _1028_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_19_clk.X _1030_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_19_clk.X _1029_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_19_clk.X _0894_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_19_clk.X _1027_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_20_clk.X _0975_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_20_clk.X _0968_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_20_clk.X _0965_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_20_clk.X _0966_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_20_clk.X _0967_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_20_clk.X _0974_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_20_clk.X _0973_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_20_clk.X _0964_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_20_clk.X _0976_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_20_clk.X _0970_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_20_clk.X _0971_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_20_clk.X _0972_.CLK (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_20_clk.X _0952_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_20_clk.X _0969_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_20_clk.X _0951_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_20_clk.X _0977_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_20_clk.X _0950_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_21_clk.X _0959_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_21_clk.X _0920_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_21_clk.X _0957_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_21_clk.X _0958_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_21_clk.X _0960_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_21_clk.X _0956_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_21_clk.X _0954_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_21_clk.X _0862_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_21_clk.X _0953_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_21_clk.X _0955_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_21_clk.X _0963_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_21_clk.X _0962_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_21_clk.X _0961_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_22_clk.X _0897_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_22_clk.X _0915_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_22_clk.X _0912_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_22_clk.X _0914_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_22_clk.X _0913_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_22_clk.X _0909_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_22_clk.X _0907_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_22_clk.X _0906_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_23_clk.X _0870_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_23_clk.X _0868_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_23_clk.X _0875_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_23_clk.X _0877_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_23_clk.X _0869_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_23_clk.X _0872_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_23_clk.X _0867_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_24_clk.X _0820_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_24_clk.X _0822_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_24_clk.X _0819_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_24_clk.X _0821_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_24_clk.X _0826_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_24_clk.X _0825_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_24_clk.X _0823_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_24_clk.X _0816_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_24_clk.X _0824_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_24_clk.X _0817_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_24_clk.X _0818_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_24_clk.X _0815_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_24_clk.X _0806_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_24_clk.X _0805_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_24_clk.X _0807_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_25_clk.X _0808_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_25_clk.X _0809_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_25_clk.X _0810_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_25_clk.X _1065_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_25_clk.X _0980_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_25_clk.X _0831_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_25_clk.X _0832_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_25_clk.X _0830_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_26_clk.X _0981_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_26_clk.X _0828_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_26_clk.X _0827_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_26_clk.X _0829_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_26_clk.X _0983_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_26_clk.X _0982_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_26_clk.X _0979_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_27_clk.X _0986_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_27_clk.X _0985_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_27_clk.X _1006_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_27_clk.X _1005_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_27_clk.X _1004_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_27_clk.X _0949_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_27_clk.X _1003_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_27_clk.X _1001_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_27_clk.X _1002_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_0_clk.X clkbuf_1_0__f_clk.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_0_clk.X clkbuf_1_1__f_clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_0_clk.X ANTENNA_clkbuf_1_1__f_clk_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_0_clk.X ANTENNA_clkbuf_1_0__f_clk_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_1_0__f_clk.X clkbuf_leaf_0_clk.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT clkbuf_1_0__f_clk.X clkbuf_leaf_1_clk.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_1_0__f_clk.X clkbuf_leaf_2_clk.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_1_0__f_clk.X clkbuf_leaf_3_clk.A (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT clkbuf_1_0__f_clk.X clkbuf_leaf_4_clk.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_1_0__f_clk.X clkbuf_opt_1_0_clk.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_1_0__f_clk.X clkbuf_leaf_6_clk.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_1_0__f_clk.X clkbuf_leaf_23_clk.A (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT clkbuf_1_0__f_clk.X clkbuf_leaf_24_clk.A (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_1_0__f_clk.X clkbuf_leaf_25_clk.A (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_1_0__f_clk.X clkbuf_leaf_26_clk.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_1_0__f_clk.X clkbuf_leaf_27_clk.A (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT clkbuf_1_0__f_clk.X ANTENNA_clkbuf_leaf_27_clk_A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT clkbuf_1_0__f_clk.X ANTENNA_clkbuf_leaf_26_clk_A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_1_0__f_clk.X ANTENNA_clkbuf_leaf_25_clk_A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_1_0__f_clk.X ANTENNA_clkbuf_leaf_24_clk_A.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_1_0__f_clk.X ANTENNA_clkbuf_leaf_23_clk_A.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT clkbuf_1_0__f_clk.X ANTENNA_clkbuf_leaf_6_clk_A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_1_0__f_clk.X ANTENNA_clkbuf_opt_1_0_clk_A.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_1_0__f_clk.X ANTENNA_clkbuf_leaf_4_clk_A.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_1_0__f_clk.X ANTENNA_clkbuf_leaf_3_clk_A.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT clkbuf_1_0__f_clk.X ANTENNA_clkbuf_leaf_2_clk_A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_1_0__f_clk.X ANTENNA_clkbuf_leaf_1_clk_A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_1_0__f_clk.X ANTENNA_clkbuf_leaf_0_clk_A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT clkbuf_1_1__f_clk.X clkbuf_leaf_7_clk.A (0.018:0.018:0.018) (0.017:0.017:0.017))
(INTERCONNECT clkbuf_1_1__f_clk.X clkbuf_leaf_8_clk.A (0.019:0.019:0.019) (0.017:0.017:0.017))
(INTERCONNECT clkbuf_1_1__f_clk.X clkbuf_leaf_9_clk.A (0.017:0.017:0.017) (0.016:0.016:0.016))
(INTERCONNECT clkbuf_1_1__f_clk.X clkbuf_leaf_10_clk.A (0.017:0.017:0.017) (0.016:0.016:0.016))
(INTERCONNECT clkbuf_1_1__f_clk.X clkbuf_leaf_11_clk.A (0.015:0.015:0.015) (0.014:0.014:0.014))
(INTERCONNECT clkbuf_1_1__f_clk.X clkbuf_opt_2_0_clk.A (0.014:0.014:0.014) (0.013:0.013:0.013))
(INTERCONNECT clkbuf_1_1__f_clk.X clkbuf_leaf_13_clk.A (0.013:0.013:0.013) (0.012:0.012:0.012))
(INTERCONNECT clkbuf_1_1__f_clk.X clkbuf_leaf_14_clk.A (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT clkbuf_1_1__f_clk.X clkbuf_leaf_15_clk.A (0.009:0.009:0.009) (0.008:0.008:0.008))
(INTERCONNECT clkbuf_1_1__f_clk.X clkbuf_leaf_16_clk.A (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_1_1__f_clk.X clkbuf_leaf_17_clk.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_1_1__f_clk.X clkbuf_leaf_18_clk.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_1_1__f_clk.X clkbuf_leaf_19_clk.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT clkbuf_1_1__f_clk.X clkbuf_leaf_20_clk.A (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT clkbuf_1_1__f_clk.X clkbuf_opt_3_0_clk.A (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT clkbuf_1_1__f_clk.X clkbuf_leaf_22_clk.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_1_1__f_clk.X ANTENNA_clkbuf_leaf_22_clk_A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_1_1__f_clk.X ANTENNA_clkbuf_opt_3_0_clk_A.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT clkbuf_1_1__f_clk.X ANTENNA_clkbuf_leaf_20_clk_A.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT clkbuf_1_1__f_clk.X ANTENNA_clkbuf_leaf_19_clk_A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT clkbuf_1_1__f_clk.X ANTENNA_clkbuf_leaf_18_clk_A.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_1_1__f_clk.X ANTENNA_clkbuf_leaf_17_clk_A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_1_1__f_clk.X ANTENNA_clkbuf_leaf_16_clk_A.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_1_1__f_clk.X ANTENNA_clkbuf_leaf_15_clk_A.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
(INTERCONNECT clkbuf_1_1__f_clk.X ANTENNA_clkbuf_leaf_14_clk_A.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT clkbuf_1_1__f_clk.X ANTENNA_clkbuf_leaf_13_clk_A.DIODE (0.014:0.014:0.014) (0.012:0.012:0.012))
(INTERCONNECT clkbuf_1_1__f_clk.X ANTENNA_clkbuf_opt_2_0_clk_A.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
(INTERCONNECT clkbuf_1_1__f_clk.X ANTENNA_clkbuf_leaf_11_clk_A.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
(INTERCONNECT clkbuf_1_1__f_clk.X ANTENNA_clkbuf_leaf_10_clk_A.DIODE (0.017:0.017:0.017) (0.015:0.015:0.015))
(INTERCONNECT clkbuf_1_1__f_clk.X ANTENNA_clkbuf_leaf_9_clk_A.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
(INTERCONNECT clkbuf_1_1__f_clk.X ANTENNA_clkbuf_leaf_8_clk_A.DIODE (0.019:0.019:0.019) (0.017:0.017:0.017))
(INTERCONNECT clkbuf_1_1__f_clk.X ANTENNA_clkbuf_leaf_7_clk_A.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
(INTERCONNECT clkbuf_opt_1_0_clk.X clkbuf_leaf_5_clk.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_opt_2_0_clk.X clkbuf_leaf_12_clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_3_0_clk.X clkbuf_leaf_21_clk.A (0.000:0.000:0.000) (0.000:0.000:0.000))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0501_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.127:0.127:0.127) (0.553:0.553:0.553))
(IOPATH B X (0.129:0.129:0.129) (0.537:0.537:0.537))
(IOPATH C X (0.125:0.125:0.125) (0.503:0.503:0.503))
(IOPATH D X (0.123:0.123:0.123) (0.436:0.436:0.436))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0502_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.125:0.125:0.125) (0.549:0.549:0.549))
(IOPATH B X (0.128:0.128:0.128) (0.533:0.533:0.533))
(IOPATH C X (0.123:0.123:0.123) (0.500:0.500:0.500))
(IOPATH D X (0.121:0.121:0.121) (0.432:0.432:0.432))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0503_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.123:0.123:0.123) (0.543:0.543:0.543))
(IOPATH B X (0.123:0.123:0.123) (0.526:0.526:0.526))
(IOPATH C X (0.120:0.120:0.120) (0.493:0.493:0.493))
(IOPATH D X (0.115:0.115:0.115) (0.425:0.425:0.425))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0504_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.103:0.103:0.103) (0.522:0.522:0.522))
(IOPATH B X (0.108:0.108:0.108) (0.507:0.507:0.507))
(IOPATH C X (0.102:0.102:0.102) (0.473:0.473:0.473))
(IOPATH D X (0.100:0.100:0.100) (0.406:0.406:0.406))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0505_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.091:0.091:0.091) (0.496:0.496:0.496))
(IOPATH B X (0.091:0.091:0.091) (0.480:0.480:0.480))
(IOPATH C X (0.087:0.087:0.087) (0.447:0.447:0.447))
(IOPATH D X (0.086:0.086:0.086) (0.379:0.379:0.379))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0506_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.101:0.101:0.101) (0.515:0.515:0.515))
(IOPATH B X (0.100:0.100:0.100) (0.497:0.497:0.497))
(IOPATH C X (0.099:0.099:0.099) (0.465:0.465:0.465))
(IOPATH D X (0.095:0.095:0.095) (0.397:0.397:0.397))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0507_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.107:0.107:0.107) (0.527:0.527:0.527))
(IOPATH B X (0.109:0.109:0.109) (0.511:0.511:0.511))
(IOPATH C X (0.105:0.105:0.105) (0.478:0.478:0.478))
(IOPATH D X (0.102:0.102:0.102) (0.410:0.410:0.410))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0508_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.136:0.136:0.136) (0.573:0.573:0.573))
(IOPATH B X (0.130:0.130:0.130) (0.550:0.550:0.550))
(IOPATH C X (0.131:0.132:0.132) (0.520:0.520:0.520))
(IOPATH D X (0.132:0.133:0.133) (0.453:0.453:0.454))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor4_1")
(INSTANCE _0509_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.329:0.329:0.329) (0.064:0.065:0.065))
(IOPATH B Y (0.315:0.315:0.315) (0.062:0.062:0.063))
(IOPATH C Y (0.281:0.281:0.281) (0.059:0.059:0.059))
(IOPATH D Y (0.226:0.227:0.227) (0.058:0.058:0.058))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0510_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.101:0.101:0.101) (0.520:0.520:0.520))
(IOPATH B X (0.104:0.104:0.104) (0.503:0.503:0.503))
(IOPATH C X (0.100:0.100:0.100) (0.470:0.470:0.470))
(IOPATH D X (0.096:0.096:0.096) (0.402:0.402:0.402))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0511_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.099:0.099:0.099) (0.512:0.512:0.512))
(IOPATH B X (0.101:0.101:0.101) (0.496:0.496:0.496))
(IOPATH C X (0.097:0.097:0.097) (0.463:0.463:0.463))
(IOPATH D X (0.092:0.092:0.092) (0.395:0.395:0.395))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0512_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.108:0.108:0.108) (0.526:0.526:0.526))
(IOPATH B X (0.110:0.110:0.110) (0.510:0.510:0.510))
(IOPATH C X (0.106:0.106:0.106) (0.477:0.477:0.477))
(IOPATH D X (0.102:0.102:0.102) (0.409:0.409:0.409))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _0513_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.143:0.143:0.143) (0.265:0.265:0.265))
(IOPATH A2 X (0.144:0.144:0.144) (0.301:0.301:0.301))
(IOPATH B1 X (0.100:0.100:0.100) (0.266:0.266:0.266))
(IOPATH C1 X (0.099:0.099:0.099) (0.228:0.228:0.228))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0514_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.106:0.106:0.106) (0.525:0.525:0.525))
(IOPATH B X (0.106:0.106:0.106) (0.508:0.508:0.508))
(IOPATH C X (0.105:0.105:0.105) (0.475:0.475:0.475))
(IOPATH D X (0.100:0.100:0.100) (0.407:0.407:0.407))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0515_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.104:0.104:0.104) (0.522:0.522:0.522))
(IOPATH B X (0.111:0.111:0.111) (0.508:0.508:0.508))
(IOPATH C X (0.104:0.104:0.104) (0.473:0.473:0.473))
(IOPATH D X (0.099:0.099:0.099) (0.405:0.405:0.405))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0516_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.089:0.089:0.089) (0.497:0.497:0.497))
(IOPATH B X (0.091:0.091:0.091) (0.481:0.481:0.481))
(IOPATH C X (0.087:0.087:0.087) (0.448:0.448:0.448))
(IOPATH D X (0.083:0.083:0.083) (0.380:0.380:0.380))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0517_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.114:0.114:0.115) (0.533:0.533:0.534))
(IOPATH B X (0.115:0.116:0.116) (0.528:0.528:0.528))
(IOPATH C X (0.112:0.113:0.113) (0.494:0.494:0.494))
(IOPATH D X (0.102:0.102:0.103) (0.420:0.420:0.420))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor4_1")
(INSTANCE _0518_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.502:0.502:0.502) (0.074:0.075:0.075))
(IOPATH B Y (0.487:0.487:0.487) (0.070:0.071:0.071))
(IOPATH C Y (0.460:0.460:0.460) (0.073:0.073:0.073))
(IOPATH D Y (0.402:0.402:0.402) (0.070:0.070:0.070))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _0519_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.187:0.187:0.187) (0.187:0.187:0.187))
(IOPATH B X (0.191:0.191:0.191) (0.154:0.156:0.159))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _0520_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.077:0.078) (0.079:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _0521_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.096:0.096:0.096) (0.361:0.361:0.361))
(IOPATH B X (0.095:0.095:0.095) (0.338:0.338:0.338))
(IOPATH C X (0.091:0.091:0.091) (0.302:0.302:0.302))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0522_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.091:0.091:0.091) (0.494:0.494:0.494))
(IOPATH B X (0.091:0.091:0.091) (0.477:0.477:0.477))
(IOPATH C X (0.086:0.086:0.086) (0.443:0.443:0.443))
(IOPATH D X (0.081:0.081:0.081) (0.375:0.375:0.375))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0523_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.094:0.094:0.094) (0.505:0.505:0.505))
(IOPATH B X (0.095:0.095:0.095) (0.489:0.489:0.489))
(IOPATH C X (0.093:0.093:0.093) (0.456:0.456:0.456))
(IOPATH D X (0.091:0.091:0.091) (0.388:0.388:0.388))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0524_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.099:0.099:0.099) (0.515:0.515:0.515))
(IOPATH B X (0.100:0.100:0.100) (0.498:0.498:0.498))
(IOPATH C X (0.098:0.098:0.098) (0.465:0.465:0.465))
(IOPATH D X (0.095:0.095:0.095) (0.397:0.397:0.397))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0525_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.108:0.109:0.109) (0.536:0.536:0.536))
(IOPATH B X (0.104:0.105:0.105) (0.520:0.520:0.520))
(IOPATH C X (0.105:0.105:0.106) (0.489:0.489:0.489))
(IOPATH D X (0.104:0.104:0.104) (0.422:0.422:0.422))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0526_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.103:0.103:0.103) (0.517:0.517:0.517))
(IOPATH B X (0.102:0.102:0.102) (0.500:0.500:0.500))
(IOPATH C X (0.099:0.099:0.099) (0.467:0.467:0.467))
(IOPATH D X (0.100:0.100:0.100) (0.400:0.400:0.400))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0527_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.098:0.098:0.098) (0.513:0.513:0.513))
(IOPATH B X (0.099:0.099:0.099) (0.497:0.497:0.497))
(IOPATH C X (0.100:0.100:0.100) (0.465:0.465:0.465))
(IOPATH D X (0.096:0.096:0.096) (0.397:0.397:0.397))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0528_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.100:0.100:0.100) (0.517:0.517:0.517))
(IOPATH B X (0.103:0.103:0.103) (0.501:0.501:0.501))
(IOPATH C X (0.103:0.104:0.104) (0.484:0.484:0.484))
(IOPATH D X (0.099:0.099:0.100) (0.414:0.415:0.415))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _0529_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.240:0.240:0.240) (0.076:0.076:0.076))
(IOPATH B Y (0.224:0.224:0.224) (0.069:0.069:0.070))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _0530_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.216:0.216:0.216) (0.203:0.203:0.203))
(IOPATH B X (0.193:0.193:0.193) (0.181:0.182:0.183))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _0531_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.090:0.090:0.090) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0532_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.118:0.118:0.118) (0.539:0.539:0.539))
(IOPATH B X (0.121:0.121:0.121) (0.523:0.523:0.523))
(IOPATH C X (0.119:0.119:0.119) (0.491:0.491:0.491))
(IOPATH D X (0.116:0.116:0.116) (0.423:0.423:0.423))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0533_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.114:0.114:0.114) (0.533:0.533:0.533))
(IOPATH B X (0.118:0.118:0.118) (0.517:0.517:0.517))
(IOPATH C X (0.112:0.112:0.112) (0.483:0.483:0.483))
(IOPATH D X (0.108:0.108:0.108) (0.416:0.416:0.416))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0534_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.093:0.093:0.093) (0.502:0.502:0.502))
(IOPATH B X (0.097:0.097:0.097) (0.486:0.486:0.486))
(IOPATH C X (0.091:0.091:0.091) (0.452:0.452:0.452))
(IOPATH D X (0.089:0.089:0.089) (0.384:0.384:0.384))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0535_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.106:0.106:0.106) (0.527:0.527:0.527))
(IOPATH B X (0.108:0.108:0.108) (0.510:0.510:0.510))
(IOPATH C X (0.106:0.106:0.106) (0.477:0.477:0.477))
(IOPATH D X (0.101:0.101:0.101) (0.409:0.409:0.409))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0536_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.093:0.093:0.093) (0.505:0.505:0.505))
(IOPATH B X (0.097:0.097:0.097) (0.489:0.489:0.489))
(IOPATH C X (0.094:0.094:0.094) (0.456:0.456:0.456))
(IOPATH D X (0.090:0.090:0.090) (0.388:0.388:0.388))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0537_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.101:0.101:0.101) (0.519:0.519:0.519))
(IOPATH B X (0.103:0.103:0.103) (0.503:0.503:0.503))
(IOPATH C X (0.100:0.100:0.100) (0.470:0.470:0.470))
(IOPATH D X (0.099:0.099:0.099) (0.403:0.403:0.403))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0538_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.129:0.130:0.130) (0.569:0.569:0.569))
(IOPATH B X (0.138:0.138:0.138) (0.556:0.556:0.556))
(IOPATH C X (0.129:0.129:0.129) (0.518:0.518:0.519))
(IOPATH D X (0.129:0.130:0.130) (0.452:0.452:0.452))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor3_2")
(INSTANCE _0539_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.336:0.337:0.337) (0.070:0.071:0.071))
(IOPATH B Y (0.315:0.315:0.315) (0.068:0.068:0.069))
(IOPATH C Y (0.273:0.273:0.273) (0.071:0.071:0.071))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _0540_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.219:0.219:0.219) (0.209:0.209:0.209))
(IOPATH B X (0.204:0.204:0.204) (0.176:0.177:0.178))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _0541_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.084:0.085:0.085) (0.087:0.087:0.087))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0542_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.096:0.096:0.096) (0.510:0.510:0.510))
(IOPATH B X (0.099:0.099:0.099) (0.494:0.494:0.494))
(IOPATH C X (0.095:0.095:0.095) (0.461:0.461:0.461))
(IOPATH D X (0.091:0.091:0.091) (0.393:0.393:0.393))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0543_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.092:0.092:0.092) (0.503:0.503:0.503))
(IOPATH B X (0.096:0.096:0.096) (0.488:0.488:0.488))
(IOPATH C X (0.091:0.091:0.091) (0.454:0.454:0.454))
(IOPATH D X (0.090:0.091:0.091) (0.400:0.400:0.401))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0544_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.103:0.103:0.103) (0.517:0.517:0.517))
(IOPATH B X (0.100:0.100:0.100) (0.499:0.499:0.499))
(IOPATH C X (0.099:0.099:0.099) (0.466:0.466:0.466))
(IOPATH D X (0.094:0.094:0.094) (0.398:0.398:0.398))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0545_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.091:0.091:0.091) (0.499:0.499:0.499))
(IOPATH B X (0.093:0.093:0.093) (0.483:0.483:0.483))
(IOPATH C X (0.089:0.089:0.089) (0.449:0.449:0.449))
(IOPATH D X (0.086:0.086:0.086) (0.381:0.381:0.381))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0546_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.094:0.094:0.094) (0.505:0.505:0.505))
(IOPATH B X (0.095:0.095:0.095) (0.489:0.489:0.489))
(IOPATH C X (0.093:0.093:0.093) (0.456:0.456:0.456))
(IOPATH D X (0.092:0.092:0.092) (0.388:0.388:0.388))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0547_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.099:0.099:0.099) (0.515:0.515:0.515))
(IOPATH B X (0.101:0.101:0.101) (0.499:0.499:0.499))
(IOPATH C X (0.097:0.097:0.097) (0.466:0.466:0.466))
(IOPATH D X (0.095:0.095:0.095) (0.398:0.398:0.398))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0548_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.122:0.122:0.123) (0.560:0.560:0.560))
(IOPATH B X (0.119:0.120:0.120) (0.539:0.539:0.539))
(IOPATH C X (0.118:0.118:0.119) (0.507:0.507:0.507))
(IOPATH D X (0.117:0.117:0.118) (0.440:0.440:0.440))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _0549_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.274:0.275:0.275) (0.079:0.080:0.080))
(IOPATH B Y (0.269:0.270:0.270) (0.088:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _0550_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.187:0.187:0.187) (0.184:0.184:0.184))
(IOPATH B X (0.172:0.172:0.172) (0.165:0.166:0.167))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _0551_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.119:0.120:0.120) (0.105:0.105:0.106))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _0552_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.060:0.060:0.060) (0.048:0.048:0.048))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0553_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.098:0.098:0.098) (0.513:0.513:0.513))
(IOPATH B X (0.099:0.099:0.099) (0.496:0.496:0.496))
(IOPATH C X (0.096:0.096:0.096) (0.464:0.464:0.464))
(IOPATH D X (0.092:0.092:0.092) (0.396:0.396:0.396))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _0554_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.117:0.117:0.117) (0.384:0.384:0.384))
(IOPATH B X (0.118:0.118:0.118) (0.362:0.362:0.362))
(IOPATH C X (0.116:0.116:0.116) (0.342:0.343:0.343))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0555_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.104:0.104:0.104) (0.520:0.520:0.520))
(IOPATH B X (0.104:0.104:0.104) (0.504:0.504:0.504))
(IOPATH C X (0.101:0.101:0.101) (0.470:0.470:0.470))
(IOPATH D X (0.098:0.098:0.098) (0.403:0.403:0.403))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0556_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.091:0.091:0.091) (0.500:0.500:0.500))
(IOPATH B X (0.093:0.093:0.093) (0.484:0.484:0.484))
(IOPATH C X (0.091:0.091:0.091) (0.452:0.452:0.452))
(IOPATH D X (0.089:0.089:0.089) (0.384:0.384:0.384))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0557_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.093:0.093:0.093) (0.505:0.505:0.505))
(IOPATH B X (0.095:0.095:0.095) (0.488:0.488:0.488))
(IOPATH C X (0.093:0.093:0.093) (0.456:0.456:0.456))
(IOPATH D X (0.089:0.089:0.089) (0.388:0.388:0.388))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0558_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.101:0.101:0.101) (0.519:0.519:0.519))
(IOPATH B X (0.103:0.103:0.103) (0.503:0.503:0.503))
(IOPATH C X (0.102:0.102:0.102) (0.470:0.470:0.470))
(IOPATH D X (0.099:0.099:0.099) (0.403:0.403:0.403))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0559_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.138:0.138:0.138) (0.578:0.578:0.578))
(IOPATH B X (0.134:0.134:0.134) (0.556:0.556:0.556))
(IOPATH C X (0.132:0.132:0.133) (0.523:0.523:0.524))
(IOPATH D X (0.133:0.133:0.133) (0.457:0.457:0.458))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _0560_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.189:0.189:0.189) (0.070:0.070:0.070))
(IOPATH B Y (0.187:0.188:0.188) (0.071:0.072:0.072))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _0561_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.118:0.118:0.118) (0.132:0.132:0.132))
(IOPATH B X (0.157:0.157:0.157) (0.158:0.158:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _0562_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.080:0.080) (0.081:0.082:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0563_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.090:0.090:0.090) (0.496:0.496:0.496))
(IOPATH B X (0.093:0.093:0.093) (0.481:0.481:0.481))
(IOPATH C X (0.092:0.092:0.092) (0.448:0.448:0.448))
(IOPATH D X (0.087:0.087:0.087) (0.379:0.379:0.379))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _0564_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.118:0.118:0.118) (0.385:0.385:0.385))
(IOPATH B X (0.117:0.117:0.117) (0.362:0.362:0.362))
(IOPATH C X (0.113:0.113:0.113) (0.340:0.340:0.341))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0565_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.108:0.108:0.108) (0.529:0.529:0.529))
(IOPATH B X (0.111:0.111:0.111) (0.513:0.513:0.513))
(IOPATH C X (0.108:0.108:0.108) (0.480:0.480:0.480))
(IOPATH D X (0.104:0.104:0.104) (0.412:0.412:0.412))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0566_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.096:0.096:0.096) (0.508:0.508:0.508))
(IOPATH B X (0.098:0.098:0.098) (0.491:0.491:0.491))
(IOPATH C X (0.093:0.093:0.093) (0.458:0.458:0.458))
(IOPATH D X (0.089:0.089:0.089) (0.390:0.390:0.390))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0567_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.093:0.093:0.093) (0.504:0.504:0.504))
(IOPATH B X (0.094:0.094:0.094) (0.487:0.487:0.487))
(IOPATH C X (0.092:0.092:0.092) (0.455:0.455:0.455))
(IOPATH D X (0.092:0.092:0.092) (0.387:0.387:0.387))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _0568_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.096:0.096:0.096) (0.358:0.358:0.358))
(IOPATH B X (0.095:0.095:0.095) (0.335:0.335:0.335))
(IOPATH C X (0.088:0.088:0.088) (0.298:0.298:0.298))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0569_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.155:0.155:0.155) (0.595:0.596:0.596))
(IOPATH B X (0.149:0.149:0.149) (0.574:0.574:0.574))
(IOPATH C X (0.145:0.145:0.146) (0.539:0.539:0.540))
(IOPATH D X (0.143:0.143:0.143) (0.466:0.466:0.466))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _0570_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.202:0.202:0.202) (0.073:0.073:0.074))
(IOPATH B Y (0.204:0.204:0.205) (0.080:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _0571_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.200:0.200:0.200) (0.193:0.193:0.193))
(IOPATH B X (0.166:0.167:0.167) (0.167:0.167:0.168))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _0572_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.080:0.081) (0.083:0.083:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0573_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.087:0.087:0.087) (0.494:0.494:0.494))
(IOPATH B X (0.093:0.093:0.093) (0.479:0.479:0.479))
(IOPATH C X (0.087:0.087:0.087) (0.445:0.445:0.445))
(IOPATH D X (0.082:0.082:0.082) (0.377:0.377:0.377))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0574_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.098:0.098:0.098) (0.511:0.511:0.511))
(IOPATH B X (0.099:0.099:0.099) (0.494:0.494:0.494))
(IOPATH C X (0.096:0.096:0.096) (0.461:0.461:0.461))
(IOPATH D X (0.091:0.091:0.091) (0.393:0.393:0.393))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _0575_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.166:0.166:0.167) (0.289:0.289:0.289))
(IOPATH B X (0.169:0.169:0.170) (0.274:0.274:0.275))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0576_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.095:0.095:0.095) (0.508:0.508:0.508))
(IOPATH B X (0.097:0.097:0.097) (0.492:0.492:0.492))
(IOPATH C X (0.095:0.095:0.095) (0.459:0.459:0.459))
(IOPATH D X (0.092:0.092:0.092) (0.391:0.391:0.391))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0577_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.093:0.093:0.093) (0.506:0.506:0.506))
(IOPATH B X (0.096:0.096:0.096) (0.490:0.490:0.490))
(IOPATH C X (0.092:0.092:0.092) (0.457:0.457:0.457))
(IOPATH D X (0.089:0.089:0.089) (0.389:0.389:0.389))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0578_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.095:0.095:0.095) (0.505:0.505:0.505))
(IOPATH B X (0.102:0.102:0.102) (0.490:0.490:0.490))
(IOPATH C X (0.092:0.092:0.092) (0.456:0.456:0.456))
(IOPATH D X (0.088:0.088:0.088) (0.388:0.388:0.388))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_2")
(INSTANCE _0579_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.155:0.155:0.156) (0.548:0.548:0.549))
(IOPATH B X (0.153:0.153:0.153) (0.523:0.523:0.524))
(IOPATH C X (0.150:0.150:0.151) (0.484:0.484:0.484))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor3_1")
(INSTANCE _0580_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.204:0.204:0.204) (0.082:0.082:0.082))
(IOPATH B Y (0.197:0.197:0.197) (0.075:0.075:0.075))
(IOPATH C Y (0.168:0.168:0.168) (0.057:0.057:0.057))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0581_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.094:0.094:0.094) (0.506:0.506:0.506))
(IOPATH B X (0.095:0.095:0.095) (0.490:0.490:0.490))
(IOPATH C X (0.093:0.093:0.093) (0.457:0.457:0.457))
(IOPATH D X (0.091:0.091:0.091) (0.389:0.389:0.389))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0582_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.097:0.097:0.097) (0.504:0.504:0.504))
(IOPATH B X (0.094:0.094:0.094) (0.487:0.487:0.487))
(IOPATH C X (0.095:0.095:0.095) (0.455:0.455:0.455))
(IOPATH D X (0.091:0.091:0.091) (0.386:0.386:0.386))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _0583_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.171:0.171:0.172) (0.293:0.293:0.293))
(IOPATH B X (0.170:0.170:0.170) (0.274:0.274:0.274))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _0584_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.098:0.098:0.098) (0.359:0.359:0.359))
(IOPATH B X (0.092:0.092:0.092) (0.334:0.334:0.334))
(IOPATH C X (0.089:0.089:0.089) (0.298:0.298:0.298))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0585_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.103:0.103:0.103) (0.519:0.519:0.519))
(IOPATH B X (0.105:0.105:0.105) (0.503:0.503:0.503))
(IOPATH C X (0.100:0.100:0.100) (0.469:0.469:0.469))
(IOPATH D X (0.097:0.097:0.097) (0.401:0.401:0.401))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0586_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.096:0.096:0.096) (0.506:0.506:0.506))
(IOPATH B X (0.095:0.095:0.095) (0.488:0.488:0.488))
(IOPATH C X (0.093:0.093:0.093) (0.456:0.456:0.456))
(IOPATH D X (0.089:0.089:0.089) (0.387:0.387:0.387))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_2")
(INSTANCE _0587_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.158:0.158:0.158) (0.546:0.546:0.546))
(IOPATH B X (0.159:0.159:0.159) (0.529:0.529:0.529))
(IOPATH C X (0.153:0.153:0.154) (0.487:0.487:0.487))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor3_1")
(INSTANCE _0588_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.193:0.193:0.193) (0.078:0.078:0.078))
(IOPATH B Y (0.188:0.188:0.188) (0.073:0.073:0.073))
(IOPATH C Y (0.160:0.160:0.160) (0.056:0.056:0.056))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0589_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.097:0.097:0.097) (0.511:0.511:0.511))
(IOPATH B X (0.100:0.100:0.100) (0.495:0.495:0.495))
(IOPATH C X (0.099:0.099:0.099) (0.463:0.463:0.463))
(IOPATH D X (0.093:0.093:0.093) (0.394:0.394:0.394))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_2")
(INSTANCE _0590_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.157:0.157:0.157) (0.531:0.531:0.531))
(IOPATH B X (0.152:0.152:0.152) (0.505:0.505:0.505))
(IOPATH C X (0.153:0.154:0.154) (0.486:0.486:0.486))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0591_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.099:0.099:0.099) (0.514:0.514:0.514))
(IOPATH B X (0.100:0.100:0.100) (0.497:0.497:0.497))
(IOPATH C X (0.097:0.097:0.097) (0.464:0.464:0.464))
(IOPATH D X (0.096:0.096:0.096) (0.397:0.397:0.397))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0592_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.090:0.090:0.090) (0.497:0.497:0.497))
(IOPATH B X (0.090:0.090:0.090) (0.480:0.480:0.480))
(IOPATH C X (0.089:0.089:0.089) (0.447:0.447:0.447))
(IOPATH D X (0.083:0.083:0.083) (0.379:0.379:0.379))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _0593_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.098:0.098:0.098) (0.514:0.514:0.514))
(IOPATH B X (0.099:0.099:0.099) (0.498:0.498:0.498))
(IOPATH C X (0.097:0.097:0.097) (0.465:0.465:0.465))
(IOPATH D X (0.094:0.094:0.094) (0.397:0.397:0.397))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_2")
(INSTANCE _0594_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.157:0.157:0.157) (0.549:0.549:0.550))
(IOPATH B X (0.151:0.151:0.151) (0.521:0.521:0.521))
(IOPATH C X (0.152:0.153:0.153) (0.486:0.486:0.486))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor3_1")
(INSTANCE _0595_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.253:0.253:0.253) (0.095:0.095:0.095))
(IOPATH B Y (0.253:0.253:0.253) (0.073:0.073:0.074))
(IOPATH C Y (0.216:0.216:0.216) (0.068:0.068:0.068))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4_1")
(INSTANCE _0596_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.253:0.253:0.253) (0.192:0.192:0.192))
(IOPATH B X (0.230:0.230:0.230) (0.195:0.195:0.195))
(IOPATH C X (0.244:0.244:0.244) (0.217:0.217:0.217))
(IOPATH D X (0.260:0.260:0.260) (0.238:0.238:0.238))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _0597_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.177:0.177:0.177) (0.157:0.157:0.157))
(IOPATH B X (0.193:0.193:0.193) (0.183:0.183:0.183))
(IOPATH C X (0.205:0.205:0.205) (0.205:0.206:0.208))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _0598_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.191:0.191:0.191) (0.306:0.306:0.306))
(IOPATH A2 X (0.195:0.195:0.195) (0.344:0.345:0.346))
(IOPATH B1 X (0.177:0.178:0.178) (0.348:0.348:0.348))
(IOPATH C1 X (0.161:0.162:0.162) (0.291:0.291:0.291))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xnor2_1")
(INSTANCE _0599_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.141:0.141:0.141) (0.140:0.140:0.140))
(IOPATH A Y (0.174:0.174:0.174) (0.097:0.097:0.097))
(IOPATH B Y (0.138:0.138:0.138) (0.132:0.132:0.132))
(IOPATH B Y (0.151:0.151:0.151) (0.083:0.083:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _0600_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.103:0.104:0.104) (0.058:0.058:0.059))
(IOPATH B Y (0.070:0.073:0.075) (0.037:0.043:0.049))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _0601_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.194:0.194:0.194) (0.168:0.168:0.168))
(IOPATH B X (0.176:0.176:0.176) (0.173:0.173:0.173))
(IOPATH C X (0.191:0.191:0.191) (0.199:0.199:0.199))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _0602_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.156:0.156:0.156) (0.095:0.095:0.095))
(IOPATH A2 Y (0.169:0.169:0.169) (0.093:0.093:0.093))
(IOPATH B1 Y (0.134:0.134:0.134) (0.052:0.052:0.052))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor3_1")
(INSTANCE _0603_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.171:0.172:0.172) (0.055:0.055:0.055))
(IOPATH B Y (0.173:0.174:0.174) (0.066:0.066:0.066))
(IOPATH C Y (0.120:0.124:0.128) (0.057:0.058:0.059))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _0604_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.094:0.094:0.094) (0.217:0.217:0.217))
(IOPATH B X (0.100:0.100:0.100) (0.203:0.203:0.204))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3b_1")
(INSTANCE _0605_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.204:0.205:0.206) (0.184:0.184:0.184))
(IOPATH B X (0.141:0.141:0.141) (0.156:0.156:0.156))
(IOPATH C X (0.180:0.180:0.180) (0.174:0.174:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _0606_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.077:0.078) (0.079:0.079:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _0607_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.134:0.134:0.134) (0.139:0.139:0.139))
(IOPATH B X (0.146:0.146:0.146) (0.164:0.165:0.166))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _0608_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.098:0.098:0.098) (0.214:0.214:0.214))
(IOPATH B X (0.107:0.107:0.107) (0.201:0.202:0.203))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3b_1")
(INSTANCE _0609_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.200:0.200:0.200) (0.171:0.171:0.171))
(IOPATH B X (0.143:0.143:0.143) (0.157:0.157:0.157))
(IOPATH C X (0.185:0.185:0.185) (0.177:0.178:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _0610_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.077:0.077) (0.079:0.079:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _0611_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.088:0.088:0.088) (0.210:0.210:0.210))
(IOPATH B X (0.089:0.089:0.089) (0.194:0.194:0.195))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3b_1")
(INSTANCE _0612_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.208:0.209:0.210) (0.183:0.183:0.183))
(IOPATH B X (0.145:0.145:0.145) (0.159:0.159:0.159))
(IOPATH C X (0.187:0.187:0.187) (0.179:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _0613_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.080:0.080) (0.080:0.081:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ba_1")
(INSTANCE _0614_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.130:0.130:0.130) (0.175:0.175:0.175))
(IOPATH A2 X (0.119:0.119:0.119) (0.164:0.164:0.165))
(IOPATH B1_N X (0.221:0.222:0.223) (0.158:0.159:0.159))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _0615_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.196:0.196:0.196) (0.168:0.168:0.168))
(IOPATH B X (0.207:0.207:0.207) (0.193:0.193:0.193))
(IOPATH C X (0.191:0.191:0.191) (0.194:0.194:0.194))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _0616_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.169:0.169:0.169) (0.159:0.159:0.159))
(IOPATH B X (0.183:0.183:0.183) (0.186:0.187:0.188))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a311o_1")
(INSTANCE _0617_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.249:0.249:0.249) (0.318:0.318:0.318))
(IOPATH A2 X (0.257:0.257:0.257) (0.367:0.367:0.367))
(IOPATH A3 X (0.265:0.265:0.265) (0.410:0.411:0.411))
(IOPATH B1 X (0.206:0.206:0.207) (0.400:0.400:0.400))
(IOPATH C1 X (0.196:0.196:0.196) (0.340:0.340:0.340))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _0618_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.107:0.107:0.107) (0.065:0.065:0.065))
(IOPATH B Y (0.103:0.104:0.106) (0.060:0.060:0.060))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xnor2_1")
(INSTANCE _0619_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.131:0.131:0.131) (0.128:0.128:0.128))
(IOPATH A Y (0.163:0.163:0.163) (0.085:0.085:0.085))
(IOPATH B Y (0.137:0.137:0.137) (0.131:0.131:0.131))
(IOPATH B Y (0.149:0.149:0.149) (0.082:0.082:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _0620_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.124:0.125:0.127) (0.073:0.073:0.073))
(IOPATH B Y (0.089:0.090:0.092) (0.043:0.051:0.059))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _0621_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.143:0.143:0.143) (0.081:0.081:0.081))
(IOPATH A2 Y (0.166:0.166:0.166) (0.090:0.090:0.090))
(IOPATH B1 Y (0.128:0.128:0.128) (0.046:0.046:0.046))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor3_1")
(INSTANCE _0622_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.171:0.172:0.172) (0.061:0.061:0.061))
(IOPATH B Y (0.172:0.173:0.175) (0.070:0.070:0.071))
(IOPATH C Y (0.115:0.118:0.121) (0.055:0.056:0.058))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _0623_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.091:0.091:0.091) (0.212:0.212:0.212))
(IOPATH B X (0.101:0.101:0.101) (0.200:0.200:0.201))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3b_1")
(INSTANCE _0624_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.212:0.213:0.213) (0.189:0.189:0.189))
(IOPATH B X (0.147:0.147:0.147) (0.160:0.160:0.160))
(IOPATH C X (0.185:0.185:0.185) (0.178:0.178:0.178))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _0625_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.079) (0.080:0.081:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _0626_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.079:0.079:0.079) (0.077:0.077:0.077))
(IOPATH B Y (0.092:0.092:0.093) (0.086:0.086:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _0627_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.113:0.113:0.113) (0.228:0.228:0.228))
(IOPATH B X (0.117:0.117:0.117) (0.214:0.214:0.214))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _0628_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.182:0.182:0.182) (0.145:0.146:0.146))
(IOPATH B X (0.155:0.156:0.157) (0.168:0.169:0.169))
(IOPATH C X (0.155:0.155:0.155) (0.181:0.181:0.181))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _0629_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.080) (0.080:0.081:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _0630_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.109:0.109:0.109) (0.053:0.053:0.053))
(IOPATH A2 Y (0.137:0.137:0.137) (0.064:0.065:0.066))
(IOPATH B1 Y (0.125:0.127:0.128) (0.057:0.058:0.058))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _0631_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.206:0.206:0.206) (0.175:0.175:0.175))
(IOPATH B X (0.214:0.214:0.214) (0.198:0.198:0.198))
(IOPATH C X (0.198:0.198:0.198) (0.199:0.199:0.199))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _0632_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.157:0.157:0.157) (0.153:0.153:0.153))
(IOPATH B X (0.173:0.173:0.173) (0.182:0.183:0.184))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _0633_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.080:0.080:0.080) (0.078:0.078:0.078))
(IOPATH B Y (0.090:0.090:0.091) (0.082:0.082:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3b_1")
(INSTANCE _0634_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.212:0.212:0.212) (0.191:0.191:0.191))
(IOPATH B X (0.207:0.207:0.207) (0.170:0.171:0.171))
(IOPATH C X (0.159:0.159:0.160) (0.178:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _0635_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.080) (0.080:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _0636_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.062:0.062:0.062) (0.064:0.064:0.064))
(IOPATH B Y (0.072:0.072:0.072) (0.069:0.069:0.069))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _0637_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.111:0.111:0.111) (0.219:0.219:0.219))
(IOPATH B X (0.110:0.110:0.110) (0.202:0.202:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _0638_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.201:0.201:0.202) (0.149:0.149:0.150))
(IOPATH B X (0.137:0.138:0.139) (0.154:0.155:0.156))
(IOPATH C X (0.141:0.141:0.141) (0.170:0.170:0.170))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _0639_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.075:0.076:0.076) (0.077:0.078:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _0640_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.117:0.117:0.117) (0.151:0.151:0.151))
(IOPATH A2 X (0.123:0.123:0.123) (0.174:0.174:0.174))
(IOPATH B1 X (0.076:0.076:0.076) (0.139:0.139:0.139))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3b_1")
(INSTANCE _0641_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.209:0.210:0.211) (0.185:0.185:0.185))
(IOPATH B X (0.143:0.144:0.144) (0.151:0.151:0.152))
(IOPATH C X (0.204:0.204:0.204) (0.188:0.188:0.189))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _0642_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.078:0.079) (0.079:0.080:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _0643_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.094:0.094:0.094) (0.215:0.215:0.215))
(IOPATH B X (0.106:0.106:0.106) (0.204:0.205:0.206))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3b_1")
(INSTANCE _0644_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.208:0.209:0.209) (0.183:0.183:0.183))
(IOPATH B X (0.146:0.146:0.146) (0.160:0.160:0.160))
(IOPATH C X (0.204:0.204:0.204) (0.187:0.188:0.188))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _0645_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.081:0.081) (0.081:0.082:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _0646_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.111:0.111:0.111) (0.226:0.226:0.226))
(IOPATH B X (0.110:0.110:0.110) (0.209:0.209:0.210))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _0647_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.201:0.201:0.201) (0.149:0.149:0.150))
(IOPATH B X (0.146:0.147:0.147) (0.161:0.162:0.162))
(IOPATH C X (0.144:0.144:0.144) (0.173:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _0648_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.088:0.088:0.089) (0.085:0.086:0.087))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _0649_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.201:0.201:0.201) (0.199:0.199:0.199))
(IOPATH B X (0.170:0.170:0.170) (0.152:0.153:0.154))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _0650_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.073:0.074:0.074) (0.078:0.078:0.078))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _0651_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.091:0.091:0.091) (0.092:0.092:0.092))
(IOPATH B Y (0.094:0.094:0.094) (0.090:0.090:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _0652_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.121:0.121:0.121) (0.227:0.227:0.227))
(IOPATH B X (0.113:0.113:0.113) (0.204:0.204:0.204))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _0653_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.204:0.205:0.205) (0.138:0.139:0.140))
(IOPATH B X (0.145:0.146:0.146) (0.162:0.164:0.167))
(IOPATH C X (0.142:0.142:0.142) (0.170:0.170:0.170))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _0654_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.078:0.079) (0.079:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand3_1")
(INSTANCE _0655_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.081:0.081:0.081) (0.094:0.094:0.094))
(IOPATH B Y (0.101:0.101:0.101) (0.105:0.105:0.105))
(IOPATH C Y (0.096:0.096:0.096) (0.101:0.101:0.101))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _0656_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.138:0.138:0.138) (0.170:0.170:0.170))
(IOPATH A2 X (0.138:0.138:0.138) (0.186:0.186:0.186))
(IOPATH B1 X (0.104:0.104:0.104) (0.161:0.161:0.161))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _0657_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.220:0.220:0.220) (0.148:0.149:0.151))
(IOPATH B X (0.158:0.159:0.161) (0.175:0.177:0.178))
(IOPATH C X (0.158:0.159:0.159) (0.177:0.177:0.178))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _0658_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.088:0.089:0.089) (0.086:0.087:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _0659_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.080:0.080:0.080) (0.082:0.082:0.082))
(IOPATH B Y (0.080:0.080:0.080) (0.075:0.075:0.075))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _0660_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.156:0.156:0.156) (0.182:0.182:0.182))
(IOPATH A2 X (0.169:0.169:0.169) (0.218:0.218:0.218))
(IOPATH A3 X (0.164:0.164:0.164) (0.225:0.225:0.225))
(IOPATH B1 X (0.110:0.110:0.110) (0.185:0.185:0.185))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _0661_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.156:0.156:0.157) (0.226:0.228:0.230))
(IOPATH A2 X (0.135:0.136:0.136) (0.205:0.207:0.209))
(IOPATH B1 X (0.141:0.142:0.143) (0.120:0.120:0.121))
(IOPATH C1 X (0.202:0.202:0.202) (0.110:0.111:0.112))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _0662_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.183:0.183:0.183) (0.179:0.179:0.179))
(IOPATH B X (0.161:0.161:0.161) (0.158:0.159:0.160))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _0663_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.080:0.080) (0.082:0.082:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _0664_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.096:0.096:0.096) (0.217:0.217:0.217))
(IOPATH B X (0.100:0.100:0.100) (0.201:0.201:0.201))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _0665_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.081:0.081:0.081) (0.073:0.073:0.073))
(IOPATH B Y (0.091:0.091:0.091) (0.082:0.082:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _0666_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.189:0.190:0.190) (0.143:0.144:0.144))
(IOPATH B X (0.137:0.137:0.137) (0.157:0.157:0.157))
(IOPATH C X (0.153:0.153:0.154) (0.178:0.178:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _0667_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.078:0.078) (0.079:0.079:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xnor2_1")
(INSTANCE _0668_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.114:0.114:0.114) (0.116:0.116:0.116))
(IOPATH A Y (0.140:0.140:0.140) (0.071:0.071:0.071))
(IOPATH B Y (0.112:0.113:0.114) (0.122:0.122:0.122))
(IOPATH B Y (0.128:0.128:0.129) (0.062:0.063:0.064))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _0669_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.164:0.164:0.164) (0.137:0.138:0.139))
(IOPATH B X (0.115:0.124:0.134) (0.144:0.146:0.148))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _0670_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.079:0.080) (0.080:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _0671_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.186:0.186:0.186) (0.186:0.186:0.186))
(IOPATH B X (0.189:0.189:0.189) (0.153:0.155:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _0672_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.078:0.080) (0.080:0.080:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _0673_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.064:0.064:0.064) (0.068:0.068:0.068))
(IOPATH B Y (0.072:0.072:0.072) (0.070:0.070:0.070))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _0674_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.115:0.115:0.115) (0.226:0.226:0.226))
(IOPATH B X (0.113:0.113:0.113) (0.207:0.207:0.207))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _0675_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.134:0.135:0.136) (0.137:0.138:0.139))
(IOPATH B X (0.234:0.234:0.234) (0.163:0.165:0.167))
(IOPATH C X (0.146:0.146:0.146) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _0676_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.082:0.083:0.084) (0.082:0.082:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor4_1")
(INSTANCE _0677_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.258:0.259:0.259) (0.054:0.054:0.054))
(IOPATH B Y (0.245:0.245:0.245) (0.052:0.052:0.053))
(IOPATH C Y (0.210:0.210:0.211) (0.049:0.049:0.049))
(IOPATH D Y (0.157:0.157:0.157) (0.046:0.046:0.047))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4_2")
(INSTANCE _0678_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.320:0.320:0.320) (0.242:0.242:0.242))
(IOPATH B X (0.333:0.333:0.333) (0.276:0.276:0.276))
(IOPATH C X (0.303:0.303:0.303) (0.267:0.267:0.267))
(IOPATH D X (0.323:0.323:0.323) (0.289:0.289:0.289))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _0679_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.168:0.168:0.168) (0.159:0.159:0.159))
(IOPATH B X (0.186:0.186:0.186) (0.188:0.188:0.188))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4_2")
(INSTANCE _0680_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.285:0.285:0.285) (0.219:0.219:0.219))
(IOPATH B X (0.306:0.306:0.306) (0.255:0.255:0.255))
(IOPATH C X (0.325:0.325:0.325) (0.285:0.286:0.288))
(IOPATH D X (0.322:0.322:0.322) (0.289:0.289:0.289))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a311oi_4")
(INSTANCE _0681_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.381:0.381:0.381) (0.167:0.167:0.167))
(IOPATH A2 Y (0.431:0.431:0.431) (0.172:0.172:0.172))
(IOPATH A3 Y (0.460:0.461:0.463) (0.163:0.163:0.163))
(IOPATH B1 Y (0.449:0.450:0.450) (0.079:0.079:0.080))
(IOPATH C1 Y (0.393:0.393:0.393) (0.070:0.070:0.071))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _0682_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.202:0.202:0.202) (0.201:0.201:0.201))
(IOPATH B X (0.173:0.177:0.182) (0.154:0.175:0.196))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _0683_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.072:0.073:0.074) (0.077:0.077:0.077))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _0684_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.071:0.071:0.071) (0.072:0.072:0.072))
(IOPATH B Y (0.083:0.083:0.083) (0.079:0.079:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _0685_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.124:0.124:0.124) (0.232:0.232:0.232))
(IOPATH B X (0.125:0.125:0.125) (0.217:0.217:0.217))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _0686_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.148:0.149:0.150) (0.149:0.150:0.151))
(IOPATH B X (0.226:0.231:0.236) (0.172:0.193:0.213))
(IOPATH C X (0.159:0.159:0.160) (0.183:0.183:0.183))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _0687_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.084:0.084:0.085) (0.084:0.084:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand3_1")
(INSTANCE _0688_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.076:0.076:0.076) (0.086:0.086:0.086))
(IOPATH B Y (0.093:0.093:0.093) (0.095:0.095:0.095))
(IOPATH C Y (0.081:0.081:0.081) (0.086:0.086:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _0689_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.132:0.132:0.132) (0.165:0.165:0.165))
(IOPATH A2 X (0.141:0.141:0.141) (0.189:0.189:0.189))
(IOPATH B1 X (0.098:0.098:0.098) (0.154:0.154:0.154))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _0690_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.142:0.144:0.145) (0.146:0.149:0.152))
(IOPATH B X (0.218:0.224:0.229) (0.167:0.188:0.209))
(IOPATH C X (0.151:0.152:0.152) (0.172:0.172:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _0691_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.080:0.081) (0.080:0.081:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _0692_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.151:0.151:0.151) (0.179:0.179:0.179))
(IOPATH A2 X (0.161:0.161:0.161) (0.212:0.212:0.212))
(IOPATH A3 X (0.148:0.148:0.148) (0.211:0.211:0.211))
(IOPATH B1 X (0.087:0.087:0.087) (0.170:0.170:0.170))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3b_1")
(INSTANCE _0693_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.210:0.211:0.212) (0.189:0.189:0.189))
(IOPATH B X (0.209:0.214:0.219) (0.158:0.179:0.200))
(IOPATH C X (0.146:0.147:0.147) (0.163:0.164:0.164))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _0694_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.078:0.079) (0.078:0.079:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _0695_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.055:0.055:0.055) (0.056:0.056:0.056))
(IOPATH B Y (0.071:0.072:0.074) (0.066:0.066:0.066))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _0696_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.110:0.110:0.110) (0.221:0.221:0.221))
(IOPATH B X (0.116:0.116:0.116) (0.209:0.211:0.212))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _0697_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.216:0.221:0.227) (0.145:0.166:0.186))
(IOPATH B X (0.142:0.144:0.145) (0.158:0.158:0.158))
(IOPATH C X (0.149:0.149:0.149) (0.176:0.176:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _0698_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.083:0.083:0.084) (0.082:0.083:0.084))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _0699_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.063:0.064:0.065) (0.062:0.062:0.062))
(IOPATH B Y (0.066:0.066:0.066) (0.061:0.061:0.061))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _0700_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.118:0.118:0.118) (0.153:0.153:0.153))
(IOPATH A2 X (0.130:0.130:0.130) (0.181:0.183:0.184))
(IOPATH B1 X (0.079:0.079:0.079) (0.142:0.142:0.142))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _0701_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.131:0.132:0.132) (0.133:0.135:0.138))
(IOPATH B X (0.210:0.216:0.221) (0.161:0.182:0.203))
(IOPATH C X (0.143:0.144:0.144) (0.166:0.166:0.166))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _0702_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.077:0.078) (0.078:0.079:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _0703_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.165:0.165:0.165) (0.150:0.150:0.150))
(IOPATH B X (0.187:0.187:0.187) (0.183:0.185:0.186))
(IOPATH C X (0.181:0.181:0.181) (0.193:0.193:0.193))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _0704_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.129:0.129:0.129) (0.163:0.164:0.165))
(IOPATH A2 X (0.125:0.125:0.125) (0.178:0.179:0.179))
(IOPATH B1 X (0.088:0.088:0.088) (0.149:0.149:0.149))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3b_1")
(INSTANCE _0705_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.210:0.211:0.212) (0.180:0.181:0.181))
(IOPATH B X (0.224:0.229:0.235) (0.169:0.190:0.210))
(IOPATH C X (0.161:0.161:0.162) (0.174:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _0706_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.080:0.081) (0.081:0.082:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _0707_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.100:0.100:0.100) (0.222:0.222:0.222))
(IOPATH B X (0.103:0.103:0.103) (0.207:0.208:0.208))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3b_1")
(INSTANCE _0708_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.223:0.224:0.225) (0.199:0.199:0.199))
(IOPATH B X (0.223:0.228:0.233) (0.168:0.189:0.210))
(IOPATH C X (0.162:0.162:0.162) (0.182:0.182:0.183))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _0709_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.085:0.085:0.086) (0.084:0.085:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _0710_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.144:0.144:0.144) (0.261:0.261:0.261))
(IOPATH A2 X (0.137:0.137:0.137) (0.291:0.292:0.293))
(IOPATH B1 X (0.105:0.105:0.105) (0.283:0.283:0.283))
(IOPATH C1 X (0.101:0.101:0.101) (0.241:0.242:0.242))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ba_1")
(INSTANCE _0711_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.150:0.150:0.150) (0.189:0.189:0.189))
(IOPATH A2 X (0.128:0.128:0.128) (0.171:0.172:0.173))
(IOPATH B1_N X (0.199:0.200:0.200) (0.128:0.129:0.130))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _0712_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.129:0.129:0.129) (0.161:0.161:0.161))
(IOPATH A2 X (0.126:0.126:0.126) (0.177:0.178:0.179))
(IOPATH B1 X (0.098:0.098:0.098) (0.156:0.156:0.156))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _0713_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.187:0.192:0.196) (0.140:0.160:0.180))
(IOPATH B X (0.117:0.117:0.117) (0.146:0.146:0.147))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _0714_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.080:0.080) (0.081:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4_1")
(INSTANCE _0715_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.164:0.164:0.164) (0.138:0.138:0.138))
(IOPATH B X (0.193:0.193:0.193) (0.175:0.175:0.175))
(IOPATH C X (0.209:0.209:0.209) (0.202:0.202:0.202))
(IOPATH D X (0.214:0.214:0.214) (0.215:0.215:0.215))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _0716_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.181:0.181:0.181) (0.157:0.158:0.159))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _0717_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.192:0.192:0.192) (0.171:0.171:0.171))
(IOPATH B X (0.207:0.207:0.207) (0.197:0.197:0.197))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4_1")
(INSTANCE _0718_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.259:0.259:0.259) (0.188:0.188:0.188))
(IOPATH B X (0.286:0.286:0.286) (0.225:0.225:0.225))
(IOPATH C X (0.296:0.296:0.296) (0.259:0.259:0.259))
(IOPATH D X (0.299:0.299:0.299) (0.259:0.259:0.259))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211oi_4")
(INSTANCE _0719_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.352:0.352:0.352) (0.113:0.113:0.113))
(IOPATH A2 Y (0.381:0.382:0.383) (0.116:0.116:0.116))
(IOPATH B1 Y (0.371:0.371:0.371) (0.075:0.075:0.075))
(IOPATH C1 Y (0.312:0.312:0.312) (0.080:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _0720_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.204:0.204:0.204) (0.203:0.203:0.203))
(IOPATH B X (0.170:0.171:0.173) (0.154:0.162:0.170))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _0721_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.072:0.073:0.073) (0.077:0.077:0.077))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _0722_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.069:0.069:0.069) (0.068:0.068:0.068))
(IOPATH B Y (0.081:0.081:0.081) (0.075:0.075:0.075))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _0723_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.124:0.124:0.124) (0.231:0.231:0.231))
(IOPATH B X (0.124:0.124:0.124) (0.215:0.215:0.215))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _0724_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.135:0.136:0.137) (0.140:0.141:0.142))
(IOPATH B X (0.210:0.211:0.212) (0.164:0.172:0.179))
(IOPATH C X (0.146:0.146:0.146) (0.173:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _0725_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.077:0.077) (0.078:0.078:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand3_1")
(INSTANCE _0726_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.065:0.065:0.065) (0.075:0.075:0.075))
(IOPATH B Y (0.090:0.090:0.090) (0.090:0.090:0.090))
(IOPATH C Y (0.094:0.094:0.094) (0.094:0.094:0.094))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _0727_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.129:0.129:0.129) (0.162:0.162:0.162))
(IOPATH A2 X (0.137:0.137:0.137) (0.186:0.186:0.186))
(IOPATH B1 X (0.092:0.092:0.092) (0.149:0.149:0.149))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _0728_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.138:0.141:0.143) (0.146:0.147:0.148))
(IOPATH B X (0.213:0.214:0.216) (0.166:0.174:0.182))
(IOPATH C X (0.148:0.148:0.149) (0.169:0.169:0.169))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _0729_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.082:0.082) (0.081:0.082:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _0730_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.148:0.148:0.148) (0.176:0.176:0.176))
(IOPATH A2 X (0.166:0.166:0.166) (0.216:0.216:0.216))
(IOPATH A3 X (0.168:0.168:0.168) (0.230:0.230:0.230))
(IOPATH B1 X (0.093:0.093:0.093) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3b_1")
(INSTANCE _0731_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.227:0.227:0.227) (0.194:0.194:0.194))
(IOPATH B X (0.213:0.214:0.216) (0.164:0.172:0.180))
(IOPATH C X (0.154:0.155:0.156) (0.170:0.170:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _0732_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.083:0.083:0.084) (0.082:0.083:0.084))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _0733_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.056:0.056:0.056) (0.058:0.058:0.058))
(IOPATH B Y (0.085:0.085:0.085) (0.070:0.070:0.070))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _0734_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.108:0.108:0.108) (0.220:0.220:0.220))
(IOPATH B X (0.117:0.117:0.117) (0.219:0.219:0.219))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _0735_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.128:0.130:0.132) (0.134:0.134:0.134))
(IOPATH B X (0.204:0.206:0.207) (0.160:0.168:0.176))
(IOPATH C X (0.142:0.142:0.142) (0.170:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _0736_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.074:0.075:0.076) (0.077:0.077:0.078))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _0737_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.070:0.070:0.070) (0.060:0.060:0.060))
(IOPATH B Y (0.069:0.070:0.070) (0.064:0.064:0.064))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _0738_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.112:0.112:0.112) (0.148:0.148:0.148))
(IOPATH A2 X (0.127:0.127:0.127) (0.188:0.188:0.188))
(IOPATH B1 X (0.077:0.077:0.077) (0.140:0.140:0.140))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _0739_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.131:0.131:0.131) (0.133:0.135:0.136))
(IOPATH B X (0.206:0.207:0.208) (0.161:0.169:0.177))
(IOPATH C X (0.141:0.141:0.142) (0.164:0.164:0.164))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _0740_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.082:0.083:0.084) (0.082:0.083:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _0741_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.149:0.149:0.149) (0.139:0.139:0.139))
(IOPATH B X (0.161:0.161:0.161) (0.176:0.176:0.176))
(IOPATH C X (0.161:0.161:0.161) (0.181:0.181:0.181))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _0742_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.126:0.126:0.126) (0.169:0.169:0.169))
(IOPATH A2 X (0.129:0.129:0.129) (0.180:0.180:0.180))
(IOPATH B1 X (0.093:0.093:0.093) (0.150:0.150:0.150))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3b_1")
(INSTANCE _0743_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.194:0.194:0.195) (0.164:0.164:0.164))
(IOPATH B X (0.209:0.211:0.212) (0.161:0.169:0.177))
(IOPATH C X (0.149:0.149:0.149) (0.165:0.165:0.166))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _0744_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.078:0.079) (0.079:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _0745_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.145:0.145:0.145) (0.174:0.174:0.174))
(IOPATH A2 X (0.158:0.158:0.158) (0.220:0.220:0.220))
(IOPATH A3 X (0.158:0.158:0.158) (0.222:0.222:0.222))
(IOPATH B1 X (0.092:0.092:0.092) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4b_1")
(INSTANCE _0746_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.159:0.159:0.159) (0.557:0.557:0.557))
(IOPATH B X (0.143:0.143:0.143) (0.546:0.546:0.546))
(IOPATH C X (0.157:0.157:0.157) (0.499:0.500:0.501))
(IOPATH D_N X (0.174:0.175:0.176) (0.454:0.455:0.455))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkinv_2")
(INSTANCE _0747_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.052:0.052:0.052) (0.042:0.042:0.042))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _0748_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.138:0.138:0.138) (0.186:0.186:0.186))
(IOPATH A2 X (0.125:0.125:0.125) (0.173:0.174:0.175))
(IOPATH B1 X (0.168:0.169:0.170) (0.101:0.109:0.117))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4_1")
(INSTANCE _0749_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.296:0.296:0.296) (0.212:0.212:0.212))
(IOPATH B X (0.287:0.287:0.287) (0.226:0.226:0.226))
(IOPATH C X (0.320:0.320:0.320) (0.266:0.266:0.266))
(IOPATH D X (0.324:0.324:0.324) (0.279:0.279:0.279))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4_1")
(INSTANCE _0750_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.271:0.271:0.271) (0.195:0.195:0.195))
(IOPATH B X (0.301:0.301:0.301) (0.235:0.235:0.235))
(IOPATH C X (0.291:0.291:0.291) (0.240:0.240:0.240))
(IOPATH D X (0.316:0.316:0.316) (0.271:0.272:0.274))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211oi_4")
(INSTANCE _0751_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.326:0.326:0.326) (0.109:0.109:0.109))
(IOPATH A2 Y (0.357:0.358:0.359) (0.113:0.113:0.113))
(IOPATH B1 Y (0.343:0.343:0.343) (0.069:0.070:0.070))
(IOPATH C1 Y (0.284:0.284:0.284) (0.074:0.074:0.074))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _0752_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.204:0.204:0.204) (0.203:0.203:0.203))
(IOPATH B X (0.166:0.167:0.168) (0.152:0.160:0.167))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _0753_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.072:0.073:0.073) (0.077:0.077:0.077))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _0754_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.067:0.067:0.067) (0.064:0.064:0.064))
(IOPATH B Y (0.078:0.078:0.078) (0.070:0.070:0.070))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _0755_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.125:0.125:0.125) (0.231:0.231:0.231))
(IOPATH B X (0.124:0.124:0.124) (0.214:0.214:0.214))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _0756_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.130:0.131:0.132) (0.136:0.137:0.138))
(IOPATH B X (0.200:0.202:0.203) (0.159:0.166:0.174))
(IOPATH C X (0.142:0.142:0.142) (0.170:0.170:0.170))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _0757_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.079:0.079) (0.079:0.080:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand3_1")
(INSTANCE _0758_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.067:0.067:0.067) (0.077:0.077:0.077))
(IOPATH B Y (0.091:0.091:0.091) (0.091:0.091:0.091))
(IOPATH C Y (0.094:0.094:0.094) (0.095:0.095:0.095))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _0759_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.138:0.138:0.138) (0.170:0.170:0.170))
(IOPATH A2 X (0.145:0.145:0.145) (0.192:0.192:0.192))
(IOPATH B1 X (0.100:0.100:0.100) (0.156:0.156:0.156))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _0760_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.149:0.152:0.154) (0.154:0.154:0.155))
(IOPATH B X (0.218:0.220:0.222) (0.171:0.179:0.187))
(IOPATH C X (0.160:0.161:0.161) (0.178:0.178:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _0761_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.082:0.082:0.082) (0.082:0.083:0.084))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _0762_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.159:0.159:0.159) (0.184:0.184:0.184))
(IOPATH A2 X (0.177:0.177:0.177) (0.225:0.225:0.225))
(IOPATH A3 X (0.178:0.178:0.178) (0.238:0.238:0.238))
(IOPATH B1 X (0.104:0.104:0.104) (0.184:0.184:0.184))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3b_1")
(INSTANCE _0763_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.219:0.220:0.221) (0.198:0.198:0.198))
(IOPATH B X (0.203:0.204:0.206) (0.159:0.166:0.174))
(IOPATH C X (0.153:0.153:0.154) (0.169:0.170:0.170))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _0764_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.087:0.088:0.088) (0.085:0.086:0.087))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _0765_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.066:0.066:0.066) (0.069:0.069:0.069))
(IOPATH B Y (0.084:0.085:0.086) (0.079:0.079:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _0766_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.115:0.115:0.115) (0.223:0.223:0.223))
(IOPATH B X (0.122:0.122:0.122) (0.213:0.214:0.215))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _0767_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.136:0.138:0.139) (0.142:0.142:0.142))
(IOPATH B X (0.205:0.207:0.208) (0.162:0.170:0.178))
(IOPATH C X (0.147:0.147:0.147) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _0768_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.078:0.078) (0.079:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _0769_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.193:0.193:0.193) (0.167:0.167:0.167))
(IOPATH B X (0.182:0.182:0.182) (0.177:0.177:0.177))
(IOPATH C X (0.207:0.207:0.207) (0.213:0.214:0.215))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _0770_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.123:0.123:0.123) (0.156:0.156:0.156))
(IOPATH A2 X (0.136:0.136:0.137) (0.185:0.186:0.187))
(IOPATH B1 X (0.084:0.084:0.084) (0.144:0.144:0.144))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3b_1")
(INSTANCE _0771_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.199:0.200:0.200) (0.175:0.175:0.175))
(IOPATH B X (0.201:0.203:0.204) (0.157:0.165:0.173))
(IOPATH C X (0.146:0.146:0.146) (0.163:0.163:0.164))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _0772_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.080:0.081) (0.080:0.081:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _0773_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.126:0.126:0.126) (0.371:0.371:0.371))
(IOPATH B X (0.108:0.108:0.108) (0.356:0.356:0.356))
(IOPATH C X (0.125:0.125:0.125) (0.307:0.308:0.308))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ba_1")
(INSTANCE _0774_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.127:0.127:0.127) (0.174:0.174:0.174))
(IOPATH A2 X (0.117:0.117:0.118) (0.163:0.164:0.164))
(IOPATH B1_N X (0.210:0.210:0.210) (0.130:0.130:0.131))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _0775_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.140:0.140:0.140) (0.188:0.188:0.188))
(IOPATH A2 X (0.128:0.128:0.128) (0.176:0.177:0.178))
(IOPATH B1 X (0.164:0.165:0.167) (0.099:0.106:0.114))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _0776_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.103:0.103:0.103) (0.065:0.065:0.065))
(IOPATH B Y (0.091:0.092:0.093) (0.050:0.050:0.050))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0777_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.376:0.376:0.376) (0.335:0.335:0.335))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.045:-0.048))
(SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057))
(SETUP (negedge D) (posedge CLK) (0.098:0.101:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0778_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.337:0.337:0.337) (0.314:0.314:0.314))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.050:-0.050:-0.050))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.049:-0.050))
(SETUP (posedge D) (posedge CLK) (0.075:0.075:0.075))
(SETUP (negedge D) (posedge CLK) (0.104:0.106:0.107))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0779_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.313:0.313:0.313) (0.300:0.300:0.300))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0780_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.334:0.334:0.334) (0.313:0.313:0.313))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0781_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.311:0.311:0.311) (0.299:0.299:0.299))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0782_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.339:0.339:0.339) (0.314:0.314:0.314))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.047:-0.048))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.102:0.103:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0783_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.307:0.307:0.307) (0.296:0.296:0.296))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.090:0.090:0.090))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0784_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.303:0.303:0.303) (0.293:0.293:0.293))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.090:0.090:0.090))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0785_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.299:0.299:0.299) (0.289:0.289:0.289))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.022:-0.022:-0.022))
(HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
(SETUP (posedge D) (posedge CLK) (0.044:0.044:0.044))
(SETUP (negedge D) (posedge CLK) (0.091:0.091:0.091))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0786_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.304:0.304:0.304) (0.294:0.294:0.294))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.089:0.089:0.089))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0787_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.295:0.295:0.295) (0.286:0.286:0.286))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.022:-0.022:-0.022))
(HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
(SETUP (posedge D) (posedge CLK) (0.044:0.044:0.044))
(SETUP (negedge D) (posedge CLK) (0.091:0.091:0.091))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0788_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.295:0.295:0.295) (0.288:0.288:0.288))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.089:0.089:0.089))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0789_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.294:0.294:0.294) (0.286:0.286:0.286))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.022:-0.022:-0.022))
(HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
(SETUP (posedge D) (posedge CLK) (0.044:0.044:0.044))
(SETUP (negedge D) (posedge CLK) (0.091:0.091:0.091))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0790_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.299:0.299:0.299) (0.289:0.289:0.289))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.022:-0.022:-0.022))
(HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
(SETUP (posedge D) (posedge CLK) (0.044:0.044:0.044))
(SETUP (negedge D) (posedge CLK) (0.091:0.091:0.091))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0791_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.296:0.296:0.296) (0.287:0.287:0.287))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.022:-0.022:-0.022))
(HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
(SETUP (posedge D) (posedge CLK) (0.044:0.044:0.044))
(SETUP (negedge D) (posedge CLK) (0.091:0.091:0.091))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0792_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.295:0.295:0.295) (0.287:0.287:0.287))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.022:-0.022:-0.022))
(HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
(SETUP (posedge D) (posedge CLK) (0.044:0.044:0.044))
(SETUP (negedge D) (posedge CLK) (0.091:0.091:0.091))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0793_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.294:0.294:0.294) (0.286:0.286:0.286))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.022:-0.022:-0.022))
(HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
(SETUP (posedge D) (posedge CLK) (0.044:0.044:0.044))
(SETUP (negedge D) (posedge CLK) (0.091:0.091:0.091))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0794_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.295:0.295:0.295) (0.287:0.287:0.287))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.022:-0.022:-0.022))
(HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
(SETUP (posedge D) (posedge CLK) (0.044:0.044:0.044))
(SETUP (negedge D) (posedge CLK) (0.091:0.091:0.091))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0795_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.298:0.298:0.298) (0.289:0.289:0.289))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.090:0.090:0.090))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0796_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.306:0.306:0.306) (0.295:0.295:0.295))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.090:0.090:0.090))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0797_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.304:0.304:0.304) (0.294:0.294:0.294))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.089:0.089:0.089))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0798_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.306:0.306:0.306) (0.295:0.295:0.295))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.089:0.089:0.089))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0799_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.294:0.294:0.294) (0.287:0.287:0.287))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.090:0.090:0.090))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0800_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.298:0.298:0.298) (0.289:0.289:0.289))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.090:0.090:0.090))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0801_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.296:0.296:0.296) (0.288:0.288:0.288))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.090:0.090:0.090))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0802_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.305:0.305:0.305) (0.294:0.294:0.294))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.090:0.090:0.090))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0803_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.300:0.300:0.300) (0.291:0.291:0.291))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.090:0.090:0.090))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0804_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.352:0.352:0.352) (0.323:0.323:0.323))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(HOLD (negedge D) (posedge CLK) (-0.055:-0.055:-0.055))
(SETUP (posedge D) (posedge CLK) (0.065:0.065:0.065))
(SETUP (negedge D) (posedge CLK) (0.112:0.112:0.112))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0805_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.375:0.375:0.375) (0.335:0.335:0.335))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037))
(HOLD (negedge D) (posedge CLK) (-0.049:-0.050:-0.050))
(SETUP (posedge D) (posedge CLK) (0.060:0.060:0.061))
(SETUP (negedge D) (posedge CLK) (0.106:0.107:0.107))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0806_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.358:0.358:0.358) (0.326:0.326:0.326))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.038:-0.038:-0.039))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.047:-0.052))
(SETUP (posedge D) (posedge CLK) (0.062:0.062:0.062))
(SETUP (negedge D) (posedge CLK) (0.099:0.104:0.109))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0807_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.323:0.323:0.323) (0.306:0.306:0.306))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.048:-0.049:-0.049))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.050:-0.052))
(SETUP (posedge D) (posedge CLK) (0.073:0.073:0.073))
(SETUP (negedge D) (posedge CLK) (0.104:0.106:0.109))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0808_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.316:0.316:0.316) (0.301:0.301:0.301))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0809_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.341:0.341:0.341) (0.316:0.316:0.316))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0810_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.338:0.338:0.338) (0.314:0.314:0.314))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.039:-0.041:-0.042))
(HOLD (negedge D) (posedge CLK) (-0.050:-0.053:-0.056))
(SETUP (posedge D) (posedge CLK) (0.062:0.064:0.066))
(SETUP (negedge D) (posedge CLK) (0.107:0.110:0.113))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0811_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.302:0.302:0.302) (0.293:0.293:0.293))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.090:0.090:0.090))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0812_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.300:0.300:0.300) (0.291:0.291:0.291))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.090:0.090:0.090))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0813_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.298:0.298:0.298) (0.289:0.289:0.289))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.090:0.090:0.090))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0814_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.295:0.295:0.295) (0.287:0.287:0.287))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.090:0.090:0.090))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0815_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.302:0.302:0.302) (0.293:0.293:0.293))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0816_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.300:0.300:0.300) (0.292:0.292:0.292))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0817_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.300:0.300:0.300) (0.292:0.292:0.292))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0818_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.298:0.298:0.298) (0.291:0.291:0.291))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0819_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.299:0.299:0.299) (0.291:0.291:0.291))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0820_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.303:0.303:0.303) (0.294:0.294:0.294))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0821_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.303:0.303:0.303) (0.294:0.294:0.294))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0822_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.304:0.304:0.304) (0.294:0.294:0.294))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0823_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.303:0.303:0.303) (0.294:0.294:0.294))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0824_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.306:0.306:0.306) (0.296:0.296:0.296))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0825_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.302:0.302:0.302) (0.293:0.293:0.293))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0826_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.299:0.299:0.299) (0.291:0.291:0.291))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0827_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.292:0.292:0.292) (0.285:0.285:0.285))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.022:-0.022:-0.022))
(HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
(SETUP (posedge D) (posedge CLK) (0.044:0.044:0.044))
(SETUP (negedge D) (posedge CLK) (0.091:0.091:0.091))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0828_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.294:0.294:0.294) (0.286:0.286:0.286))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.022:-0.022:-0.022))
(HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
(SETUP (posedge D) (posedge CLK) (0.044:0.044:0.044))
(SETUP (negedge D) (posedge CLK) (0.091:0.091:0.091))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0829_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.295:0.295:0.295) (0.287:0.287:0.287))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.022:-0.022:-0.022))
(HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
(SETUP (posedge D) (posedge CLK) (0.044:0.044:0.044))
(SETUP (negedge D) (posedge CLK) (0.091:0.091:0.091))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0830_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.295:0.295:0.295) (0.287:0.287:0.287))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.090:0.090:0.090))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0831_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.302:0.302:0.302) (0.292:0.292:0.292))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.090:0.090:0.090))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0832_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.296:0.296:0.296) (0.288:0.288:0.288))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.090:0.090:0.090))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0833_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.289:0.289:0.289) (0.282:0.282:0.282))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0834_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.375:0.375:0.375) (0.334:0.334:0.334))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0835_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.365:0.365:0.365) (0.329:0.329:0.329))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0836_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.318:0.318:0.318) (0.301:0.301:0.301))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0837_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.317:0.317:0.317) (0.302:0.302:0.302))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0838_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.342:0.342:0.342) (0.317:0.317:0.317))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046))
(SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0839_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.291:0.291:0.291) (0.283:0.283:0.283))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.022:-0.022:-0.022))
(HOLD (negedge D) (posedge CLK) (-0.036:-0.036:-0.036))
(SETUP (posedge D) (posedge CLK) (0.044:0.044:0.044))
(SETUP (negedge D) (posedge CLK) (0.092:0.092:0.092))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0840_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.302:0.302:0.302) (0.294:0.294:0.294))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041))
(SETUP (negedge D) (posedge CLK) (0.086:0.086:0.086))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0841_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.304:0.304:0.304) (0.295:0.295:0.295))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.087:0.087:0.087))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0842_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.303:0.303:0.303) (0.293:0.293:0.293))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.089:0.089:0.089))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0843_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.291:0.291:0.291) (0.284:0.284:0.284))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.022:-0.022:-0.022))
(HOLD (negedge D) (posedge CLK) (-0.036:-0.036:-0.036))
(SETUP (posedge D) (posedge CLK) (0.044:0.044:0.044))
(SETUP (negedge D) (posedge CLK) (0.092:0.092:0.092))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0844_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.304:0.304:0.304) (0.295:0.295:0.295))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041))
(SETUP (negedge D) (posedge CLK) (0.086:0.086:0.086))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0845_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.307:0.307:0.307) (0.296:0.296:0.296))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0846_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.300:0.300:0.300) (0.292:0.292:0.292))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.087:0.087:0.087))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0847_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.301:0.301:0.301) (0.292:0.292:0.292))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.087:0.087:0.087))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0848_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.301:0.301:0.301) (0.292:0.292:0.292))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0849_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.305:0.305:0.305) (0.295:0.295:0.295))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.087:0.087:0.087))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0850_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.300:0.300:0.300) (0.291:0.291:0.291))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0851_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.299:0.299:0.299) (0.291:0.291:0.291))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0852_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.297:0.297:0.297) (0.290:0.290:0.290))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0853_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.299:0.299:0.299) (0.291:0.291:0.291))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0854_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.303:0.303:0.303) (0.294:0.294:0.294))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0855_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.299:0.299:0.299) (0.291:0.291:0.291))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0856_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.304:0.304:0.304) (0.293:0.293:0.293))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.022:-0.022:-0.022))
(HOLD (negedge D) (posedge CLK) (-0.036:-0.036:-0.036))
(SETUP (posedge D) (posedge CLK) (0.044:0.044:0.044))
(SETUP (negedge D) (posedge CLK) (0.092:0.092:0.092))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0857_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.302:0.302:0.302) (0.293:0.293:0.293))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.087:0.087:0.087))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0858_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.300:0.300:0.300) (0.291:0.291:0.291))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.087:0.087:0.087))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0859_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.303:0.303:0.303) (0.294:0.294:0.294))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0860_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.302:0.302:0.302) (0.293:0.293:0.293))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0861_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.305:0.305:0.305) (0.296:0.296:0.296))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041))
(SETUP (negedge D) (posedge CLK) (0.086:0.086:0.086))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0862_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.323:0.323:0.323) (0.307:0.307:0.307))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0863_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.400:0.400:0.400) (0.346:0.346:0.346))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0864_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.385:0.385:0.385) (0.338:0.338:0.338))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0865_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.376:0.376:0.376) (0.334:0.334:0.334))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0866_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.349:0.349:0.349) (0.320:0.320:0.320))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.032))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.049:-0.051))
(SETUP (posedge D) (posedge CLK) (0.053:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.104:0.106:0.108))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0867_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.300:0.300:0.300) (0.290:0.290:0.290))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.022:-0.022:-0.022))
(HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
(SETUP (posedge D) (posedge CLK) (0.044:0.044:0.044))
(SETUP (negedge D) (posedge CLK) (0.091:0.091:0.091))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0868_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.294:0.294:0.294) (0.285:0.285:0.285))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.022:-0.022:-0.022))
(HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
(SETUP (posedge D) (posedge CLK) (0.044:0.044:0.044))
(SETUP (negedge D) (posedge CLK) (0.091:0.091:0.091))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0869_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.295:0.295:0.295) (0.286:0.286:0.286))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.022:-0.022:-0.022))
(HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
(SETUP (posedge D) (posedge CLK) (0.044:0.044:0.044))
(SETUP (negedge D) (posedge CLK) (0.091:0.091:0.091))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0870_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.292:0.292:0.292) (0.285:0.285:0.285))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.022:-0.022:-0.022))
(HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
(SETUP (posedge D) (posedge CLK) (0.044:0.044:0.044))
(SETUP (negedge D) (posedge CLK) (0.091:0.091:0.091))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0871_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.300:0.300:0.300) (0.291:0.291:0.291))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.089:0.089:0.089))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0872_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.297:0.297:0.297) (0.288:0.288:0.288))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.022:-0.022:-0.022))
(HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
(SETUP (posedge D) (posedge CLK) (0.044:0.044:0.044))
(SETUP (negedge D) (posedge CLK) (0.091:0.091:0.091))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0873_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.303:0.303:0.303) (0.293:0.293:0.293))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.089:0.089:0.089))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0874_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.296:0.296:0.296) (0.288:0.288:0.288))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.089:0.089:0.089))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0875_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.297:0.297:0.297) (0.288:0.288:0.288))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.022:-0.022:-0.022))
(HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
(SETUP (posedge D) (posedge CLK) (0.044:0.044:0.044))
(SETUP (negedge D) (posedge CLK) (0.091:0.091:0.091))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0876_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.303:0.303:0.303) (0.293:0.293:0.293))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.089:0.089:0.089))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0877_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.298:0.298:0.298) (0.288:0.288:0.288))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.022:-0.022:-0.022))
(HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
(SETUP (posedge D) (posedge CLK) (0.044:0.044:0.044))
(SETUP (negedge D) (posedge CLK) (0.091:0.091:0.091))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0878_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.312:0.312:0.312) (0.301:0.301:0.301))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041))
(SETUP (negedge D) (posedge CLK) (0.086:0.086:0.086))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0879_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.300:0.300:0.300) (0.293:0.293:0.293))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041))
(SETUP (negedge D) (posedge CLK) (0.086:0.086:0.086))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0880_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.304:0.304:0.304) (0.295:0.295:0.295))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041))
(SETUP (negedge D) (posedge CLK) (0.086:0.086:0.086))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0881_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.300:0.300:0.300) (0.293:0.293:0.293))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041))
(SETUP (negedge D) (posedge CLK) (0.086:0.086:0.086))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0882_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.301:0.301:0.301) (0.293:0.293:0.293))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041))
(SETUP (negedge D) (posedge CLK) (0.086:0.086:0.086))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0883_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.306:0.306:0.306) (0.296:0.296:0.296))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.089:0.089:0.089))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0884_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.305:0.305:0.305) (0.294:0.294:0.294))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.089:0.089:0.089))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0885_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.298:0.298:0.298) (0.290:0.290:0.290))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.089:0.089:0.089))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0886_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.303:0.303:0.303) (0.293:0.293:0.293))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.089:0.089:0.089))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0887_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.297:0.297:0.297) (0.289:0.289:0.289))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.089:0.089:0.089))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0888_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.294:0.294:0.294) (0.287:0.287:0.287))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.090:0.090:0.090))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0889_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.305:0.305:0.305) (0.294:0.294:0.294))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.089:0.089:0.089))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0890_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.298:0.298:0.298) (0.290:0.290:0.290))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.089:0.089:0.089))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0891_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.345:0.345:0.345) (0.319:0.319:0.319))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0892_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.337:0.337:0.337) (0.315:0.315:0.315))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0893_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.322:0.322:0.322) (0.307:0.307:0.307))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.097:0.097:0.097))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0894_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.337:0.337:0.337) (0.314:0.314:0.314))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0895_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.302:0.302:0.302) (0.294:0.294:0.294))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041))
(SETUP (negedge D) (posedge CLK) (0.087:0.087:0.087))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0896_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.302:0.302:0.302) (0.293:0.293:0.293))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041))
(SETUP (negedge D) (posedge CLK) (0.087:0.087:0.087))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0897_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.305:0.305:0.305) (0.294:0.294:0.294))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.022:-0.022:-0.022))
(HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
(SETUP (posedge D) (posedge CLK) (0.044:0.044:0.044))
(SETUP (negedge D) (posedge CLK) (0.091:0.091:0.091))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0898_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.298:0.298:0.298) (0.291:0.291:0.291))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041))
(SETUP (negedge D) (posedge CLK) (0.087:0.087:0.087))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0899_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.303:0.303:0.303) (0.294:0.294:0.294))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041))
(SETUP (negedge D) (posedge CLK) (0.087:0.087:0.087))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0900_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.303:0.303:0.303) (0.294:0.294:0.294))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041))
(SETUP (negedge D) (posedge CLK) (0.087:0.087:0.087))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0901_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.309:0.309:0.309) (0.299:0.299:0.299))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041))
(SETUP (negedge D) (posedge CLK) (0.087:0.087:0.087))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0902_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.303:0.303:0.303) (0.295:0.295:0.295))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041))
(SETUP (negedge D) (posedge CLK) (0.087:0.087:0.087))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0903_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.309:0.309:0.309) (0.299:0.299:0.299))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041))
(SETUP (negedge D) (posedge CLK) (0.087:0.087:0.087))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0904_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.312:0.312:0.312) (0.301:0.301:0.301))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041))
(SETUP (negedge D) (posedge CLK) (0.087:0.087:0.087))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0905_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.306:0.306:0.306) (0.296:0.296:0.296))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041))
(SETUP (negedge D) (posedge CLK) (0.086:0.086:0.086))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0906_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.302:0.302:0.302) (0.292:0.292:0.292))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.022:-0.022:-0.022))
(HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
(SETUP (posedge D) (posedge CLK) (0.044:0.044:0.044))
(SETUP (negedge D) (posedge CLK) (0.091:0.091:0.091))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0907_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.303:0.303:0.303) (0.293:0.293:0.293))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.022:-0.022:-0.022))
(HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
(SETUP (posedge D) (posedge CLK) (0.044:0.044:0.044))
(SETUP (negedge D) (posedge CLK) (0.091:0.091:0.091))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0908_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.305:0.305:0.305) (0.295:0.295:0.295))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041))
(SETUP (negedge D) (posedge CLK) (0.086:0.086:0.086))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0909_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.295:0.295:0.295) (0.287:0.287:0.287))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.022:-0.022:-0.022))
(HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
(SETUP (posedge D) (posedge CLK) (0.044:0.044:0.044))
(SETUP (negedge D) (posedge CLK) (0.091:0.091:0.091))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0910_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.303:0.303:0.303) (0.294:0.294:0.294))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041))
(SETUP (negedge D) (posedge CLK) (0.086:0.086:0.086))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0911_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.304:0.304:0.304) (0.295:0.295:0.295))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041))
(SETUP (negedge D) (posedge CLK) (0.086:0.086:0.086))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0912_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.296:0.296:0.296) (0.288:0.288:0.288))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.022:-0.022:-0.022))
(HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
(SETUP (posedge D) (posedge CLK) (0.044:0.044:0.044))
(SETUP (negedge D) (posedge CLK) (0.091:0.091:0.091))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0913_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.299:0.299:0.299) (0.290:0.290:0.290))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.022:-0.022:-0.022))
(HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
(SETUP (posedge D) (posedge CLK) (0.044:0.044:0.044))
(SETUP (negedge D) (posedge CLK) (0.091:0.091:0.091))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0914_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.297:0.297:0.297) (0.288:0.288:0.288))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.022:-0.022:-0.022))
(HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
(SETUP (posedge D) (posedge CLK) (0.044:0.044:0.044))
(SETUP (negedge D) (posedge CLK) (0.091:0.091:0.091))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0915_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.293:0.293:0.293) (0.286:0.286:0.286))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.022:-0.022:-0.022))
(HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
(SETUP (posedge D) (posedge CLK) (0.044:0.044:0.044))
(SETUP (negedge D) (posedge CLK) (0.091:0.091:0.091))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0916_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.303:0.303:0.303) (0.294:0.294:0.294))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041))
(SETUP (negedge D) (posedge CLK) (0.086:0.086:0.086))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0917_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.301:0.301:0.301) (0.293:0.293:0.293))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041))
(SETUP (negedge D) (posedge CLK) (0.086:0.086:0.086))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0918_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.302:0.302:0.302) (0.294:0.294:0.294))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041))
(SETUP (negedge D) (posedge CLK) (0.086:0.086:0.086))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0919_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.300:0.300:0.300) (0.292:0.292:0.292))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041))
(SETUP (negedge D) (posedge CLK) (0.087:0.087:0.087))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0920_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.380:0.380:0.380) (0.339:0.339:0.339))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.076:-0.077:-0.077))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.050:-0.052))
(SETUP (posedge D) (posedge CLK) (0.104:0.104:0.104))
(SETUP (negedge D) (posedge CLK) (0.105:0.107:0.109))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0921_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.358:0.358:0.358) (0.325:0.325:0.325))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0922_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.357:0.357:0.357) (0.325:0.325:0.325))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0923_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.296:0.296:0.296) (0.289:0.289:0.289))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0924_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.298:0.298:0.298) (0.290:0.290:0.290))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0925_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.300:0.300:0.300) (0.292:0.292:0.292))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0926_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.296:0.296:0.296) (0.289:0.289:0.289))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0927_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.295:0.295:0.295) (0.287:0.287:0.287))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.089:0.089:0.089))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0928_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.299:0.299:0.299) (0.290:0.290:0.290))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.089:0.089:0.089))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0929_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.302:0.302:0.302) (0.292:0.292:0.292))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.089:0.089:0.089))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0930_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.300:0.300:0.300) (0.291:0.291:0.291))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.089:0.089:0.089))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0931_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.296:0.296:0.296) (0.288:0.288:0.288))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.089:0.089:0.089))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0932_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.297:0.297:0.297) (0.289:0.289:0.289))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.089:0.089:0.089))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0933_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.301:0.301:0.301) (0.292:0.292:0.292))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0934_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.297:0.297:0.297) (0.289:0.289:0.289))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.089:0.089:0.089))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0935_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.295:0.295:0.295) (0.288:0.288:0.288))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0936_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.298:0.298:0.298) (0.290:0.290:0.290))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0937_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.302:0.302:0.302) (0.293:0.293:0.293))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0938_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.297:0.297:0.297) (0.289:0.289:0.289))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0939_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.296:0.296:0.296) (0.288:0.288:0.288))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.089:0.089:0.089))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0940_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.301:0.301:0.301) (0.292:0.292:0.292))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0941_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.312:0.312:0.312) (0.300:0.300:0.300))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0942_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.297:0.297:0.297) (0.289:0.289:0.289))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.089:0.089:0.089))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0943_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.296:0.296:0.296) (0.288:0.288:0.288))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.089:0.089:0.089))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0944_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.298:0.298:0.298) (0.289:0.289:0.289))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.089:0.089:0.089))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0945_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.298:0.298:0.298) (0.290:0.290:0.290))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0946_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.298:0.298:0.298) (0.290:0.290:0.290))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.089:0.089:0.089))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0947_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.310:0.310:0.310) (0.297:0.297:0.297))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.089:0.089:0.089))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0948_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.302:0.302:0.302) (0.292:0.292:0.292))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.089:0.089:0.089))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0949_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.320:0.320:0.320) (0.304:0.304:0.304))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.069:-0.069:-0.069))
(HOLD (negedge D) (posedge CLK) (-0.053:-0.057:-0.061))
(SETUP (posedge D) (posedge CLK) (0.096:0.096:0.096))
(SETUP (negedge D) (posedge CLK) (0.110:0.114:0.118))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0950_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.306:0.306:0.306) (0.297:0.297:0.297))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.056:-0.056:-0.057))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.043:-0.045))
(SETUP (posedge D) (posedge CLK) (0.081:0.082:0.083))
(SETUP (negedge D) (posedge CLK) (0.098:0.100:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0951_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.307:0.307:0.307) (0.298:0.298:0.298))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(SETUP (posedge D) (posedge CLK) (0.040:0.040:0.040))
(SETUP (negedge D) (posedge CLK) (0.086:0.086:0.086))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0952_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.305:0.305:0.305) (0.296:0.296:0.296))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(SETUP (posedge D) (posedge CLK) (0.040:0.040:0.040))
(SETUP (negedge D) (posedge CLK) (0.086:0.086:0.086))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0953_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.302:0.302:0.302) (0.293:0.293:0.293))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0954_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.298:0.298:0.298) (0.290:0.290:0.290))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0955_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.303:0.303:0.303) (0.293:0.293:0.293))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0956_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.297:0.297:0.297) (0.289:0.289:0.289))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0957_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.295:0.295:0.295) (0.288:0.288:0.288))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0958_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.300:0.300:0.300) (0.291:0.291:0.291))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0959_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.302:0.302:0.302) (0.293:0.293:0.293))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0960_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.299:0.299:0.299) (0.291:0.291:0.291))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0961_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.302:0.302:0.302) (0.293:0.293:0.293))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0962_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.302:0.302:0.302) (0.293:0.293:0.293))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0963_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.304:0.304:0.304) (0.294:0.294:0.294))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0964_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.304:0.304:0.304) (0.295:0.295:0.295))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(SETUP (posedge D) (posedge CLK) (0.040:0.040:0.040))
(SETUP (negedge D) (posedge CLK) (0.086:0.086:0.086))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0965_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.302:0.302:0.302) (0.294:0.294:0.294))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(SETUP (posedge D) (posedge CLK) (0.040:0.040:0.040))
(SETUP (negedge D) (posedge CLK) (0.086:0.086:0.086))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0966_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.301:0.301:0.301) (0.294:0.294:0.294))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(SETUP (posedge D) (posedge CLK) (0.040:0.040:0.040))
(SETUP (negedge D) (posedge CLK) (0.086:0.086:0.086))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0967_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.304:0.304:0.304) (0.295:0.295:0.295))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(SETUP (posedge D) (posedge CLK) (0.040:0.040:0.040))
(SETUP (negedge D) (posedge CLK) (0.086:0.086:0.086))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0968_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.303:0.303:0.303) (0.295:0.295:0.295))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(SETUP (posedge D) (posedge CLK) (0.040:0.040:0.040))
(SETUP (negedge D) (posedge CLK) (0.086:0.086:0.086))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0969_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.306:0.306:0.306) (0.297:0.297:0.297))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(SETUP (posedge D) (posedge CLK) (0.040:0.040:0.040))
(SETUP (negedge D) (posedge CLK) (0.086:0.086:0.086))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0970_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.303:0.303:0.303) (0.294:0.294:0.294))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(SETUP (posedge D) (posedge CLK) (0.040:0.040:0.040))
(SETUP (negedge D) (posedge CLK) (0.086:0.086:0.086))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0971_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.309:0.309:0.309) (0.299:0.299:0.299))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(SETUP (posedge D) (posedge CLK) (0.040:0.040:0.040))
(SETUP (negedge D) (posedge CLK) (0.086:0.086:0.086))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0972_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.300:0.300:0.300) (0.293:0.293:0.293))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(SETUP (posedge D) (posedge CLK) (0.040:0.040:0.040))
(SETUP (negedge D) (posedge CLK) (0.086:0.086:0.086))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0973_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.307:0.307:0.307) (0.298:0.298:0.298))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(SETUP (posedge D) (posedge CLK) (0.040:0.040:0.040))
(SETUP (negedge D) (posedge CLK) (0.086:0.086:0.086))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0974_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.303:0.303:0.303) (0.295:0.295:0.295))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(SETUP (posedge D) (posedge CLK) (0.040:0.040:0.040))
(SETUP (negedge D) (posedge CLK) (0.086:0.086:0.086))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0975_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.307:0.307:0.307) (0.297:0.297:0.297))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(SETUP (posedge D) (posedge CLK) (0.040:0.040:0.040))
(SETUP (negedge D) (posedge CLK) (0.086:0.086:0.086))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0976_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.309:0.309:0.309) (0.299:0.299:0.299))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(SETUP (posedge D) (posedge CLK) (0.040:0.040:0.040))
(SETUP (negedge D) (posedge CLK) (0.086:0.086:0.086))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0977_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.303:0.303:0.303) (0.295:0.295:0.295))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(SETUP (posedge D) (posedge CLK) (0.040:0.040:0.040))
(SETUP (negedge D) (posedge CLK) (0.086:0.086:0.086))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0978_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.359:0.359:0.359) (0.328:0.328:0.328))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.052:-0.053:-0.053))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.050:-0.053))
(SETUP (posedge D) (posedge CLK) (0.077:0.078:0.078))
(SETUP (negedge D) (posedge CLK) (0.104:0.107:0.110))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0979_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.405:0.405:0.405) (0.347:0.347:0.347))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0980_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.391:0.391:0.391) (0.342:0.342:0.342))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0981_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.361:0.361:0.361) (0.325:0.325:0.325))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0982_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.314:0.314:0.314) (0.298:0.298:0.298))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0983_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.351:0.351:0.351) (0.320:0.320:0.320))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0984_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.318:0.318:0.318) (0.303:0.303:0.303))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0985_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.334:0.334:0.334) (0.311:0.311:0.311))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0986_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.311:0.311:0.311) (0.298:0.298:0.298))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0987_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.405:0.405:0.405) (0.350:0.350:0.350))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.044:-0.045))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.100:0.101:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0988_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.396:0.396:0.396) (0.346:0.346:0.346))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0989_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.307:0.307:0.307) (0.297:0.297:0.297))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.087:0.087:0.087))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0990_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.301:0.301:0.301) (0.292:0.292:0.292))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.087:0.087:0.087))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0991_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.302:0.302:0.302) (0.293:0.293:0.293))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.087:0.087:0.087))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0992_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.303:0.303:0.303) (0.294:0.294:0.294))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.087:0.087:0.087))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0993_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.299:0.299:0.299) (0.291:0.291:0.291))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.087:0.087:0.087))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0994_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.304:0.304:0.304) (0.295:0.295:0.295))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.087:0.087:0.087))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0995_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.301:0.301:0.301) (0.293:0.293:0.293))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.087:0.087:0.087))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0996_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.304:0.304:0.304) (0.295:0.295:0.295))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.087:0.087:0.087))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0997_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.301:0.301:0.301) (0.292:0.292:0.292))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.087:0.087:0.087))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0998_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.290:0.290:0.290) (0.282:0.282:0.282))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.023:-0.023:-0.023))
(HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038))
(SETUP (posedge D) (posedge CLK) (0.045:0.045:0.045))
(SETUP (negedge D) (posedge CLK) (0.094:0.094:0.094))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _0999_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.290:0.290:0.290) (0.282:0.282:0.282))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.023:-0.023:-0.023))
(HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038))
(SETUP (posedge D) (posedge CLK) (0.045:0.045:0.045))
(SETUP (negedge D) (posedge CLK) (0.094:0.094:0.094))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1000_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.298:0.298:0.298) (0.291:0.291:0.291))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.087:0.087:0.087))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1001_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.299:0.299:0.299) (0.290:0.290:0.290))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.090:0.090:0.090))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1002_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.305:0.305:0.305) (0.294:0.294:0.294))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.090:0.090:0.090))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1003_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.301:0.301:0.301) (0.291:0.291:0.291))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.090:0.090:0.090))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1004_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.297:0.297:0.297) (0.289:0.289:0.289))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.090:0.090:0.090))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1005_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.294:0.294:0.294) (0.286:0.286:0.286))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.090:0.090:0.090))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1006_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.300:0.300:0.300) (0.290:0.290:0.290))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.090:0.090:0.090))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1007_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.335:0.335:0.335) (0.313:0.313:0.313))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.056:-0.056:-0.056))
(HOLD (negedge D) (posedge CLK) (-0.049:-0.053:-0.056))
(SETUP (posedge D) (posedge CLK) (0.081:0.081:0.081))
(SETUP (negedge D) (posedge CLK) (0.106:0.110:0.113))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1008_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.415:0.415:0.415) (0.352:0.352:0.352))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1009_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.402:0.402:0.402) (0.347:0.347:0.347))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1010_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.361:0.361:0.361) (0.326:0.326:0.326))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1011_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.315:0.315:0.315) (0.299:0.299:0.299))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1012_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.346:0.346:0.346) (0.318:0.318:0.318))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1013_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.317:0.317:0.317) (0.301:0.301:0.301))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1014_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.357:0.357:0.357) (0.323:0.323:0.323))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1015_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.320:0.320:0.320) (0.304:0.304:0.304))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1016_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.384:0.384:0.384) (0.338:0.338:0.338))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.045:-0.046))
(SETUP (posedge D) (posedge CLK) (0.050:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.100:0.101:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1017_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.302:0.302:0.302) (0.294:0.294:0.294))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041))
(SETUP (negedge D) (posedge CLK) (0.087:0.087:0.087))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1018_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.312:0.312:0.312) (0.300:0.300:0.300))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041))
(SETUP (negedge D) (posedge CLK) (0.087:0.087:0.087))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1019_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.303:0.303:0.303) (0.294:0.294:0.294))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041))
(SETUP (negedge D) (posedge CLK) (0.087:0.087:0.087))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1020_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.301:0.301:0.301) (0.293:0.293:0.293))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041))
(SETUP (negedge D) (posedge CLK) (0.087:0.087:0.087))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1021_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.300:0.300:0.300) (0.290:0.290:0.290))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.022:-0.022:-0.022))
(HOLD (negedge D) (posedge CLK) (-0.036:-0.036:-0.036))
(SETUP (posedge D) (posedge CLK) (0.044:0.044:0.044))
(SETUP (negedge D) (posedge CLK) (0.092:0.092:0.092))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1022_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.303:0.303:0.303) (0.294:0.294:0.294))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1023_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.300:0.300:0.300) (0.292:0.292:0.292))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1024_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.303:0.303:0.303) (0.293:0.293:0.293))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1025_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.305:0.305:0.305) (0.295:0.295:0.295))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041))
(SETUP (negedge D) (posedge CLK) (0.087:0.087:0.087))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1026_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.309:0.309:0.309) (0.299:0.299:0.299))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041))
(SETUP (negedge D) (posedge CLK) (0.087:0.087:0.087))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1027_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.304:0.304:0.304) (0.294:0.294:0.294))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1028_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.301:0.301:0.301) (0.292:0.292:0.292))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1029_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.300:0.300:0.300) (0.292:0.292:0.292))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1030_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.300:0.300:0.300) (0.292:0.292:0.292))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1031_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.309:0.309:0.309) (0.298:0.298:0.298))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1032_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.309:0.309:0.309) (0.299:0.299:0.299))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041))
(SETUP (negedge D) (posedge CLK) (0.087:0.087:0.087))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1033_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.302:0.302:0.302) (0.293:0.293:0.293))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1034_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.310:0.310:0.310) (0.299:0.299:0.299))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.088:0.088:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1035_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.303:0.303:0.303) (0.294:0.294:0.294))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041))
(SETUP (negedge D) (posedge CLK) (0.087:0.087:0.087))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1036_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.334:0.334:0.334) (0.313:0.313:0.313))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1037_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.421:0.421:0.421) (0.358:0.358:0.358))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.097:0.097:0.097))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1038_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.412:0.412:0.412) (0.355:0.355:0.355))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1039_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.372:0.372:0.372) (0.334:0.334:0.334))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1040_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.326:0.326:0.326) (0.308:0.308:0.308))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1041_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.377:0.377:0.377) (0.337:0.337:0.337))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.097:0.097:0.097))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1042_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.339:0.339:0.339) (0.316:0.316:0.316))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1043_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.323:0.323:0.323) (0.306:0.306:0.306))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.047:-0.048))
(SETUP (posedge D) (posedge CLK) (0.052:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.103:0.104:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1044_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.388:0.388:0.388) (0.339:0.339:0.339))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.046:-0.047))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.102:0.103:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1045_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.305:0.305:0.305) (0.296:0.296:0.296))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041))
(SETUP (negedge D) (posedge CLK) (0.086:0.086:0.086))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1046_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.306:0.306:0.306) (0.296:0.296:0.296))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041))
(SETUP (negedge D) (posedge CLK) (0.086:0.086:0.086))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1047_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.302:0.302:0.302) (0.294:0.294:0.294))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041))
(SETUP (negedge D) (posedge CLK) (0.086:0.086:0.086))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1048_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.305:0.305:0.305) (0.296:0.296:0.296))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041))
(SETUP (negedge D) (posedge CLK) (0.086:0.086:0.086))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1049_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.299:0.299:0.299) (0.292:0.292:0.292))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041))
(SETUP (negedge D) (posedge CLK) (0.086:0.086:0.086))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1050_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.299:0.299:0.299) (0.290:0.290:0.290))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.090:0.090:0.090))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1051_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.294:0.294:0.294) (0.286:0.286:0.286))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.090:0.090:0.090))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1052_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.295:0.295:0.295) (0.287:0.287:0.287))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.090:0.090:0.090))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1053_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.299:0.299:0.299) (0.292:0.292:0.292))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041))
(SETUP (negedge D) (posedge CLK) (0.086:0.086:0.086))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1054_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.301:0.301:0.301) (0.293:0.293:0.293))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041))
(SETUP (negedge D) (posedge CLK) (0.086:0.086:0.086))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1055_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.305:0.305:0.305) (0.296:0.296:0.296))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041))
(SETUP (negedge D) (posedge CLK) (0.086:0.086:0.086))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1056_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.318:0.318:0.318) (0.305:0.305:0.305))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041))
(SETUP (negedge D) (posedge CLK) (0.086:0.086:0.086))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1057_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.295:0.295:0.295) (0.288:0.288:0.288))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.089:0.089:0.089))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1058_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.309:0.309:0.309) (0.297:0.297:0.297))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.089:0.089:0.089))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1059_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.294:0.294:0.294) (0.286:0.286:0.286))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.090:0.090:0.090))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1060_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.299:0.299:0.299) (0.290:0.290:0.290))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.090:0.090:0.090))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1061_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.299:0.299:0.299) (0.289:0.289:0.289))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.090:0.090:0.090))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1062_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.296:0.296:0.296) (0.288:0.288:0.288))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.090:0.090:0.090))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1063_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.301:0.301:0.301) (0.291:0.291:0.291))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.090:0.090:0.090))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1064_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.300:0.300:0.300) (0.291:0.291:0.291))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.090:0.090:0.090))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1065_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.322:0.322:0.322) (0.305:0.305:0.305))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _1066_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.394:0.394:0.394) (0.345:0.345:0.345))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.036))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.049:-0.051))
(SETUP (posedge D) (posedge CLK) (0.058:0.058:0.059))
(SETUP (negedge D) (posedge CLK) (0.104:0.106:0.108))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_0_clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.183:0.183:0.183) (0.185:0.185:0.185))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output1)
(DELAY
(ABSOLUTE
(IOPATH A X (0.232:0.232:0.232) (0.193:0.193:0.193))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output2)
(DELAY
(ABSOLUTE
(IOPATH A X (0.208:0.208:0.208) (0.178:0.178:0.178))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output3)
(DELAY
(ABSOLUTE
(IOPATH A X (0.220:0.220:0.220) (0.184:0.184:0.184))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output4)
(DELAY
(ABSOLUTE
(IOPATH A X (0.206:0.206:0.206) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output5)
(DELAY
(ABSOLUTE
(IOPATH A X (0.194:0.194:0.194) (0.170:0.170:0.170))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output6)
(DELAY
(ABSOLUTE
(IOPATH A X (0.220:0.220:0.220) (0.185:0.185:0.185))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output7)
(DELAY
(ABSOLUTE
(IOPATH A X (0.208:0.208:0.208) (0.178:0.178:0.178))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output8)
(DELAY
(ABSOLUTE
(IOPATH A X (0.211:0.211:0.211) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output9)
(DELAY
(ABSOLUTE
(IOPATH A X (0.213:0.213:0.213) (0.181:0.181:0.181))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output10)
(DELAY
(ABSOLUTE
(IOPATH A X (0.223:0.223:0.223) (0.186:0.186:0.186))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_1_clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.156:0.156:0.156) (0.164:0.164:0.164))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_2_clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.175:0.175:0.175) (0.179:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_3_clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.177:0.177:0.177) (0.181:0.181:0.181))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_4_clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.175:0.175:0.175) (0.179:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_5_clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.133:0.133:0.133) (0.148:0.148:0.148))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_6_clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.169:0.169:0.169) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_7_clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.187:0.187:0.187) (0.190:0.190:0.190))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_8_clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.201:0.201:0.201) (0.200:0.200:0.200))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_9_clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.197:0.197:0.197) (0.197:0.197:0.197))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_10_clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.189:0.189:0.189) (0.191:0.191:0.191))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_11_clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.193:0.193:0.193) (0.194:0.194:0.194))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_12_clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.131:0.131:0.131) (0.147:0.147:0.147))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_13_clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.190:0.190:0.190) (0.192:0.192:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_14_clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.183:0.183:0.183) (0.187:0.187:0.187))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_15_clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.178:0.178:0.178) (0.182:0.182:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_16_clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.194:0.194:0.194) (0.194:0.194:0.194))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_17_clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.198:0.198:0.198))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_18_clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.198:0.198:0.198))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_19_clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.195:0.195:0.195) (0.195:0.195:0.195))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_20_clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.203:0.203:0.203) (0.201:0.201:0.201))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_21_clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.135:0.135:0.135) (0.149:0.149:0.149))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_22_clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.183:0.183:0.183) (0.186:0.186:0.186))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_23_clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.168:0.168:0.168) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_24_clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.182:0.182:0.182) (0.184:0.184:0.184))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_25_clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.173:0.173:0.173) (0.178:0.178:0.178))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_26_clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.169:0.169:0.169) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_27_clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.173:0.173:0.173) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_0_clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.172:0.172:0.172) (0.166:0.166:0.166))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_1_0__f_clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.226:0.226:0.226) (0.209:0.209:0.209))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_1_1__f_clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.254:0.254:0.254) (0.223:0.223:0.223))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_1_0_clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.158:0.158:0.158) (0.165:0.165:0.165))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_2_0_clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.174:0.174:0.174) (0.179:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_3_0_clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.173:0.173:0.173) (0.178:0.178:0.178))
)
)
)
)