blob: a75ade2e68d35294bb27faa788b381f5546fbd23 [file] [log] [blame]
VERSION 5.8 ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
DESIGN macro_15 ;
UNITS DISTANCE MICRONS 1000 ;
DIEAREA ( 0 0 ) ( 300000 300000 ) ;
ROW ROW_0 unithd 5520 10880 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_1 unithd 5520 13600 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_2 unithd 5520 16320 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_3 unithd 5520 19040 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_4 unithd 5520 21760 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_5 unithd 5520 24480 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_6 unithd 5520 27200 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_7 unithd 5520 29920 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_8 unithd 5520 32640 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_9 unithd 5520 35360 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_10 unithd 5520 38080 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_11 unithd 5520 40800 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_12 unithd 5520 43520 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_13 unithd 5520 46240 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_14 unithd 5520 48960 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_15 unithd 5520 51680 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_16 unithd 5520 54400 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_17 unithd 5520 57120 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_18 unithd 5520 59840 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_19 unithd 5520 62560 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_20 unithd 5520 65280 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_21 unithd 5520 68000 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_22 unithd 5520 70720 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_23 unithd 5520 73440 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_24 unithd 5520 76160 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_25 unithd 5520 78880 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_26 unithd 5520 81600 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_27 unithd 5520 84320 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_28 unithd 5520 87040 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_29 unithd 5520 89760 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_30 unithd 5520 92480 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_31 unithd 5520 95200 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_32 unithd 5520 97920 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_33 unithd 5520 100640 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_34 unithd 5520 103360 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_35 unithd 5520 106080 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_36 unithd 5520 108800 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_37 unithd 5520 111520 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_38 unithd 5520 114240 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_39 unithd 5520 116960 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_40 unithd 5520 119680 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_41 unithd 5520 122400 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_42 unithd 5520 125120 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_43 unithd 5520 127840 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_44 unithd 5520 130560 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_45 unithd 5520 133280 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_46 unithd 5520 136000 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_47 unithd 5520 138720 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_48 unithd 5520 141440 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_49 unithd 5520 144160 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_50 unithd 5520 146880 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_51 unithd 5520 149600 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_52 unithd 5520 152320 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_53 unithd 5520 155040 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_54 unithd 5520 157760 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_55 unithd 5520 160480 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_56 unithd 5520 163200 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_57 unithd 5520 165920 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_58 unithd 5520 168640 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_59 unithd 5520 171360 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_60 unithd 5520 174080 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_61 unithd 5520 176800 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_62 unithd 5520 179520 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_63 unithd 5520 182240 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_64 unithd 5520 184960 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_65 unithd 5520 187680 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_66 unithd 5520 190400 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_67 unithd 5520 193120 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_68 unithd 5520 195840 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_69 unithd 5520 198560 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_70 unithd 5520 201280 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_71 unithd 5520 204000 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_72 unithd 5520 206720 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_73 unithd 5520 209440 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_74 unithd 5520 212160 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_75 unithd 5520 214880 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_76 unithd 5520 217600 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_77 unithd 5520 220320 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_78 unithd 5520 223040 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_79 unithd 5520 225760 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_80 unithd 5520 228480 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_81 unithd 5520 231200 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_82 unithd 5520 233920 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_83 unithd 5520 236640 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_84 unithd 5520 239360 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_85 unithd 5520 242080 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_86 unithd 5520 244800 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_87 unithd 5520 247520 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_88 unithd 5520 250240 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_89 unithd 5520 252960 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_90 unithd 5520 255680 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_91 unithd 5520 258400 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_92 unithd 5520 261120 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_93 unithd 5520 263840 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_94 unithd 5520 266560 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_95 unithd 5520 269280 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_96 unithd 5520 272000 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_97 unithd 5520 274720 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_98 unithd 5520 277440 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_99 unithd 5520 280160 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_100 unithd 5520 282880 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_101 unithd 5520 285600 FS DO 628 BY 1 STEP 460 0 ;
TRACKS X 230 DO 652 STEP 460 LAYER li1 ;
TRACKS Y 170 DO 882 STEP 340 LAYER li1 ;
TRACKS X 170 DO 882 STEP 340 LAYER met1 ;
TRACKS Y 170 DO 882 STEP 340 LAYER met1 ;
TRACKS X 230 DO 652 STEP 460 LAYER met2 ;
TRACKS Y 230 DO 652 STEP 460 LAYER met2 ;
TRACKS X 340 DO 441 STEP 680 LAYER met3 ;
TRACKS Y 340 DO 441 STEP 680 LAYER met3 ;
TRACKS X 460 DO 326 STEP 920 LAYER met4 ;
TRACKS Y 460 DO 326 STEP 920 LAYER met4 ;
TRACKS X 1700 DO 88 STEP 3400 LAYER met5 ;
TRACKS Y 1700 DO 88 STEP 3400 LAYER met5 ;
GCELLGRID X 0 DO 43 STEP 6900 ;
GCELLGRID Y 0 DO 43 STEP 6900 ;
VIAS 3 ;
- via2_3_1600_480_1_5_320_320 + VIARULE M1M2_PR + CUTSIZE 150 150 + LAYERS met1 via met2 + CUTSPACING 170 170 + ENCLOSURE 85 165 55 85 + ROWCOL 1 5 ;
- via3_4_1600_480_1_4_400_400 + VIARULE M2M3_PR + CUTSIZE 200 200 + LAYERS met2 via2 met3 + CUTSPACING 200 200 + ENCLOSURE 40 85 65 65 + ROWCOL 1 4 ;
- via4_5_1600_480_1_4_400_400 + VIARULE M3M4_PR + CUTSIZE 200 200 + LAYERS met3 via3 met4 + CUTSPACING 200 200 + ENCLOSURE 90 60 100 65 + ROWCOL 1 4 ;
END VIAS
COMPONENTS 7930 ;
- ANTENNA__157__A sky130_fd_sc_hd__diode_2 + PLACED ( 131100 272000 ) FN ;
- ANTENNA__158__A sky130_fd_sc_hd__diode_2 + PLACED ( 110400 280160 ) FS ;
- ANTENNA__159__A sky130_fd_sc_hd__diode_2 + PLACED ( 156400 29920 ) S ;
- ANTENNA__170__A sky130_fd_sc_hd__diode_2 + PLACED ( 144440 38080 ) N ;
- ANTENNA__181__A sky130_fd_sc_hd__diode_2 + PLACED ( 131560 32640 ) N ;
- ANTENNA__192__A sky130_fd_sc_hd__diode_2 + PLACED ( 120060 27200 ) N ;
- ANTENNA__193__A_N sky130_fd_sc_hd__diode_2 + PLACED ( 183080 269280 ) FS ;
- ANTENNA__193__B sky130_fd_sc_hd__diode_2 + PLACED ( 185380 272000 ) N ;
- ANTENNA__211__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 145360 266560 ) N ;
- ANTENNA__213__A_N sky130_fd_sc_hd__diode_2 + PLACED ( 230920 277440 ) N ;
- ANTENNA__231__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 211140 282880 ) N ;
- ANTENNA__235__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 136160 269280 ) S ;
- ANTENNA__236__A sky130_fd_sc_hd__diode_2 + PLACED ( 171120 266560 ) N ;
- ANTENNA__237__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 162380 263840 ) S ;
- ANTENNA__239__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 153180 280160 ) FS ;
- ANTENNA__240__A sky130_fd_sc_hd__diode_2 + PLACED ( 197800 269280 ) FS ;
- ANTENNA__243__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 203320 263840 ) S ;
- ANTENNA__244__A sky130_fd_sc_hd__diode_2 + PLACED ( 115460 277440 ) FN ;
- ANTENNA__246__A sky130_fd_sc_hd__diode_2 + PLACED ( 121440 272000 ) N ;
- ANTENNA__251__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 176640 266560 ) N ;
- ANTENNA__252__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 171120 263840 ) S ;
- ANTENNA__253__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 168360 263840 ) S ;
- ANTENNA__258__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 219420 274720 ) FS ;
- ANTENNA__259__A sky130_fd_sc_hd__diode_2 + PLACED ( 125580 272000 ) N ;
- ANTENNA__261__A sky130_fd_sc_hd__diode_2 + PLACED ( 123280 274720 ) FS ;
- ANTENNA__264__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 165600 285600 ) FS ;
- ANTENNA__265__A sky130_fd_sc_hd__diode_2 + PLACED ( 165600 263840 ) S ;
- ANTENNA__268__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 156400 269280 ) FS ;
- ANTENNA__269__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 151340 277440 ) N ;
- ANTENNA__274__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 203780 266560 ) N ;
- ANTENNA__275__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 206540 266560 ) N ;
- ANTENNA__276__A sky130_fd_sc_hd__diode_2 + PLACED ( 128340 272000 ) FN ;
- ANTENNA__278__A sky130_fd_sc_hd__diode_2 + PLACED ( 132480 269280 ) FS ;
- ANTENNA__284__A sky130_fd_sc_hd__diode_2 + PLACED ( 137080 266560 ) N ;
- ANTENNA__285__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 173880 266560 ) N ;
- ANTENNA__286__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 173880 263840 ) S ;
- ANTENNA__295__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 132940 285600 ) S ;
- ANTENNA__295__C1 sky130_fd_sc_hd__diode_2 + PLACED ( 142600 266560 ) N ;
- ANTENNA_input10_A sky130_fd_sc_hd__diode_2 + PLACED ( 201020 266560 ) FN ;
- ANTENNA_input11_A sky130_fd_sc_hd__diode_2 + PLACED ( 224940 274720 ) S ;
- ANTENNA_input12_A sky130_fd_sc_hd__diode_2 + PLACED ( 222180 274720 ) S ;
- ANTENNA_input13_A sky130_fd_sc_hd__diode_2 + PLACED ( 233680 277440 ) FN ;
- ANTENNA_input14_A sky130_fd_sc_hd__diode_2 + PLACED ( 227700 274720 ) S ;
- ANTENNA_input15_A sky130_fd_sc_hd__diode_2 + PLACED ( 236440 277440 ) FN ;
- ANTENNA_input16_A sky130_fd_sc_hd__diode_2 + PLACED ( 241500 280160 ) S ;
- ANTENNA_input17_A sky130_fd_sc_hd__diode_2 + PLACED ( 247940 280160 ) S ;
- ANTENNA_input18_A sky130_fd_sc_hd__diode_2 + PLACED ( 256220 280160 ) S ;
- ANTENNA_input19_A sky130_fd_sc_hd__diode_2 + PLACED ( 261740 280160 ) S ;
- ANTENNA_input1_A sky130_fd_sc_hd__diode_2 + PLACED ( 18400 285600 ) S ;
- ANTENNA_input20_A sky130_fd_sc_hd__diode_2 + PLACED ( 270940 280160 ) S ;
- ANTENNA_input21_A sky130_fd_sc_hd__diode_2 + PLACED ( 278300 282880 ) FN ;
- ANTENNA_input2_A sky130_fd_sc_hd__diode_2 + PLACED ( 138920 269280 ) S ;
- ANTENNA_input3_A sky130_fd_sc_hd__diode_2 + PLACED ( 122360 282880 ) FN ;
- ANTENNA_input4_A sky130_fd_sc_hd__diode_2 + PLACED ( 180320 285600 ) S ;
- ANTENNA_input5_A sky130_fd_sc_hd__diode_2 + PLACED ( 179400 266560 ) FN ;
- ANTENNA_input6_A sky130_fd_sc_hd__diode_2 + PLACED ( 176640 263840 ) S ;
- ANTENNA_input7_A sky130_fd_sc_hd__diode_2 + PLACED ( 186300 269280 ) S ;
- ANTENNA_input8_A sky130_fd_sc_hd__diode_2 + PLACED ( 192280 272000 ) FN ;
- ANTENNA_input9_A sky130_fd_sc_hd__diode_2 + PLACED ( 201020 272000 ) FN ;
- ANTENNA_output22_A sky130_fd_sc_hd__diode_2 + PLACED ( 27600 282880 ) FN ;
- ANTENNA_output31_A sky130_fd_sc_hd__diode_2 + PLACED ( 55200 282880 ) N ;
- FILLER_0_101 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 51980 10880 ) N ;
- FILLER_0_105 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 53820 10880 ) N ;
- FILLER_0_112 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 57040 10880 ) N ;
- FILLER_0_119 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 60260 10880 ) N ;
- FILLER_0_126 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 63480 10880 ) N ;
- FILLER_0_129 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 64860 10880 ) N ;
- FILLER_0_133 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 66700 10880 ) N ;
- FILLER_0_137 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 68540 10880 ) N ;
- FILLER_0_144 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 71760 10880 ) N ;
- FILLER_0_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 10880 ) N ;
- FILLER_0_151 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 74980 10880 ) N ;
- FILLER_0_158 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 78200 10880 ) N ;
- FILLER_0_161 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 79580 10880 ) N ;
- FILLER_0_165 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 81420 10880 ) N ;
- FILLER_0_169 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 83260 10880 ) N ;
- FILLER_0_176 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 86480 10880 ) N ;
- FILLER_0_183 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 89700 10880 ) N ;
- FILLER_0_190 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 92920 10880 ) N ;
- FILLER_0_193 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 94300 10880 ) N ;
- FILLER_0_197 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 96140 10880 ) N ;
- FILLER_0_201 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 97980 10880 ) N ;
- FILLER_0_208 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 101200 10880 ) N ;
- FILLER_0_215 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 104420 10880 ) N ;
- FILLER_0_222 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 107640 10880 ) N ;
- FILLER_0_225 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 109020 10880 ) N ;
- FILLER_0_229 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 110860 10880 ) N ;
- FILLER_0_233 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 112700 10880 ) N ;
- FILLER_0_240 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 115920 10880 ) N ;
- FILLER_0_247 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 119140 10880 ) N ;
- FILLER_0_254 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 122360 10880 ) N ;
- FILLER_0_257 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 123740 10880 ) N ;
- FILLER_0_27 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 17940 10880 ) N ;
- FILLER_0_286 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 137080 10880 ) N ;
- FILLER_0_289 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 138460 10880 ) N ;
- FILLER_0_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 10880 ) N ;
- FILLER_0_31 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 19780 10880 ) N ;
- FILLER_0_318 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 151800 10880 ) N ;
- FILLER_0_321 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 153180 10880 ) N ;
- FILLER_0_33 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20700 10880 ) N ;
- FILLER_0_344 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 163760 10880 ) N ;
- FILLER_0_353 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 167900 10880 ) N ;
- FILLER_0_376 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 178480 10880 ) N ;
- FILLER_0_385 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 182620 10880 ) N ;
- FILLER_0_390 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 184920 10880 ) N ;
- FILLER_0_397 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 188140 10880 ) N ;
- FILLER_0_404 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 191360 10880 ) N ;
- FILLER_0_411 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 194580 10880 ) N ;
- FILLER_0_415 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 196420 10880 ) N ;
- FILLER_0_417 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 197340 10880 ) N ;
- FILLER_0_422 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 199640 10880 ) N ;
- FILLER_0_429 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 202860 10880 ) N ;
- FILLER_0_436 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 206080 10880 ) N ;
- FILLER_0_443 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 209300 10880 ) N ;
- FILLER_0_447 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 211140 10880 ) N ;
- FILLER_0_449 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 212060 10880 ) N ;
- FILLER_0_45 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 26220 10880 ) N ;
- FILLER_0_454 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 214360 10880 ) N ;
- FILLER_0_461 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 217580 10880 ) N ;
- FILLER_0_468 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 220800 10880 ) N ;
- FILLER_0_475 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 224020 10880 ) N ;
- FILLER_0_479 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 225860 10880 ) N ;
- FILLER_0_481 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 226780 10880 ) N ;
- FILLER_0_486 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 229080 10880 ) N ;
- FILLER_0_493 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 232300 10880 ) N ;
- FILLER_0_500 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 235520 10880 ) N ;
- FILLER_0_507 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 238740 10880 ) N ;
- FILLER_0_511 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 240580 10880 ) N ;
- FILLER_0_513 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 241500 10880 ) N ;
- FILLER_0_518 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 243800 10880 ) N ;
- FILLER_0_525 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 247020 10880 ) N ;
- FILLER_0_532 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 250240 10880 ) N ;
- FILLER_0_539 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 253460 10880 ) N ;
- FILLER_0_543 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 255300 10880 ) N ;
- FILLER_0_545 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 256220 10880 ) N ;
- FILLER_0_550 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 258520 10880 ) N ;
- FILLER_0_557 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 261740 10880 ) N ;
- FILLER_0_564 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 264960 10880 ) N ;
- FILLER_0_57 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 31740 10880 ) N ;
- FILLER_0_571 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 268180 10880 ) N ;
- FILLER_0_575 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 270020 10880 ) N ;
- FILLER_0_577 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 270940 10880 ) N ;
- FILLER_0_589 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 10880 ) N ;
- FILLER_0_601 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 281980 10880 ) N ;
- FILLER_0_607 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 284740 10880 ) N ;
- FILLER_0_609 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 285660 10880 ) N ;
- FILLER_0_621 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 291180 10880 ) N ;
- FILLER_0_63 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 34500 10880 ) N ;
- FILLER_0_65 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 35420 10880 ) N ;
- FILLER_0_69 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 37260 10880 ) N ;
- FILLER_0_73 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 39100 10880 ) N ;
- FILLER_0_80 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 42320 10880 ) N ;
- FILLER_0_87 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 45540 10880 ) N ;
- FILLER_0_94 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 48760 10880 ) N ;
- FILLER_0_97 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 50140 10880 ) N ;
- FILLER_100_103 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 52900 282880 ) N ;
- FILLER_100_107 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 54740 282880 ) N ;
- FILLER_100_110 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 56120 282880 ) N ;
- FILLER_100_122 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 61640 282880 ) N ;
- FILLER_100_128 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 64400 282880 ) N ;
- FILLER_100_132 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 66240 282880 ) N ;
- FILLER_100_144 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 71760 282880 ) N ;
- FILLER_100_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 282880 ) N ;
- FILLER_100_156 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 77280 282880 ) N ;
- FILLER_100_163 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 80500 282880 ) N ;
- FILLER_100_168 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 82800 282880 ) N ;
- FILLER_100_180 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88320 282880 ) N ;
- FILLER_100_192 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 93840 282880 ) N ;
- FILLER_100_207 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 100740 282880 ) N ;
- FILLER_100_222 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 107640 282880 ) N ;
- FILLER_100_226 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 109480 282880 ) N ;
- FILLER_100_228 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 110400 282880 ) N ;
- FILLER_100_234 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 113160 282880 ) N ;
- FILLER_100_238 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 115000 282880 ) N ;
- FILLER_100_250 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 120520 282880 ) N ;
- FILLER_100_256 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 123280 282880 ) N ;
- FILLER_100_268 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 128800 282880 ) N ;
- FILLER_100_27 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 17940 282880 ) N ;
- FILLER_100_272 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 130640 282880 ) N ;
- FILLER_100_278 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 133400 282880 ) N ;
- FILLER_100_282 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 135240 282880 ) N ;
- FILLER_100_290 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 138920 282880 ) N ;
- FILLER_100_293 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 140300 282880 ) N ;
- FILLER_100_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 282880 ) N ;
- FILLER_100_300 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 143520 282880 ) N ;
- FILLER_100_31 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 19780 282880 ) N ;
- FILLER_100_313 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 149500 282880 ) N ;
- FILLER_100_321 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 153180 282880 ) N ;
- FILLER_100_329 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 156860 282880 ) N ;
- FILLER_100_33 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 20700 282880 ) N ;
- FILLER_100_341 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 162380 282880 ) N ;
- FILLER_100_351 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 166980 282880 ) N ;
- FILLER_100_358 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 170200 282880 ) N ;
- FILLER_100_363 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 172500 282880 ) N ;
- FILLER_100_375 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 178020 282880 ) N ;
- FILLER_100_389 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 184460 282880 ) N ;
- FILLER_100_397 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 188140 282880 ) N ;
- FILLER_100_405 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 191820 282880 ) N ;
- FILLER_100_419 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 198260 282880 ) N ;
- FILLER_100_42 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 24840 282880 ) N ;
- FILLER_100_423 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 200100 282880 ) N ;
- FILLER_100_431 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 203780 282880 ) N ;
- FILLER_100_443 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 209300 282880 ) N ;
- FILLER_100_449 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 212060 282880 ) N ;
- FILLER_100_459 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 216660 282880 ) N ;
- FILLER_100_473 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 223100 282880 ) N ;
- FILLER_100_480 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 226320 282880 ) N ;
- FILLER_100_486 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 229080 282880 ) N ;
- FILLER_100_488 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 230000 282880 ) N ;
- FILLER_100_493 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 232300 282880 ) N ;
- FILLER_100_50 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28520 282880 ) N ;
- FILLER_100_500 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 235520 282880 ) N ;
- FILLER_100_507 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 238740 282880 ) N ;
- FILLER_100_514 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 241960 282880 ) N ;
- FILLER_100_521 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 245180 282880 ) N ;
- FILLER_100_528 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 248400 282880 ) N ;
- FILLER_100_535 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 251620 282880 ) N ;
- FILLER_100_542 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 254840 282880 ) N ;
- FILLER_100_549 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 258060 282880 ) N ;
- FILLER_100_553 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 259900 282880 ) N ;
- FILLER_100_558 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 262200 282880 ) N ;
- FILLER_100_565 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 265420 282880 ) N ;
- FILLER_100_572 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 268640 282880 ) N ;
- FILLER_100_578 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 271400 282880 ) N ;
- FILLER_100_582 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 273240 282880 ) N ;
- FILLER_100_589 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 276460 282880 ) N ;
- FILLER_100_595 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 279220 282880 ) N ;
- FILLER_100_602 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 282440 282880 ) N ;
- FILLER_100_614 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 287960 282880 ) N ;
- FILLER_100_618 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 289800 282880 ) N ;
- FILLER_100_62 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 34040 282880 ) N ;
- FILLER_100_624 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 292560 282880 ) N ;
- FILLER_100_74 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 39560 282880 ) N ;
- FILLER_100_86 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 45080 282880 ) N ;
- FILLER_100_94 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 48760 282880 ) N ;
- FILLER_100_98 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 50600 282880 ) N ;
- FILLER_101_103 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 52900 285600 ) FS ;
- FILLER_101_108 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 55200 285600 ) FS ;
- FILLER_101_115 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 58420 285600 ) FS ;
- FILLER_101_123 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 62100 285600 ) FS ;
- FILLER_101_127 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 63940 285600 ) FS ;
- FILLER_101_129 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 64860 285600 ) FS ;
- FILLER_101_133 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 66700 285600 ) FS ;
- FILLER_101_138 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 69000 285600 ) FS ;
- FILLER_101_145 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 72220 285600 ) FS ;
- FILLER_101_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 285600 ) FS ;
- FILLER_101_153 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 75900 285600 ) FS ;
- FILLER_101_159 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 78660 285600 ) FS ;
- FILLER_101_161 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 79580 285600 ) FS ;
- FILLER_101_168 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 82800 285600 ) FS ;
- FILLER_101_175 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 86020 285600 ) FS ;
- FILLER_101_183 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 89700 285600 ) FS ;
- FILLER_101_190 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 92920 285600 ) FS ;
- FILLER_101_193 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 94300 285600 ) FS ;
- FILLER_101_199 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 97060 285600 ) FS ;
- FILLER_101_207 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 100740 285600 ) FS ;
- FILLER_101_213 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 103500 285600 ) FS ;
- FILLER_101_222 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 107640 285600 ) FS ;
- FILLER_101_225 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 109020 285600 ) FS ;
- FILLER_101_231 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 111780 285600 ) FS ;
- FILLER_101_243 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 117300 285600 ) FS ;
- FILLER_101_252 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 121440 285600 ) FS ;
- FILLER_101_257 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 123740 285600 ) FS ;
- FILLER_101_263 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 126500 285600 ) FS ;
- FILLER_101_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 285600 ) FS ;
- FILLER_101_273 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 131100 285600 ) FS ;
- FILLER_101_279 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 133860 285600 ) FS ;
- FILLER_101_286 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 137080 285600 ) FS ;
- FILLER_101_289 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 138460 285600 ) FS ;
- FILLER_101_295 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 141220 285600 ) FS ;
- FILLER_101_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 285600 ) FS ;
- FILLER_101_30 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 19320 285600 ) FS ;
- FILLER_101_303 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 144900 285600 ) FS ;
- FILLER_101_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 285600 ) FS ;
- FILLER_101_311 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 148580 285600 ) FS ;
- FILLER_101_318 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 151800 285600 ) FS ;
- FILLER_101_321 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 153180 285600 ) FS ;
- FILLER_101_327 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 155940 285600 ) FS ;
- FILLER_101_33 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 20700 285600 ) FS ;
- FILLER_101_333 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 158700 285600 ) FS ;
- FILLER_101_344 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 163760 285600 ) FS ;
- FILLER_101_350 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 166520 285600 ) FS ;
- FILLER_101_353 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 167900 285600 ) FS ;
- FILLER_101_361 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 171580 285600 ) FS ;
- FILLER_101_369 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 175260 285600 ) FS ;
- FILLER_101_376 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 178480 285600 ) FS ;
- FILLER_101_382 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 181240 285600 ) FS ;
- FILLER_101_385 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 182620 285600 ) FS ;
- FILLER_101_39 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 23460 285600 ) FS ;
- FILLER_101_397 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 188140 285600 ) FS ;
- FILLER_101_411 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 194580 285600 ) FS ;
- FILLER_101_415 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 196420 285600 ) FS ;
- FILLER_101_417 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 197340 285600 ) FS ;
- FILLER_101_43 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 25300 285600 ) FS ;
- FILLER_101_430 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 203320 285600 ) FS ;
- FILLER_101_437 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 206540 285600 ) FS ;
- FILLER_101_446 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 210680 285600 ) FS ;
- FILLER_101_449 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 212060 285600 ) FS ;
- FILLER_101_453 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 213900 285600 ) FS ;
- FILLER_101_464 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 218960 285600 ) FS ;
- FILLER_101_471 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 222180 285600 ) FS ;
- FILLER_101_478 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 225400 285600 ) FS ;
- FILLER_101_48 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 27600 285600 ) FS ;
- FILLER_101_481 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 226780 285600 ) FS ;
- FILLER_101_488 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 230000 285600 ) FS ;
- FILLER_101_495 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 233220 285600 ) FS ;
- FILLER_101_509 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 239660 285600 ) FS ;
- FILLER_101_513 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 241500 285600 ) FS ;
- FILLER_101_525 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 247020 285600 ) FS ;
- FILLER_101_539 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 253460 285600 ) FS ;
- FILLER_101_543 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 255300 285600 ) FS ;
- FILLER_101_545 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 256220 285600 ) FS ;
- FILLER_101_55 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 30820 285600 ) FS ;
- FILLER_101_551 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 258980 285600 ) FS ;
- FILLER_101_565 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 265420 285600 ) FS ;
- FILLER_101_572 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 268640 285600 ) FS ;
- FILLER_101_577 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 270940 285600 ) FS ;
- FILLER_101_583 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 273700 285600 ) FS ;
- FILLER_101_593 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 278300 285600 ) FS ;
- FILLER_101_600 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 281520 285600 ) FS ;
- FILLER_101_609 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 285660 285600 ) FS ;
- FILLER_101_62 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 34040 285600 ) FS ;
- FILLER_101_621 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 291180 285600 ) FS ;
- FILLER_101_65 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 35420 285600 ) FS ;
- FILLER_101_70 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 37720 285600 ) FS ;
- FILLER_101_77 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 40940 285600 ) FS ;
- FILLER_101_81 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 42780 285600 ) FS ;
- FILLER_101_85 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 44620 285600 ) FS ;
- FILLER_101_92 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 47840 285600 ) FS ;
- FILLER_101_97 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 50140 285600 ) FS ;
- FILLER_10_110 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 56120 38080 ) N ;
- FILLER_10_122 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61640 38080 ) N ;
- FILLER_10_134 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 67160 38080 ) N ;
- FILLER_10_146 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 72680 38080 ) N ;
- FILLER_10_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 38080 ) N ;
- FILLER_10_158 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 78200 38080 ) N ;
- FILLER_10_163 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 80500 38080 ) N ;
- FILLER_10_175 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86020 38080 ) N ;
- FILLER_10_187 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 91540 38080 ) N ;
- FILLER_10_199 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 97060 38080 ) N ;
- FILLER_10_211 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 102580 38080 ) N ;
- FILLER_10_223 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 108100 38080 ) N ;
- FILLER_10_228 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 110400 38080 ) N ;
- FILLER_10_240 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115920 38080 ) N ;
- FILLER_10_252 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121440 38080 ) N ;
- FILLER_10_264 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 126960 38080 ) N ;
- FILLER_10_27 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 17940 38080 ) N ;
- FILLER_10_276 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132480 38080 ) N ;
- FILLER_10_288 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138000 38080 ) N ;
- FILLER_10_293 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 140300 38080 ) N ;
- FILLER_10_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 38080 ) N ;
- FILLER_10_301 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 143980 38080 ) N ;
- FILLER_10_304 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 145360 38080 ) N ;
- FILLER_10_31 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 19780 38080 ) N ;
- FILLER_10_311 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 148580 38080 ) N ;
- FILLER_10_318 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 151800 38080 ) N ;
- FILLER_10_322 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 153640 38080 ) N ;
- FILLER_10_326 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 155480 38080 ) N ;
- FILLER_10_33 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20700 38080 ) N ;
- FILLER_10_338 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 161000 38080 ) N ;
- FILLER_10_350 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 166520 38080 ) N ;
- FILLER_10_356 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 169280 38080 ) N ;
- FILLER_10_358 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 170200 38080 ) N ;
- FILLER_10_370 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 175720 38080 ) N ;
- FILLER_10_382 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 181240 38080 ) N ;
- FILLER_10_394 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186760 38080 ) N ;
- FILLER_10_406 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 192280 38080 ) N ;
- FILLER_10_418 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 197800 38080 ) N ;
- FILLER_10_423 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 200100 38080 ) N ;
- FILLER_10_435 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 205620 38080 ) N ;
- FILLER_10_447 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 211140 38080 ) N ;
- FILLER_10_45 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 26220 38080 ) N ;
- FILLER_10_459 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 216660 38080 ) N ;
- FILLER_10_471 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 222180 38080 ) N ;
- FILLER_10_483 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 227700 38080 ) N ;
- FILLER_10_488 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230000 38080 ) N ;
- FILLER_10_500 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235520 38080 ) N ;
- FILLER_10_512 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241040 38080 ) N ;
- FILLER_10_524 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 246560 38080 ) N ;
- FILLER_10_536 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 252080 38080 ) N ;
- FILLER_10_548 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 257600 38080 ) N ;
- FILLER_10_553 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 259900 38080 ) N ;
- FILLER_10_565 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 265420 38080 ) N ;
- FILLER_10_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 38080 ) N ;
- FILLER_10_577 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 270940 38080 ) N ;
- FILLER_10_589 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 38080 ) N ;
- FILLER_10_601 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 38080 ) N ;
- FILLER_10_613 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 287500 38080 ) N ;
- FILLER_10_618 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 289800 38080 ) N ;
- FILLER_10_624 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 292560 38080 ) N ;
- FILLER_10_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 38080 ) N ;
- FILLER_10_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 38080 ) N ;
- FILLER_10_93 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 48300 38080 ) N ;
- FILLER_10_98 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50600 38080 ) N ;
- FILLER_11_102 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 52440 40800 ) FS ;
- FILLER_11_114 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57960 40800 ) FS ;
- FILLER_11_126 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 63480 40800 ) FS ;
- FILLER_11_131 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 65780 40800 ) FS ;
- FILLER_11_143 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 71300 40800 ) FS ;
- FILLER_11_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 40800 ) FS ;
- FILLER_11_155 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 76820 40800 ) FS ;
- FILLER_11_167 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 82340 40800 ) FS ;
- FILLER_11_179 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 87860 40800 ) FS ;
- FILLER_11_191 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93380 40800 ) FS ;
- FILLER_11_196 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 95680 40800 ) FS ;
- FILLER_11_208 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101200 40800 ) FS ;
- FILLER_11_220 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 106720 40800 ) FS ;
- FILLER_11_232 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112240 40800 ) FS ;
- FILLER_11_244 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 117760 40800 ) FS ;
- FILLER_11_256 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 123280 40800 ) FS ;
- FILLER_11_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 40800 ) FS ;
- FILLER_11_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 40800 ) FS ;
- FILLER_11_273 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 131100 40800 ) FS ;
- FILLER_11_285 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 136620 40800 ) FS ;
- FILLER_11_297 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 142140 40800 ) FS ;
- FILLER_11_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 40800 ) FS ;
- FILLER_11_309 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 147660 40800 ) FS ;
- FILLER_11_313 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 149500 40800 ) FS ;
- FILLER_11_317 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 151340 40800 ) FS ;
- FILLER_11_326 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 155480 40800 ) FS ;
- FILLER_11_338 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 161000 40800 ) FS ;
- FILLER_11_350 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166520 40800 ) FS ;
- FILLER_11_362 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 172040 40800 ) FS ;
- FILLER_11_374 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177560 40800 ) FS ;
- FILLER_11_386 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 183080 40800 ) FS ;
- FILLER_11_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 40800 ) FS ;
- FILLER_11_391 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 185380 40800 ) FS ;
- FILLER_11_403 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 190900 40800 ) FS ;
- FILLER_11_415 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 196420 40800 ) FS ;
- FILLER_11_427 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 201940 40800 ) FS ;
- FILLER_11_439 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 207460 40800 ) FS ;
- FILLER_11_451 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 212980 40800 ) FS ;
- FILLER_11_456 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215280 40800 ) FS ;
- FILLER_11_468 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 220800 40800 ) FS ;
- FILLER_11_480 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 226320 40800 ) FS ;
- FILLER_11_492 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 231840 40800 ) FS ;
- FILLER_11_504 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237360 40800 ) FS ;
- FILLER_11_51 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28980 40800 ) FS ;
- FILLER_11_516 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 242880 40800 ) FS ;
- FILLER_11_521 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 245180 40800 ) FS ;
- FILLER_11_533 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 40800 ) FS ;
- FILLER_11_545 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 40800 ) FS ;
- FILLER_11_557 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 40800 ) FS ;
- FILLER_11_569 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 40800 ) FS ;
- FILLER_11_581 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 272780 40800 ) FS ;
- FILLER_11_586 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 275080 40800 ) FS ;
- FILLER_11_598 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280600 40800 ) FS ;
- FILLER_11_610 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 286120 40800 ) FS ;
- FILLER_11_622 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 291640 40800 ) FS ;
- FILLER_11_63 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 34500 40800 ) FS ;
- FILLER_11_66 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35880 40800 ) FS ;
- FILLER_11_78 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 41400 40800 ) FS ;
- FILLER_11_90 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46920 40800 ) FS ;
- FILLER_12_110 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 56120 43520 ) N ;
- FILLER_12_122 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61640 43520 ) N ;
- FILLER_12_134 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 67160 43520 ) N ;
- FILLER_12_146 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 72680 43520 ) N ;
- FILLER_12_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 43520 ) N ;
- FILLER_12_158 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 78200 43520 ) N ;
- FILLER_12_163 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 80500 43520 ) N ;
- FILLER_12_175 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86020 43520 ) N ;
- FILLER_12_187 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 91540 43520 ) N ;
- FILLER_12_199 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 97060 43520 ) N ;
- FILLER_12_211 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 102580 43520 ) N ;
- FILLER_12_223 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 108100 43520 ) N ;
- FILLER_12_228 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 110400 43520 ) N ;
- FILLER_12_240 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115920 43520 ) N ;
- FILLER_12_252 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121440 43520 ) N ;
- FILLER_12_264 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 126960 43520 ) N ;
- FILLER_12_27 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 17940 43520 ) N ;
- FILLER_12_276 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132480 43520 ) N ;
- FILLER_12_288 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138000 43520 ) N ;
- FILLER_12_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 43520 ) N ;
- FILLER_12_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 43520 ) N ;
- FILLER_12_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 43520 ) N ;
- FILLER_12_31 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 19780 43520 ) N ;
- FILLER_12_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 43520 ) N ;
- FILLER_12_329 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 156860 43520 ) N ;
- FILLER_12_33 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20700 43520 ) N ;
- FILLER_12_341 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 162380 43520 ) N ;
- FILLER_12_353 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 167900 43520 ) N ;
- FILLER_12_358 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 170200 43520 ) N ;
- FILLER_12_370 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 175720 43520 ) N ;
- FILLER_12_382 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 181240 43520 ) N ;
- FILLER_12_394 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186760 43520 ) N ;
- FILLER_12_406 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 192280 43520 ) N ;
- FILLER_12_418 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 197800 43520 ) N ;
- FILLER_12_423 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 200100 43520 ) N ;
- FILLER_12_435 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 205620 43520 ) N ;
- FILLER_12_447 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 211140 43520 ) N ;
- FILLER_12_45 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 26220 43520 ) N ;
- FILLER_12_459 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 216660 43520 ) N ;
- FILLER_12_471 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 222180 43520 ) N ;
- FILLER_12_483 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 227700 43520 ) N ;
- FILLER_12_488 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230000 43520 ) N ;
- FILLER_12_500 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235520 43520 ) N ;
- FILLER_12_512 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241040 43520 ) N ;
- FILLER_12_524 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 246560 43520 ) N ;
- FILLER_12_536 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 252080 43520 ) N ;
- FILLER_12_548 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 257600 43520 ) N ;
- FILLER_12_553 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 259900 43520 ) N ;
- FILLER_12_565 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 265420 43520 ) N ;
- FILLER_12_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 43520 ) N ;
- FILLER_12_577 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 270940 43520 ) N ;
- FILLER_12_589 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 43520 ) N ;
- FILLER_12_601 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 43520 ) N ;
- FILLER_12_613 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 287500 43520 ) N ;
- FILLER_12_618 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 289800 43520 ) N ;
- FILLER_12_624 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 292560 43520 ) N ;
- FILLER_12_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 43520 ) N ;
- FILLER_12_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 43520 ) N ;
- FILLER_12_93 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 48300 43520 ) N ;
- FILLER_12_98 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50600 43520 ) N ;
- FILLER_13_102 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 52440 46240 ) FS ;
- FILLER_13_114 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57960 46240 ) FS ;
- FILLER_13_126 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 63480 46240 ) FS ;
- FILLER_13_131 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 65780 46240 ) FS ;
- FILLER_13_143 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 71300 46240 ) FS ;
- FILLER_13_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 46240 ) FS ;
- FILLER_13_155 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 76820 46240 ) FS ;
- FILLER_13_167 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 82340 46240 ) FS ;
- FILLER_13_179 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 87860 46240 ) FS ;
- FILLER_13_191 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93380 46240 ) FS ;
- FILLER_13_196 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 95680 46240 ) FS ;
- FILLER_13_208 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101200 46240 ) FS ;
- FILLER_13_220 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 106720 46240 ) FS ;
- FILLER_13_232 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112240 46240 ) FS ;
- FILLER_13_244 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 117760 46240 ) FS ;
- FILLER_13_256 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 123280 46240 ) FS ;
- FILLER_13_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 46240 ) FS ;
- FILLER_13_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 46240 ) FS ;
- FILLER_13_273 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 131100 46240 ) FS ;
- FILLER_13_285 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 136620 46240 ) FS ;
- FILLER_13_297 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 142140 46240 ) FS ;
- FILLER_13_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 46240 ) FS ;
- FILLER_13_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 46240 ) FS ;
- FILLER_13_321 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 153180 46240 ) FS ;
- FILLER_13_326 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 155480 46240 ) FS ;
- FILLER_13_338 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 161000 46240 ) FS ;
- FILLER_13_350 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166520 46240 ) FS ;
- FILLER_13_362 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 172040 46240 ) FS ;
- FILLER_13_374 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177560 46240 ) FS ;
- FILLER_13_386 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 183080 46240 ) FS ;
- FILLER_13_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 46240 ) FS ;
- FILLER_13_391 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 185380 46240 ) FS ;
- FILLER_13_403 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 190900 46240 ) FS ;
- FILLER_13_415 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 196420 46240 ) FS ;
- FILLER_13_427 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 201940 46240 ) FS ;
- FILLER_13_439 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 207460 46240 ) FS ;
- FILLER_13_451 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 212980 46240 ) FS ;
- FILLER_13_456 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215280 46240 ) FS ;
- FILLER_13_468 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 220800 46240 ) FS ;
- FILLER_13_480 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 226320 46240 ) FS ;
- FILLER_13_492 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 231840 46240 ) FS ;
- FILLER_13_504 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237360 46240 ) FS ;
- FILLER_13_51 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28980 46240 ) FS ;
- FILLER_13_516 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 242880 46240 ) FS ;
- FILLER_13_521 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 245180 46240 ) FS ;
- FILLER_13_533 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 46240 ) FS ;
- FILLER_13_545 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 46240 ) FS ;
- FILLER_13_557 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 46240 ) FS ;
- FILLER_13_569 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 46240 ) FS ;
- FILLER_13_581 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 272780 46240 ) FS ;
- FILLER_13_586 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 275080 46240 ) FS ;
- FILLER_13_598 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280600 46240 ) FS ;
- FILLER_13_610 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 286120 46240 ) FS ;
- FILLER_13_622 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 291640 46240 ) FS ;
- FILLER_13_63 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 34500 46240 ) FS ;
- FILLER_13_66 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35880 46240 ) FS ;
- FILLER_13_78 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 41400 46240 ) FS ;
- FILLER_13_90 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46920 46240 ) FS ;
- FILLER_14_110 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 56120 48960 ) N ;
- FILLER_14_122 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61640 48960 ) N ;
- FILLER_14_134 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 67160 48960 ) N ;
- FILLER_14_146 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 72680 48960 ) N ;
- FILLER_14_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 48960 ) N ;
- FILLER_14_158 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 78200 48960 ) N ;
- FILLER_14_163 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 80500 48960 ) N ;
- FILLER_14_175 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86020 48960 ) N ;
- FILLER_14_187 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 91540 48960 ) N ;
- FILLER_14_199 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 97060 48960 ) N ;
- FILLER_14_211 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 102580 48960 ) N ;
- FILLER_14_223 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 108100 48960 ) N ;
- FILLER_14_228 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 110400 48960 ) N ;
- FILLER_14_240 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115920 48960 ) N ;
- FILLER_14_252 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121440 48960 ) N ;
- FILLER_14_264 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 126960 48960 ) N ;
- FILLER_14_27 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 17940 48960 ) N ;
- FILLER_14_276 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132480 48960 ) N ;
- FILLER_14_288 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138000 48960 ) N ;
- FILLER_14_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 48960 ) N ;
- FILLER_14_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 48960 ) N ;
- FILLER_14_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 48960 ) N ;
- FILLER_14_31 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 19780 48960 ) N ;
- FILLER_14_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 48960 ) N ;
- FILLER_14_329 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 156860 48960 ) N ;
- FILLER_14_33 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20700 48960 ) N ;
- FILLER_14_341 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 162380 48960 ) N ;
- FILLER_14_353 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 167900 48960 ) N ;
- FILLER_14_358 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 170200 48960 ) N ;
- FILLER_14_370 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 175720 48960 ) N ;
- FILLER_14_382 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 181240 48960 ) N ;
- FILLER_14_394 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186760 48960 ) N ;
- FILLER_14_406 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 192280 48960 ) N ;
- FILLER_14_418 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 197800 48960 ) N ;
- FILLER_14_423 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 200100 48960 ) N ;
- FILLER_14_435 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 205620 48960 ) N ;
- FILLER_14_447 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 211140 48960 ) N ;
- FILLER_14_45 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 26220 48960 ) N ;
- FILLER_14_459 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 216660 48960 ) N ;
- FILLER_14_471 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 222180 48960 ) N ;
- FILLER_14_483 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 227700 48960 ) N ;
- FILLER_14_488 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230000 48960 ) N ;
- FILLER_14_500 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235520 48960 ) N ;
- FILLER_14_512 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241040 48960 ) N ;
- FILLER_14_524 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 246560 48960 ) N ;
- FILLER_14_536 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 252080 48960 ) N ;
- FILLER_14_548 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 257600 48960 ) N ;
- FILLER_14_553 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 259900 48960 ) N ;
- FILLER_14_565 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 265420 48960 ) N ;
- FILLER_14_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 48960 ) N ;
- FILLER_14_577 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 270940 48960 ) N ;
- FILLER_14_589 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 48960 ) N ;
- FILLER_14_601 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 48960 ) N ;
- FILLER_14_613 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 287500 48960 ) N ;
- FILLER_14_618 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 289800 48960 ) N ;
- FILLER_14_624 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 292560 48960 ) N ;
- FILLER_14_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 48960 ) N ;
- FILLER_14_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 48960 ) N ;
- FILLER_14_93 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 48300 48960 ) N ;
- FILLER_14_98 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50600 48960 ) N ;
- FILLER_15_102 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 52440 51680 ) FS ;
- FILLER_15_114 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57960 51680 ) FS ;
- FILLER_15_126 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 63480 51680 ) FS ;
- FILLER_15_131 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 65780 51680 ) FS ;
- FILLER_15_143 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 71300 51680 ) FS ;
- FILLER_15_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 51680 ) FS ;
- FILLER_15_155 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 76820 51680 ) FS ;
- FILLER_15_167 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 82340 51680 ) FS ;
- FILLER_15_179 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 87860 51680 ) FS ;
- FILLER_15_191 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93380 51680 ) FS ;
- FILLER_15_196 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 95680 51680 ) FS ;
- FILLER_15_208 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101200 51680 ) FS ;
- FILLER_15_220 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 106720 51680 ) FS ;
- FILLER_15_232 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112240 51680 ) FS ;
- FILLER_15_244 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 117760 51680 ) FS ;
- FILLER_15_256 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 123280 51680 ) FS ;
- FILLER_15_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 51680 ) FS ;
- FILLER_15_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 51680 ) FS ;
- FILLER_15_273 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 131100 51680 ) FS ;
- FILLER_15_285 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 136620 51680 ) FS ;
- FILLER_15_297 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 142140 51680 ) FS ;
- FILLER_15_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 51680 ) FS ;
- FILLER_15_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 51680 ) FS ;
- FILLER_15_321 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 153180 51680 ) FS ;
- FILLER_15_326 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 155480 51680 ) FS ;
- FILLER_15_338 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 161000 51680 ) FS ;
- FILLER_15_350 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166520 51680 ) FS ;
- FILLER_15_362 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 172040 51680 ) FS ;
- FILLER_15_374 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177560 51680 ) FS ;
- FILLER_15_386 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 183080 51680 ) FS ;
- FILLER_15_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 51680 ) FS ;
- FILLER_15_391 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 185380 51680 ) FS ;
- FILLER_15_403 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 190900 51680 ) FS ;
- FILLER_15_415 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 196420 51680 ) FS ;
- FILLER_15_427 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 201940 51680 ) FS ;
- FILLER_15_439 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 207460 51680 ) FS ;
- FILLER_15_451 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 212980 51680 ) FS ;
- FILLER_15_456 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215280 51680 ) FS ;
- FILLER_15_468 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 220800 51680 ) FS ;
- FILLER_15_480 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 226320 51680 ) FS ;
- FILLER_15_492 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 231840 51680 ) FS ;
- FILLER_15_504 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237360 51680 ) FS ;
- FILLER_15_51 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28980 51680 ) FS ;
- FILLER_15_516 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 242880 51680 ) FS ;
- FILLER_15_521 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 245180 51680 ) FS ;
- FILLER_15_533 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 51680 ) FS ;
- FILLER_15_545 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 51680 ) FS ;
- FILLER_15_557 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 51680 ) FS ;
- FILLER_15_569 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 51680 ) FS ;
- FILLER_15_581 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 272780 51680 ) FS ;
- FILLER_15_586 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 275080 51680 ) FS ;
- FILLER_15_598 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280600 51680 ) FS ;
- FILLER_15_610 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 286120 51680 ) FS ;
- FILLER_15_622 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 291640 51680 ) FS ;
- FILLER_15_63 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 34500 51680 ) FS ;
- FILLER_15_66 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35880 51680 ) FS ;
- FILLER_15_78 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 41400 51680 ) FS ;
- FILLER_15_90 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46920 51680 ) FS ;
- FILLER_16_110 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 56120 54400 ) N ;
- FILLER_16_122 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61640 54400 ) N ;
- FILLER_16_134 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 67160 54400 ) N ;
- FILLER_16_146 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 72680 54400 ) N ;
- FILLER_16_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 54400 ) N ;
- FILLER_16_158 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 78200 54400 ) N ;
- FILLER_16_163 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 80500 54400 ) N ;
- FILLER_16_175 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86020 54400 ) N ;
- FILLER_16_187 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 91540 54400 ) N ;
- FILLER_16_199 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 97060 54400 ) N ;
- FILLER_16_211 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 102580 54400 ) N ;
- FILLER_16_223 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 108100 54400 ) N ;
- FILLER_16_228 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 110400 54400 ) N ;
- FILLER_16_240 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115920 54400 ) N ;
- FILLER_16_252 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121440 54400 ) N ;
- FILLER_16_264 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 126960 54400 ) N ;
- FILLER_16_27 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 17940 54400 ) N ;
- FILLER_16_276 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132480 54400 ) N ;
- FILLER_16_288 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138000 54400 ) N ;
- FILLER_16_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 54400 ) N ;
- FILLER_16_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 54400 ) N ;
- FILLER_16_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 54400 ) N ;
- FILLER_16_31 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 19780 54400 ) N ;
- FILLER_16_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 54400 ) N ;
- FILLER_16_329 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 156860 54400 ) N ;
- FILLER_16_33 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20700 54400 ) N ;
- FILLER_16_341 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 162380 54400 ) N ;
- FILLER_16_353 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 167900 54400 ) N ;
- FILLER_16_358 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 170200 54400 ) N ;
- FILLER_16_370 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 175720 54400 ) N ;
- FILLER_16_382 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 181240 54400 ) N ;
- FILLER_16_394 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186760 54400 ) N ;
- FILLER_16_406 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 192280 54400 ) N ;
- FILLER_16_418 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 197800 54400 ) N ;
- FILLER_16_423 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 200100 54400 ) N ;
- FILLER_16_435 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 205620 54400 ) N ;
- FILLER_16_447 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 211140 54400 ) N ;
- FILLER_16_45 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 26220 54400 ) N ;
- FILLER_16_459 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 216660 54400 ) N ;
- FILLER_16_471 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 222180 54400 ) N ;
- FILLER_16_483 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 227700 54400 ) N ;
- FILLER_16_488 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230000 54400 ) N ;
- FILLER_16_500 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235520 54400 ) N ;
- FILLER_16_512 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241040 54400 ) N ;
- FILLER_16_524 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 246560 54400 ) N ;
- FILLER_16_536 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 252080 54400 ) N ;
- FILLER_16_548 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 257600 54400 ) N ;
- FILLER_16_553 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 259900 54400 ) N ;
- FILLER_16_565 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 265420 54400 ) N ;
- FILLER_16_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 54400 ) N ;
- FILLER_16_577 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 270940 54400 ) N ;
- FILLER_16_589 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 54400 ) N ;
- FILLER_16_601 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 54400 ) N ;
- FILLER_16_613 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 287500 54400 ) N ;
- FILLER_16_618 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 289800 54400 ) N ;
- FILLER_16_624 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 292560 54400 ) N ;
- FILLER_16_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 54400 ) N ;
- FILLER_16_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 54400 ) N ;
- FILLER_16_93 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 48300 54400 ) N ;
- FILLER_16_98 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50600 54400 ) N ;
- FILLER_17_102 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 52440 57120 ) FS ;
- FILLER_17_114 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57960 57120 ) FS ;
- FILLER_17_126 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 63480 57120 ) FS ;
- FILLER_17_131 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 65780 57120 ) FS ;
- FILLER_17_143 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 71300 57120 ) FS ;
- FILLER_17_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 57120 ) FS ;
- FILLER_17_155 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 76820 57120 ) FS ;
- FILLER_17_167 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 82340 57120 ) FS ;
- FILLER_17_179 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 87860 57120 ) FS ;
- FILLER_17_191 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93380 57120 ) FS ;
- FILLER_17_196 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 95680 57120 ) FS ;
- FILLER_17_208 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101200 57120 ) FS ;
- FILLER_17_220 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 106720 57120 ) FS ;
- FILLER_17_232 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112240 57120 ) FS ;
- FILLER_17_244 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 117760 57120 ) FS ;
- FILLER_17_256 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 123280 57120 ) FS ;
- FILLER_17_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 57120 ) FS ;
- FILLER_17_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 57120 ) FS ;
- FILLER_17_273 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 131100 57120 ) FS ;
- FILLER_17_285 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 136620 57120 ) FS ;
- FILLER_17_297 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 142140 57120 ) FS ;
- FILLER_17_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 57120 ) FS ;
- FILLER_17_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 57120 ) FS ;
- FILLER_17_321 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 153180 57120 ) FS ;
- FILLER_17_326 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 155480 57120 ) FS ;
- FILLER_17_338 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 161000 57120 ) FS ;
- FILLER_17_350 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166520 57120 ) FS ;
- FILLER_17_362 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 172040 57120 ) FS ;
- FILLER_17_374 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177560 57120 ) FS ;
- FILLER_17_386 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 183080 57120 ) FS ;
- FILLER_17_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 57120 ) FS ;
- FILLER_17_391 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 185380 57120 ) FS ;
- FILLER_17_403 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 190900 57120 ) FS ;
- FILLER_17_415 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 196420 57120 ) FS ;
- FILLER_17_427 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 201940 57120 ) FS ;
- FILLER_17_439 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 207460 57120 ) FS ;
- FILLER_17_451 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 212980 57120 ) FS ;
- FILLER_17_456 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215280 57120 ) FS ;
- FILLER_17_468 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 220800 57120 ) FS ;
- FILLER_17_480 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 226320 57120 ) FS ;
- FILLER_17_492 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 231840 57120 ) FS ;
- FILLER_17_504 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237360 57120 ) FS ;
- FILLER_17_51 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28980 57120 ) FS ;
- FILLER_17_516 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 242880 57120 ) FS ;
- FILLER_17_521 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 245180 57120 ) FS ;
- FILLER_17_533 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 57120 ) FS ;
- FILLER_17_545 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 57120 ) FS ;
- FILLER_17_557 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 57120 ) FS ;
- FILLER_17_569 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 57120 ) FS ;
- FILLER_17_581 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 272780 57120 ) FS ;
- FILLER_17_586 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 275080 57120 ) FS ;
- FILLER_17_598 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280600 57120 ) FS ;
- FILLER_17_610 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 286120 57120 ) FS ;
- FILLER_17_622 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 291640 57120 ) FS ;
- FILLER_17_63 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 34500 57120 ) FS ;
- FILLER_17_66 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35880 57120 ) FS ;
- FILLER_17_78 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 41400 57120 ) FS ;
- FILLER_17_90 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46920 57120 ) FS ;
- FILLER_18_110 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 56120 59840 ) N ;
- FILLER_18_122 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61640 59840 ) N ;
- FILLER_18_134 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 67160 59840 ) N ;
- FILLER_18_146 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 72680 59840 ) N ;
- FILLER_18_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 59840 ) N ;
- FILLER_18_158 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 78200 59840 ) N ;
- FILLER_18_163 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 80500 59840 ) N ;
- FILLER_18_175 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86020 59840 ) N ;
- FILLER_18_187 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 91540 59840 ) N ;
- FILLER_18_199 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 97060 59840 ) N ;
- FILLER_18_211 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 102580 59840 ) N ;
- FILLER_18_223 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 108100 59840 ) N ;
- FILLER_18_228 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 110400 59840 ) N ;
- FILLER_18_240 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115920 59840 ) N ;
- FILLER_18_252 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121440 59840 ) N ;
- FILLER_18_264 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 126960 59840 ) N ;
- FILLER_18_27 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 17940 59840 ) N ;
- FILLER_18_276 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132480 59840 ) N ;
- FILLER_18_288 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138000 59840 ) N ;
- FILLER_18_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 59840 ) N ;
- FILLER_18_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 59840 ) N ;
- FILLER_18_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 59840 ) N ;
- FILLER_18_31 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 19780 59840 ) N ;
- FILLER_18_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 59840 ) N ;
- FILLER_18_329 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 156860 59840 ) N ;
- FILLER_18_33 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20700 59840 ) N ;
- FILLER_18_341 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 162380 59840 ) N ;
- FILLER_18_353 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 167900 59840 ) N ;
- FILLER_18_358 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 170200 59840 ) N ;
- FILLER_18_370 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 175720 59840 ) N ;
- FILLER_18_382 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 181240 59840 ) N ;
- FILLER_18_394 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186760 59840 ) N ;
- FILLER_18_406 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 192280 59840 ) N ;
- FILLER_18_418 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 197800 59840 ) N ;
- FILLER_18_423 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 200100 59840 ) N ;
- FILLER_18_435 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 205620 59840 ) N ;
- FILLER_18_447 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 211140 59840 ) N ;
- FILLER_18_45 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 26220 59840 ) N ;
- FILLER_18_459 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 216660 59840 ) N ;
- FILLER_18_471 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 222180 59840 ) N ;
- FILLER_18_483 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 227700 59840 ) N ;
- FILLER_18_488 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230000 59840 ) N ;
- FILLER_18_500 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235520 59840 ) N ;
- FILLER_18_512 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241040 59840 ) N ;
- FILLER_18_524 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 246560 59840 ) N ;
- FILLER_18_536 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 252080 59840 ) N ;
- FILLER_18_548 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 257600 59840 ) N ;
- FILLER_18_553 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 259900 59840 ) N ;
- FILLER_18_565 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 265420 59840 ) N ;
- FILLER_18_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 59840 ) N ;
- FILLER_18_577 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 270940 59840 ) N ;
- FILLER_18_589 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 59840 ) N ;
- FILLER_18_601 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 59840 ) N ;
- FILLER_18_613 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 287500 59840 ) N ;
- FILLER_18_618 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 289800 59840 ) N ;
- FILLER_18_624 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 292560 59840 ) N ;
- FILLER_18_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 59840 ) N ;
- FILLER_18_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 59840 ) N ;
- FILLER_18_93 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 48300 59840 ) N ;
- FILLER_18_98 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50600 59840 ) N ;
- FILLER_19_102 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 52440 62560 ) FS ;
- FILLER_19_114 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57960 62560 ) FS ;
- FILLER_19_126 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 63480 62560 ) FS ;
- FILLER_19_131 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 65780 62560 ) FS ;
- FILLER_19_143 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 71300 62560 ) FS ;
- FILLER_19_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 62560 ) FS ;
- FILLER_19_155 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 76820 62560 ) FS ;
- FILLER_19_167 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 82340 62560 ) FS ;
- FILLER_19_179 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 87860 62560 ) FS ;
- FILLER_19_191 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93380 62560 ) FS ;
- FILLER_19_196 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 95680 62560 ) FS ;
- FILLER_19_208 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101200 62560 ) FS ;
- FILLER_19_220 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 106720 62560 ) FS ;
- FILLER_19_232 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112240 62560 ) FS ;
- FILLER_19_244 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 117760 62560 ) FS ;
- FILLER_19_256 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 123280 62560 ) FS ;
- FILLER_19_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 62560 ) FS ;
- FILLER_19_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 62560 ) FS ;
- FILLER_19_273 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 131100 62560 ) FS ;
- FILLER_19_285 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 136620 62560 ) FS ;
- FILLER_19_297 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 142140 62560 ) FS ;
- FILLER_19_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 62560 ) FS ;
- FILLER_19_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 62560 ) FS ;
- FILLER_19_321 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 153180 62560 ) FS ;
- FILLER_19_326 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 155480 62560 ) FS ;
- FILLER_19_338 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 161000 62560 ) FS ;
- FILLER_19_350 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166520 62560 ) FS ;
- FILLER_19_362 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 172040 62560 ) FS ;
- FILLER_19_374 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177560 62560 ) FS ;
- FILLER_19_386 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 183080 62560 ) FS ;
- FILLER_19_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 62560 ) FS ;
- FILLER_19_391 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 185380 62560 ) FS ;
- FILLER_19_403 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 190900 62560 ) FS ;
- FILLER_19_415 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 196420 62560 ) FS ;
- FILLER_19_427 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 201940 62560 ) FS ;
- FILLER_19_439 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 207460 62560 ) FS ;
- FILLER_19_451 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 212980 62560 ) FS ;
- FILLER_19_456 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215280 62560 ) FS ;
- FILLER_19_468 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 220800 62560 ) FS ;
- FILLER_19_480 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 226320 62560 ) FS ;
- FILLER_19_492 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 231840 62560 ) FS ;
- FILLER_19_504 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237360 62560 ) FS ;
- FILLER_19_51 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28980 62560 ) FS ;
- FILLER_19_516 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 242880 62560 ) FS ;
- FILLER_19_521 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 245180 62560 ) FS ;
- FILLER_19_533 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 62560 ) FS ;
- FILLER_19_545 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 62560 ) FS ;
- FILLER_19_557 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 62560 ) FS ;
- FILLER_19_569 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 62560 ) FS ;
- FILLER_19_581 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 272780 62560 ) FS ;
- FILLER_19_586 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 275080 62560 ) FS ;
- FILLER_19_598 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280600 62560 ) FS ;
- FILLER_19_610 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 286120 62560 ) FS ;
- FILLER_19_622 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 291640 62560 ) FS ;
- FILLER_19_63 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 34500 62560 ) FS ;
- FILLER_19_66 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35880 62560 ) FS ;
- FILLER_19_78 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 41400 62560 ) FS ;
- FILLER_19_90 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46920 62560 ) FS ;
- FILLER_1_100 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 51520 13600 ) FS ;
- FILLER_1_107 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 54740 13600 ) FS ;
- FILLER_1_114 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 57960 13600 ) FS ;
- FILLER_1_121 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 61180 13600 ) FS ;
- FILLER_1_128 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 64400 13600 ) FS ;
- FILLER_1_131 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 65780 13600 ) FS ;
- FILLER_1_137 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 68540 13600 ) FS ;
- FILLER_1_144 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 71760 13600 ) FS ;
- FILLER_1_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 13600 ) FS ;
- FILLER_1_151 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 74980 13600 ) FS ;
- FILLER_1_158 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 78200 13600 ) FS ;
- FILLER_1_165 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 81420 13600 ) FS ;
- FILLER_1_172 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 84640 13600 ) FS ;
- FILLER_1_179 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 87860 13600 ) FS ;
- FILLER_1_186 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 91080 13600 ) FS ;
- FILLER_1_193 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 94300 13600 ) FS ;
- FILLER_1_196 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 95680 13600 ) FS ;
- FILLER_1_202 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 98440 13600 ) FS ;
- FILLER_1_209 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 101660 13600 ) FS ;
- FILLER_1_216 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 104880 13600 ) FS ;
- FILLER_1_223 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 108100 13600 ) FS ;
- FILLER_1_230 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 111320 13600 ) FS ;
- FILLER_1_237 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 114540 13600 ) FS ;
- FILLER_1_244 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 117760 13600 ) FS ;
- FILLER_1_251 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 120980 13600 ) FS ;
- FILLER_1_258 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 124200 13600 ) FS ;
- FILLER_1_261 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 125580 13600 ) FS ;
- FILLER_1_265 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 127420 13600 ) FS ;
- FILLER_1_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 13600 ) FS ;
- FILLER_1_287 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 137540 13600 ) FS ;
- FILLER_1_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 13600 ) FS ;
- FILLER_1_312 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 149040 13600 ) FS ;
- FILLER_1_323 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 154100 13600 ) FS ;
- FILLER_1_326 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 155480 13600 ) FS ;
- FILLER_1_330 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 157320 13600 ) FS ;
- FILLER_1_352 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 167440 13600 ) FS ;
- FILLER_1_377 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 178940 13600 ) FS ;
- FILLER_1_384 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182160 13600 ) FS ;
- FILLER_1_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 13600 ) FS ;
- FILLER_1_391 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 185380 13600 ) FS ;
- FILLER_1_396 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 187680 13600 ) FS ;
- FILLER_1_403 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 190900 13600 ) FS ;
- FILLER_1_410 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 194120 13600 ) FS ;
- FILLER_1_417 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 197340 13600 ) FS ;
- FILLER_1_424 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 200560 13600 ) FS ;
- FILLER_1_431 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 203780 13600 ) FS ;
- FILLER_1_438 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 207000 13600 ) FS ;
- FILLER_1_445 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 210220 13600 ) FS ;
- FILLER_1_452 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 213440 13600 ) FS ;
- FILLER_1_456 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 215280 13600 ) FS ;
- FILLER_1_461 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 217580 13600 ) FS ;
- FILLER_1_468 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 220800 13600 ) FS ;
- FILLER_1_475 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 224020 13600 ) FS ;
- FILLER_1_482 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 227240 13600 ) FS ;
- FILLER_1_489 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 230460 13600 ) FS ;
- FILLER_1_496 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 233680 13600 ) FS ;
- FILLER_1_503 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 236900 13600 ) FS ;
- FILLER_1_51 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28980 13600 ) FS ;
- FILLER_1_510 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 240120 13600 ) FS ;
- FILLER_1_517 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 243340 13600 ) FS ;
- FILLER_1_521 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 245180 13600 ) FS ;
- FILLER_1_526 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 247480 13600 ) FS ;
- FILLER_1_533 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 250700 13600 ) FS ;
- FILLER_1_540 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 253920 13600 ) FS ;
- FILLER_1_547 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 257140 13600 ) FS ;
- FILLER_1_554 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 260360 13600 ) FS ;
- FILLER_1_561 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 263580 13600 ) FS ;
- FILLER_1_568 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 266800 13600 ) FS ;
- FILLER_1_580 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 272320 13600 ) FS ;
- FILLER_1_584 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 274160 13600 ) FS ;
- FILLER_1_586 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 275080 13600 ) FS ;
- FILLER_1_598 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280600 13600 ) FS ;
- FILLER_1_610 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 286120 13600 ) FS ;
- FILLER_1_622 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 291640 13600 ) FS ;
- FILLER_1_63 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 34500 13600 ) FS ;
- FILLER_1_66 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 35880 13600 ) FS ;
- FILLER_1_75 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40020 13600 ) FS ;
- FILLER_1_81 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 42780 13600 ) FS ;
- FILLER_1_85 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 44620 13600 ) FS ;
- FILLER_1_89 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 46460 13600 ) FS ;
- FILLER_1_93 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 48300 13600 ) FS ;
- FILLER_20_110 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 56120 65280 ) N ;
- FILLER_20_122 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61640 65280 ) N ;
- FILLER_20_134 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 67160 65280 ) N ;
- FILLER_20_146 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 72680 65280 ) N ;
- FILLER_20_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 65280 ) N ;
- FILLER_20_158 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 78200 65280 ) N ;
- FILLER_20_163 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 80500 65280 ) N ;
- FILLER_20_175 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86020 65280 ) N ;
- FILLER_20_187 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 91540 65280 ) N ;
- FILLER_20_199 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 97060 65280 ) N ;
- FILLER_20_211 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 102580 65280 ) N ;
- FILLER_20_223 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 108100 65280 ) N ;
- FILLER_20_228 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 110400 65280 ) N ;
- FILLER_20_240 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115920 65280 ) N ;
- FILLER_20_252 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121440 65280 ) N ;
- FILLER_20_264 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 126960 65280 ) N ;
- FILLER_20_27 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 17940 65280 ) N ;
- FILLER_20_276 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132480 65280 ) N ;
- FILLER_20_288 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138000 65280 ) N ;
- FILLER_20_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 65280 ) N ;
- FILLER_20_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 65280 ) N ;
- FILLER_20_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 65280 ) N ;
- FILLER_20_31 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 19780 65280 ) N ;
- FILLER_20_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 65280 ) N ;
- FILLER_20_329 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 156860 65280 ) N ;
- FILLER_20_33 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20700 65280 ) N ;
- FILLER_20_341 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 162380 65280 ) N ;
- FILLER_20_353 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 167900 65280 ) N ;
- FILLER_20_358 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 170200 65280 ) N ;
- FILLER_20_370 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 175720 65280 ) N ;
- FILLER_20_382 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 181240 65280 ) N ;
- FILLER_20_394 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186760 65280 ) N ;
- FILLER_20_406 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 192280 65280 ) N ;
- FILLER_20_418 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 197800 65280 ) N ;
- FILLER_20_423 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 200100 65280 ) N ;
- FILLER_20_435 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 205620 65280 ) N ;
- FILLER_20_447 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 211140 65280 ) N ;
- FILLER_20_45 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 26220 65280 ) N ;
- FILLER_20_459 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 216660 65280 ) N ;
- FILLER_20_471 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 222180 65280 ) N ;
- FILLER_20_483 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 227700 65280 ) N ;
- FILLER_20_488 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230000 65280 ) N ;
- FILLER_20_500 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235520 65280 ) N ;
- FILLER_20_512 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241040 65280 ) N ;
- FILLER_20_524 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 246560 65280 ) N ;
- FILLER_20_536 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 252080 65280 ) N ;
- FILLER_20_548 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 257600 65280 ) N ;
- FILLER_20_553 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 259900 65280 ) N ;
- FILLER_20_565 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 265420 65280 ) N ;
- FILLER_20_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 65280 ) N ;
- FILLER_20_577 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 270940 65280 ) N ;
- FILLER_20_589 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 65280 ) N ;
- FILLER_20_601 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 65280 ) N ;
- FILLER_20_613 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 287500 65280 ) N ;
- FILLER_20_618 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 289800 65280 ) N ;
- FILLER_20_624 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 292560 65280 ) N ;
- FILLER_20_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 65280 ) N ;
- FILLER_20_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 65280 ) N ;
- FILLER_20_93 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 48300 65280 ) N ;
- FILLER_20_98 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50600 65280 ) N ;
- FILLER_21_102 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 52440 68000 ) FS ;
- FILLER_21_114 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57960 68000 ) FS ;
- FILLER_21_126 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 63480 68000 ) FS ;
- FILLER_21_131 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 65780 68000 ) FS ;
- FILLER_21_143 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 71300 68000 ) FS ;
- FILLER_21_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 68000 ) FS ;
- FILLER_21_155 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 76820 68000 ) FS ;
- FILLER_21_167 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 82340 68000 ) FS ;
- FILLER_21_179 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 87860 68000 ) FS ;
- FILLER_21_191 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93380 68000 ) FS ;
- FILLER_21_196 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 95680 68000 ) FS ;
- FILLER_21_208 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101200 68000 ) FS ;
- FILLER_21_220 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 106720 68000 ) FS ;
- FILLER_21_232 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112240 68000 ) FS ;
- FILLER_21_244 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 117760 68000 ) FS ;
- FILLER_21_256 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 123280 68000 ) FS ;
- FILLER_21_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 68000 ) FS ;
- FILLER_21_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 68000 ) FS ;
- FILLER_21_273 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 131100 68000 ) FS ;
- FILLER_21_285 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 136620 68000 ) FS ;
- FILLER_21_297 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 142140 68000 ) FS ;
- FILLER_21_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 68000 ) FS ;
- FILLER_21_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 68000 ) FS ;
- FILLER_21_321 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 153180 68000 ) FS ;
- FILLER_21_326 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 155480 68000 ) FS ;
- FILLER_21_338 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 161000 68000 ) FS ;
- FILLER_21_350 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166520 68000 ) FS ;
- FILLER_21_362 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 172040 68000 ) FS ;
- FILLER_21_374 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177560 68000 ) FS ;
- FILLER_21_386 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 183080 68000 ) FS ;
- FILLER_21_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 68000 ) FS ;
- FILLER_21_391 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 185380 68000 ) FS ;
- FILLER_21_403 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 190900 68000 ) FS ;
- FILLER_21_415 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 196420 68000 ) FS ;
- FILLER_21_427 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 201940 68000 ) FS ;
- FILLER_21_439 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 207460 68000 ) FS ;
- FILLER_21_451 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 212980 68000 ) FS ;
- FILLER_21_456 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215280 68000 ) FS ;
- FILLER_21_468 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 220800 68000 ) FS ;
- FILLER_21_480 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 226320 68000 ) FS ;
- FILLER_21_492 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 231840 68000 ) FS ;
- FILLER_21_504 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237360 68000 ) FS ;
- FILLER_21_51 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28980 68000 ) FS ;
- FILLER_21_516 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 242880 68000 ) FS ;
- FILLER_21_521 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 245180 68000 ) FS ;
- FILLER_21_533 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 68000 ) FS ;
- FILLER_21_545 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 68000 ) FS ;
- FILLER_21_557 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 68000 ) FS ;
- FILLER_21_569 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 68000 ) FS ;
- FILLER_21_581 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 272780 68000 ) FS ;
- FILLER_21_586 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 275080 68000 ) FS ;
- FILLER_21_598 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280600 68000 ) FS ;
- FILLER_21_610 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 286120 68000 ) FS ;
- FILLER_21_622 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 291640 68000 ) FS ;
- FILLER_21_63 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 34500 68000 ) FS ;
- FILLER_21_66 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35880 68000 ) FS ;
- FILLER_21_78 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 41400 68000 ) FS ;
- FILLER_21_90 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46920 68000 ) FS ;
- FILLER_22_110 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 56120 70720 ) N ;
- FILLER_22_122 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61640 70720 ) N ;
- FILLER_22_134 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 67160 70720 ) N ;
- FILLER_22_146 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 72680 70720 ) N ;
- FILLER_22_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 70720 ) N ;
- FILLER_22_158 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 78200 70720 ) N ;
- FILLER_22_163 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 80500 70720 ) N ;
- FILLER_22_175 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86020 70720 ) N ;
- FILLER_22_187 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 91540 70720 ) N ;
- FILLER_22_199 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 97060 70720 ) N ;
- FILLER_22_211 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 102580 70720 ) N ;
- FILLER_22_223 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 108100 70720 ) N ;
- FILLER_22_228 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 110400 70720 ) N ;
- FILLER_22_240 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115920 70720 ) N ;
- FILLER_22_252 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121440 70720 ) N ;
- FILLER_22_264 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 126960 70720 ) N ;
- FILLER_22_27 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 17940 70720 ) N ;
- FILLER_22_276 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132480 70720 ) N ;
- FILLER_22_288 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138000 70720 ) N ;
- FILLER_22_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 70720 ) N ;
- FILLER_22_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 70720 ) N ;
- FILLER_22_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 70720 ) N ;
- FILLER_22_31 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 19780 70720 ) N ;
- FILLER_22_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 70720 ) N ;
- FILLER_22_329 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 156860 70720 ) N ;
- FILLER_22_33 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20700 70720 ) N ;
- FILLER_22_341 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 162380 70720 ) N ;
- FILLER_22_353 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 167900 70720 ) N ;
- FILLER_22_358 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 170200 70720 ) N ;
- FILLER_22_370 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 175720 70720 ) N ;
- FILLER_22_382 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 181240 70720 ) N ;
- FILLER_22_394 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186760 70720 ) N ;
- FILLER_22_406 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 192280 70720 ) N ;
- FILLER_22_418 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 197800 70720 ) N ;
- FILLER_22_423 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 200100 70720 ) N ;
- FILLER_22_435 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 205620 70720 ) N ;
- FILLER_22_447 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 211140 70720 ) N ;
- FILLER_22_45 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 26220 70720 ) N ;
- FILLER_22_459 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 216660 70720 ) N ;
- FILLER_22_471 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 222180 70720 ) N ;
- FILLER_22_483 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 227700 70720 ) N ;
- FILLER_22_488 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230000 70720 ) N ;
- FILLER_22_500 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235520 70720 ) N ;
- FILLER_22_512 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241040 70720 ) N ;
- FILLER_22_524 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 246560 70720 ) N ;
- FILLER_22_536 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 252080 70720 ) N ;
- FILLER_22_548 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 257600 70720 ) N ;
- FILLER_22_553 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 259900 70720 ) N ;
- FILLER_22_565 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 265420 70720 ) N ;
- FILLER_22_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 70720 ) N ;
- FILLER_22_577 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 270940 70720 ) N ;
- FILLER_22_589 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 70720 ) N ;
- FILLER_22_601 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 70720 ) N ;
- FILLER_22_613 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 287500 70720 ) N ;
- FILLER_22_618 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 289800 70720 ) N ;
- FILLER_22_624 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 292560 70720 ) N ;
- FILLER_22_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 70720 ) N ;
- FILLER_22_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 70720 ) N ;
- FILLER_22_93 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 48300 70720 ) N ;
- FILLER_22_98 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50600 70720 ) N ;
- FILLER_23_102 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 52440 73440 ) FS ;
- FILLER_23_114 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57960 73440 ) FS ;
- FILLER_23_126 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 63480 73440 ) FS ;
- FILLER_23_131 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 65780 73440 ) FS ;
- FILLER_23_143 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 71300 73440 ) FS ;
- FILLER_23_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 73440 ) FS ;
- FILLER_23_155 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 76820 73440 ) FS ;
- FILLER_23_167 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 82340 73440 ) FS ;
- FILLER_23_179 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 87860 73440 ) FS ;
- FILLER_23_191 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93380 73440 ) FS ;
- FILLER_23_196 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 95680 73440 ) FS ;
- FILLER_23_208 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101200 73440 ) FS ;
- FILLER_23_220 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 106720 73440 ) FS ;
- FILLER_23_232 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112240 73440 ) FS ;
- FILLER_23_244 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 117760 73440 ) FS ;
- FILLER_23_256 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 123280 73440 ) FS ;
- FILLER_23_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 73440 ) FS ;
- FILLER_23_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 73440 ) FS ;
- FILLER_23_273 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 131100 73440 ) FS ;
- FILLER_23_285 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 136620 73440 ) FS ;
- FILLER_23_297 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 142140 73440 ) FS ;
- FILLER_23_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 73440 ) FS ;
- FILLER_23_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 73440 ) FS ;
- FILLER_23_321 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 153180 73440 ) FS ;
- FILLER_23_326 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 155480 73440 ) FS ;
- FILLER_23_338 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 161000 73440 ) FS ;
- FILLER_23_350 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166520 73440 ) FS ;
- FILLER_23_362 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 172040 73440 ) FS ;
- FILLER_23_374 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177560 73440 ) FS ;
- FILLER_23_386 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 183080 73440 ) FS ;
- FILLER_23_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 73440 ) FS ;
- FILLER_23_391 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 185380 73440 ) FS ;
- FILLER_23_403 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 190900 73440 ) FS ;
- FILLER_23_415 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 196420 73440 ) FS ;
- FILLER_23_427 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 201940 73440 ) FS ;
- FILLER_23_439 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 207460 73440 ) FS ;
- FILLER_23_451 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 212980 73440 ) FS ;
- FILLER_23_456 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215280 73440 ) FS ;
- FILLER_23_468 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 220800 73440 ) FS ;
- FILLER_23_480 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 226320 73440 ) FS ;
- FILLER_23_492 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 231840 73440 ) FS ;
- FILLER_23_504 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237360 73440 ) FS ;
- FILLER_23_51 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28980 73440 ) FS ;
- FILLER_23_516 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 242880 73440 ) FS ;
- FILLER_23_521 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 245180 73440 ) FS ;
- FILLER_23_533 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 73440 ) FS ;
- FILLER_23_545 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 73440 ) FS ;
- FILLER_23_557 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 73440 ) FS ;
- FILLER_23_569 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 73440 ) FS ;
- FILLER_23_581 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 272780 73440 ) FS ;
- FILLER_23_586 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 275080 73440 ) FS ;
- FILLER_23_598 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280600 73440 ) FS ;
- FILLER_23_610 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 286120 73440 ) FS ;
- FILLER_23_622 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 291640 73440 ) FS ;
- FILLER_23_63 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 34500 73440 ) FS ;
- FILLER_23_66 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35880 73440 ) FS ;
- FILLER_23_78 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 41400 73440 ) FS ;
- FILLER_23_90 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46920 73440 ) FS ;
- FILLER_24_110 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 56120 76160 ) N ;
- FILLER_24_122 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61640 76160 ) N ;
- FILLER_24_134 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 67160 76160 ) N ;
- FILLER_24_146 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 72680 76160 ) N ;
- FILLER_24_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 76160 ) N ;
- FILLER_24_158 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 78200 76160 ) N ;
- FILLER_24_163 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 80500 76160 ) N ;
- FILLER_24_175 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86020 76160 ) N ;
- FILLER_24_187 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 91540 76160 ) N ;
- FILLER_24_199 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 97060 76160 ) N ;
- FILLER_24_211 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 102580 76160 ) N ;
- FILLER_24_223 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 108100 76160 ) N ;
- FILLER_24_228 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 110400 76160 ) N ;
- FILLER_24_240 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115920 76160 ) N ;
- FILLER_24_252 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121440 76160 ) N ;
- FILLER_24_264 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 126960 76160 ) N ;
- FILLER_24_27 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 17940 76160 ) N ;
- FILLER_24_276 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132480 76160 ) N ;
- FILLER_24_288 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138000 76160 ) N ;
- FILLER_24_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 76160 ) N ;
- FILLER_24_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 76160 ) N ;
- FILLER_24_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 76160 ) N ;
- FILLER_24_31 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 19780 76160 ) N ;
- FILLER_24_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 76160 ) N ;
- FILLER_24_329 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 156860 76160 ) N ;
- FILLER_24_33 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20700 76160 ) N ;
- FILLER_24_341 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 162380 76160 ) N ;
- FILLER_24_353 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 167900 76160 ) N ;
- FILLER_24_358 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 170200 76160 ) N ;
- FILLER_24_370 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 175720 76160 ) N ;
- FILLER_24_382 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 181240 76160 ) N ;
- FILLER_24_394 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186760 76160 ) N ;
- FILLER_24_406 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 192280 76160 ) N ;
- FILLER_24_418 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 197800 76160 ) N ;
- FILLER_24_423 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 200100 76160 ) N ;
- FILLER_24_435 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 205620 76160 ) N ;
- FILLER_24_447 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 211140 76160 ) N ;
- FILLER_24_45 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 26220 76160 ) N ;
- FILLER_24_459 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 216660 76160 ) N ;
- FILLER_24_471 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 222180 76160 ) N ;
- FILLER_24_483 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 227700 76160 ) N ;
- FILLER_24_488 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230000 76160 ) N ;
- FILLER_24_500 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235520 76160 ) N ;
- FILLER_24_512 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241040 76160 ) N ;
- FILLER_24_524 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 246560 76160 ) N ;
- FILLER_24_536 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 252080 76160 ) N ;
- FILLER_24_548 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 257600 76160 ) N ;
- FILLER_24_553 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 259900 76160 ) N ;
- FILLER_24_565 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 265420 76160 ) N ;
- FILLER_24_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 76160 ) N ;
- FILLER_24_577 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 270940 76160 ) N ;
- FILLER_24_589 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 76160 ) N ;
- FILLER_24_601 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 76160 ) N ;
- FILLER_24_613 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 287500 76160 ) N ;
- FILLER_24_618 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 289800 76160 ) N ;
- FILLER_24_624 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 292560 76160 ) N ;
- FILLER_24_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 76160 ) N ;
- FILLER_24_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 76160 ) N ;
- FILLER_24_93 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 48300 76160 ) N ;
- FILLER_24_98 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50600 76160 ) N ;
- FILLER_25_102 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 52440 78880 ) FS ;
- FILLER_25_114 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57960 78880 ) FS ;
- FILLER_25_126 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 63480 78880 ) FS ;
- FILLER_25_131 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 65780 78880 ) FS ;
- FILLER_25_143 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 71300 78880 ) FS ;
- FILLER_25_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 78880 ) FS ;
- FILLER_25_155 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 76820 78880 ) FS ;
- FILLER_25_167 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 82340 78880 ) FS ;
- FILLER_25_179 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 87860 78880 ) FS ;
- FILLER_25_191 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93380 78880 ) FS ;
- FILLER_25_196 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 95680 78880 ) FS ;
- FILLER_25_208 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101200 78880 ) FS ;
- FILLER_25_220 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 106720 78880 ) FS ;
- FILLER_25_232 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112240 78880 ) FS ;
- FILLER_25_244 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 117760 78880 ) FS ;
- FILLER_25_256 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 123280 78880 ) FS ;
- FILLER_25_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 78880 ) FS ;
- FILLER_25_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 78880 ) FS ;
- FILLER_25_273 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 131100 78880 ) FS ;
- FILLER_25_285 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 136620 78880 ) FS ;
- FILLER_25_297 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 142140 78880 ) FS ;
- FILLER_25_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 78880 ) FS ;
- FILLER_25_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 78880 ) FS ;
- FILLER_25_321 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 153180 78880 ) FS ;
- FILLER_25_326 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 155480 78880 ) FS ;
- FILLER_25_338 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 161000 78880 ) FS ;
- FILLER_25_350 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166520 78880 ) FS ;
- FILLER_25_362 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 172040 78880 ) FS ;
- FILLER_25_374 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177560 78880 ) FS ;
- FILLER_25_386 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 183080 78880 ) FS ;
- FILLER_25_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 78880 ) FS ;
- FILLER_25_391 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 185380 78880 ) FS ;
- FILLER_25_403 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 190900 78880 ) FS ;
- FILLER_25_415 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 196420 78880 ) FS ;
- FILLER_25_427 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 201940 78880 ) FS ;
- FILLER_25_439 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 207460 78880 ) FS ;
- FILLER_25_451 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 212980 78880 ) FS ;
- FILLER_25_456 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215280 78880 ) FS ;
- FILLER_25_468 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 220800 78880 ) FS ;
- FILLER_25_480 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 226320 78880 ) FS ;
- FILLER_25_492 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 231840 78880 ) FS ;
- FILLER_25_504 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237360 78880 ) FS ;
- FILLER_25_51 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28980 78880 ) FS ;
- FILLER_25_516 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 242880 78880 ) FS ;
- FILLER_25_521 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 245180 78880 ) FS ;
- FILLER_25_533 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 78880 ) FS ;
- FILLER_25_545 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 78880 ) FS ;
- FILLER_25_557 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 78880 ) FS ;
- FILLER_25_569 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 78880 ) FS ;
- FILLER_25_581 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 272780 78880 ) FS ;
- FILLER_25_586 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 275080 78880 ) FS ;
- FILLER_25_598 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280600 78880 ) FS ;
- FILLER_25_610 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 286120 78880 ) FS ;
- FILLER_25_622 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 291640 78880 ) FS ;
- FILLER_25_63 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 34500 78880 ) FS ;
- FILLER_25_66 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35880 78880 ) FS ;
- FILLER_25_78 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 41400 78880 ) FS ;
- FILLER_25_90 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46920 78880 ) FS ;
- FILLER_26_110 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 56120 81600 ) N ;
- FILLER_26_122 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61640 81600 ) N ;
- FILLER_26_134 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 67160 81600 ) N ;
- FILLER_26_146 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 72680 81600 ) N ;
- FILLER_26_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 81600 ) N ;
- FILLER_26_158 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 78200 81600 ) N ;
- FILLER_26_163 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 80500 81600 ) N ;
- FILLER_26_175 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86020 81600 ) N ;
- FILLER_26_187 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 91540 81600 ) N ;
- FILLER_26_199 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 97060 81600 ) N ;
- FILLER_26_211 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 102580 81600 ) N ;
- FILLER_26_223 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 108100 81600 ) N ;
- FILLER_26_228 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 110400 81600 ) N ;
- FILLER_26_240 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115920 81600 ) N ;
- FILLER_26_252 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121440 81600 ) N ;
- FILLER_26_264 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 126960 81600 ) N ;
- FILLER_26_27 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 17940 81600 ) N ;
- FILLER_26_276 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132480 81600 ) N ;
- FILLER_26_288 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138000 81600 ) N ;
- FILLER_26_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 81600 ) N ;
- FILLER_26_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 81600 ) N ;
- FILLER_26_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 81600 ) N ;
- FILLER_26_31 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 19780 81600 ) N ;
- FILLER_26_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 81600 ) N ;
- FILLER_26_329 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 156860 81600 ) N ;
- FILLER_26_33 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20700 81600 ) N ;
- FILLER_26_341 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 162380 81600 ) N ;
- FILLER_26_353 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 167900 81600 ) N ;
- FILLER_26_358 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 170200 81600 ) N ;
- FILLER_26_370 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 175720 81600 ) N ;
- FILLER_26_382 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 181240 81600 ) N ;
- FILLER_26_394 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186760 81600 ) N ;
- FILLER_26_406 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 192280 81600 ) N ;
- FILLER_26_418 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 197800 81600 ) N ;
- FILLER_26_423 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 200100 81600 ) N ;
- FILLER_26_435 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 205620 81600 ) N ;
- FILLER_26_447 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 211140 81600 ) N ;
- FILLER_26_45 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 26220 81600 ) N ;
- FILLER_26_459 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 216660 81600 ) N ;
- FILLER_26_471 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 222180 81600 ) N ;
- FILLER_26_483 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 227700 81600 ) N ;
- FILLER_26_488 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230000 81600 ) N ;
- FILLER_26_500 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235520 81600 ) N ;
- FILLER_26_512 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241040 81600 ) N ;
- FILLER_26_524 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 246560 81600 ) N ;
- FILLER_26_536 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 252080 81600 ) N ;
- FILLER_26_548 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 257600 81600 ) N ;
- FILLER_26_553 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 259900 81600 ) N ;
- FILLER_26_565 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 265420 81600 ) N ;
- FILLER_26_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 81600 ) N ;
- FILLER_26_577 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 270940 81600 ) N ;
- FILLER_26_589 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 81600 ) N ;
- FILLER_26_601 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 81600 ) N ;
- FILLER_26_613 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 287500 81600 ) N ;
- FILLER_26_618 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 289800 81600 ) N ;
- FILLER_26_624 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 292560 81600 ) N ;
- FILLER_26_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 81600 ) N ;
- FILLER_26_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 81600 ) N ;
- FILLER_26_93 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 48300 81600 ) N ;
- FILLER_26_98 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50600 81600 ) N ;
- FILLER_27_102 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 52440 84320 ) FS ;
- FILLER_27_114 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57960 84320 ) FS ;
- FILLER_27_126 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 63480 84320 ) FS ;
- FILLER_27_131 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 65780 84320 ) FS ;
- FILLER_27_143 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 71300 84320 ) FS ;
- FILLER_27_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 84320 ) FS ;
- FILLER_27_155 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 76820 84320 ) FS ;
- FILLER_27_167 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 82340 84320 ) FS ;
- FILLER_27_179 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 87860 84320 ) FS ;
- FILLER_27_191 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93380 84320 ) FS ;
- FILLER_27_196 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 95680 84320 ) FS ;
- FILLER_27_208 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101200 84320 ) FS ;
- FILLER_27_220 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 106720 84320 ) FS ;
- FILLER_27_232 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112240 84320 ) FS ;
- FILLER_27_244 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 117760 84320 ) FS ;
- FILLER_27_256 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 123280 84320 ) FS ;
- FILLER_27_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 84320 ) FS ;
- FILLER_27_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 84320 ) FS ;
- FILLER_27_273 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 131100 84320 ) FS ;
- FILLER_27_285 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 136620 84320 ) FS ;
- FILLER_27_297 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 142140 84320 ) FS ;
- FILLER_27_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 84320 ) FS ;
- FILLER_27_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 84320 ) FS ;
- FILLER_27_321 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 153180 84320 ) FS ;
- FILLER_27_326 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 155480 84320 ) FS ;
- FILLER_27_338 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 161000 84320 ) FS ;
- FILLER_27_350 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166520 84320 ) FS ;
- FILLER_27_362 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 172040 84320 ) FS ;
- FILLER_27_374 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177560 84320 ) FS ;
- FILLER_27_386 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 183080 84320 ) FS ;
- FILLER_27_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 84320 ) FS ;
- FILLER_27_391 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 185380 84320 ) FS ;
- FILLER_27_403 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 190900 84320 ) FS ;
- FILLER_27_415 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 196420 84320 ) FS ;
- FILLER_27_427 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 201940 84320 ) FS ;
- FILLER_27_439 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 207460 84320 ) FS ;
- FILLER_27_451 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 212980 84320 ) FS ;
- FILLER_27_456 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215280 84320 ) FS ;
- FILLER_27_468 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 220800 84320 ) FS ;
- FILLER_27_480 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 226320 84320 ) FS ;
- FILLER_27_492 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 231840 84320 ) FS ;
- FILLER_27_504 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237360 84320 ) FS ;
- FILLER_27_51 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28980 84320 ) FS ;
- FILLER_27_516 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 242880 84320 ) FS ;
- FILLER_27_521 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 245180 84320 ) FS ;
- FILLER_27_533 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 84320 ) FS ;
- FILLER_27_545 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 84320 ) FS ;
- FILLER_27_557 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 84320 ) FS ;
- FILLER_27_569 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 84320 ) FS ;
- FILLER_27_581 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 272780 84320 ) FS ;
- FILLER_27_586 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 275080 84320 ) FS ;
- FILLER_27_598 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280600 84320 ) FS ;
- FILLER_27_610 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 286120 84320 ) FS ;
- FILLER_27_622 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 291640 84320 ) FS ;
- FILLER_27_63 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 34500 84320 ) FS ;
- FILLER_27_66 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35880 84320 ) FS ;
- FILLER_27_78 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 41400 84320 ) FS ;
- FILLER_27_90 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46920 84320 ) FS ;
- FILLER_28_110 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 56120 87040 ) N ;
- FILLER_28_122 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61640 87040 ) N ;
- FILLER_28_134 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 67160 87040 ) N ;
- FILLER_28_146 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 72680 87040 ) N ;
- FILLER_28_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 87040 ) N ;
- FILLER_28_158 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 78200 87040 ) N ;
- FILLER_28_163 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 80500 87040 ) N ;
- FILLER_28_175 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86020 87040 ) N ;
- FILLER_28_187 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 91540 87040 ) N ;
- FILLER_28_199 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 97060 87040 ) N ;
- FILLER_28_211 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 102580 87040 ) N ;
- FILLER_28_223 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 108100 87040 ) N ;
- FILLER_28_228 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 110400 87040 ) N ;
- FILLER_28_240 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115920 87040 ) N ;
- FILLER_28_252 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121440 87040 ) N ;
- FILLER_28_264 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 126960 87040 ) N ;
- FILLER_28_27 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 17940 87040 ) N ;
- FILLER_28_276 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132480 87040 ) N ;
- FILLER_28_288 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138000 87040 ) N ;
- FILLER_28_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 87040 ) N ;
- FILLER_28_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 87040 ) N ;
- FILLER_28_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 87040 ) N ;
- FILLER_28_31 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 19780 87040 ) N ;
- FILLER_28_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 87040 ) N ;
- FILLER_28_329 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 156860 87040 ) N ;
- FILLER_28_33 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20700 87040 ) N ;
- FILLER_28_341 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 162380 87040 ) N ;
- FILLER_28_353 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 167900 87040 ) N ;
- FILLER_28_358 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 170200 87040 ) N ;
- FILLER_28_370 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 175720 87040 ) N ;
- FILLER_28_382 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 181240 87040 ) N ;
- FILLER_28_394 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186760 87040 ) N ;
- FILLER_28_406 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 192280 87040 ) N ;
- FILLER_28_418 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 197800 87040 ) N ;
- FILLER_28_423 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 200100 87040 ) N ;
- FILLER_28_435 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 205620 87040 ) N ;
- FILLER_28_447 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 211140 87040 ) N ;
- FILLER_28_45 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 26220 87040 ) N ;
- FILLER_28_459 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 216660 87040 ) N ;
- FILLER_28_471 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 222180 87040 ) N ;
- FILLER_28_483 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 227700 87040 ) N ;
- FILLER_28_488 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230000 87040 ) N ;
- FILLER_28_500 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235520 87040 ) N ;
- FILLER_28_512 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241040 87040 ) N ;
- FILLER_28_524 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 246560 87040 ) N ;
- FILLER_28_536 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 252080 87040 ) N ;
- FILLER_28_548 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 257600 87040 ) N ;
- FILLER_28_553 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 259900 87040 ) N ;
- FILLER_28_565 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 265420 87040 ) N ;
- FILLER_28_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 87040 ) N ;
- FILLER_28_577 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 270940 87040 ) N ;
- FILLER_28_589 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 87040 ) N ;
- FILLER_28_601 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 87040 ) N ;
- FILLER_28_613 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 287500 87040 ) N ;
- FILLER_28_618 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 289800 87040 ) N ;
- FILLER_28_624 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 292560 87040 ) N ;
- FILLER_28_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 87040 ) N ;
- FILLER_28_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 87040 ) N ;
- FILLER_28_93 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 48300 87040 ) N ;
- FILLER_28_98 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50600 87040 ) N ;
- FILLER_29_102 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 52440 89760 ) FS ;
- FILLER_29_114 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57960 89760 ) FS ;
- FILLER_29_126 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 63480 89760 ) FS ;
- FILLER_29_131 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 65780 89760 ) FS ;
- FILLER_29_143 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 71300 89760 ) FS ;
- FILLER_29_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 89760 ) FS ;
- FILLER_29_155 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 76820 89760 ) FS ;
- FILLER_29_167 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 82340 89760 ) FS ;
- FILLER_29_179 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 87860 89760 ) FS ;
- FILLER_29_191 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93380 89760 ) FS ;
- FILLER_29_196 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 95680 89760 ) FS ;
- FILLER_29_208 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101200 89760 ) FS ;
- FILLER_29_220 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 106720 89760 ) FS ;
- FILLER_29_232 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112240 89760 ) FS ;
- FILLER_29_244 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 117760 89760 ) FS ;
- FILLER_29_256 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 123280 89760 ) FS ;
- FILLER_29_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 89760 ) FS ;
- FILLER_29_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 89760 ) FS ;
- FILLER_29_273 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 131100 89760 ) FS ;
- FILLER_29_285 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 136620 89760 ) FS ;
- FILLER_29_297 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 142140 89760 ) FS ;
- FILLER_29_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 89760 ) FS ;
- FILLER_29_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 89760 ) FS ;
- FILLER_29_321 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 153180 89760 ) FS ;
- FILLER_29_326 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 155480 89760 ) FS ;
- FILLER_29_338 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 161000 89760 ) FS ;
- FILLER_29_350 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166520 89760 ) FS ;
- FILLER_29_362 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 172040 89760 ) FS ;
- FILLER_29_374 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177560 89760 ) FS ;
- FILLER_29_386 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 183080 89760 ) FS ;
- FILLER_29_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 89760 ) FS ;
- FILLER_29_391 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 185380 89760 ) FS ;
- FILLER_29_403 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 190900 89760 ) FS ;
- FILLER_29_415 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 196420 89760 ) FS ;
- FILLER_29_427 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 201940 89760 ) FS ;
- FILLER_29_439 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 207460 89760 ) FS ;
- FILLER_29_451 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 212980 89760 ) FS ;
- FILLER_29_456 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215280 89760 ) FS ;
- FILLER_29_468 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 220800 89760 ) FS ;
- FILLER_29_480 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 226320 89760 ) FS ;
- FILLER_29_492 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 231840 89760 ) FS ;
- FILLER_29_504 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237360 89760 ) FS ;
- FILLER_29_51 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28980 89760 ) FS ;
- FILLER_29_516 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 242880 89760 ) FS ;
- FILLER_29_521 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 245180 89760 ) FS ;
- FILLER_29_533 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 89760 ) FS ;
- FILLER_29_545 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 89760 ) FS ;
- FILLER_29_557 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 89760 ) FS ;
- FILLER_29_569 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 89760 ) FS ;
- FILLER_29_581 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 272780 89760 ) FS ;
- FILLER_29_586 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 275080 89760 ) FS ;
- FILLER_29_598 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280600 89760 ) FS ;
- FILLER_29_610 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 286120 89760 ) FS ;
- FILLER_29_622 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 291640 89760 ) FS ;
- FILLER_29_63 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 34500 89760 ) FS ;
- FILLER_29_66 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35880 89760 ) FS ;
- FILLER_29_78 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 41400 89760 ) FS ;
- FILLER_29_90 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46920 89760 ) FS ;
- FILLER_2_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 16320 ) N ;
- FILLER_2_121 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 61180 16320 ) N ;
- FILLER_2_127 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 63940 16320 ) N ;
- FILLER_2_135 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 67620 16320 ) N ;
- FILLER_2_139 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 69460 16320 ) N ;
- FILLER_2_147 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 73140 16320 ) N ;
- FILLER_2_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 16320 ) N ;
- FILLER_2_151 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 74980 16320 ) N ;
- FILLER_2_160 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 79120 16320 ) N ;
- FILLER_2_163 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 80500 16320 ) N ;
- FILLER_2_172 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 84640 16320 ) N ;
- FILLER_2_176 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 86480 16320 ) N ;
- FILLER_2_180 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 88320 16320 ) N ;
- FILLER_2_189 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 92460 16320 ) N ;
- FILLER_2_193 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 94300 16320 ) N ;
- FILLER_2_197 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 96140 16320 ) N ;
- FILLER_2_204 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 99360 16320 ) N ;
- FILLER_2_211 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 102580 16320 ) N ;
- FILLER_2_218 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 105800 16320 ) N ;
- FILLER_2_225 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 109020 16320 ) N ;
- FILLER_2_228 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 110400 16320 ) N ;
- FILLER_2_233 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 112700 16320 ) N ;
- FILLER_2_240 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 115920 16320 ) N ;
- FILLER_2_265 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 127420 16320 ) N ;
- FILLER_2_27 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 17940 16320 ) N ;
- FILLER_2_290 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 138920 16320 ) N ;
- FILLER_2_293 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 140300 16320 ) N ;
- FILLER_2_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 16320 ) N ;
- FILLER_2_31 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 19780 16320 ) N ;
- FILLER_2_316 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 150880 16320 ) N ;
- FILLER_2_325 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 155020 16320 ) N ;
- FILLER_2_329 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 156860 16320 ) N ;
- FILLER_2_33 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20700 16320 ) N ;
- FILLER_2_351 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 166980 16320 ) N ;
- FILLER_2_358 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 170200 16320 ) N ;
- FILLER_2_381 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 180780 16320 ) N ;
- FILLER_2_388 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 184000 16320 ) N ;
- FILLER_2_395 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 187220 16320 ) N ;
- FILLER_2_402 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 190440 16320 ) N ;
- FILLER_2_409 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 193660 16320 ) N ;
- FILLER_2_416 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 196880 16320 ) N ;
- FILLER_2_423 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 200100 16320 ) N ;
- FILLER_2_428 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 202400 16320 ) N ;
- FILLER_2_435 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 205620 16320 ) N ;
- FILLER_2_442 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 208840 16320 ) N ;
- FILLER_2_449 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 212060 16320 ) N ;
- FILLER_2_45 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 26220 16320 ) N ;
- FILLER_2_456 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 215280 16320 ) N ;
- FILLER_2_463 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 218500 16320 ) N ;
- FILLER_2_467 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 220340 16320 ) N ;
- FILLER_2_471 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 222180 16320 ) N ;
- FILLER_2_483 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 227700 16320 ) N ;
- FILLER_2_488 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 230000 16320 ) N ;
- FILLER_2_493 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 232300 16320 ) N ;
- FILLER_2_500 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235520 16320 ) N ;
- FILLER_2_512 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 241040 16320 ) N ;
- FILLER_2_516 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 242880 16320 ) N ;
- FILLER_2_524 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 246560 16320 ) N ;
- FILLER_2_528 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 248400 16320 ) N ;
- FILLER_2_537 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 252540 16320 ) N ;
- FILLER_2_549 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 258060 16320 ) N ;
- FILLER_2_553 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 259900 16320 ) N ;
- FILLER_2_558 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 262200 16320 ) N ;
- FILLER_2_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 16320 ) N ;
- FILLER_2_570 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267720 16320 ) N ;
- FILLER_2_582 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 273240 16320 ) N ;
- FILLER_2_594 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 278760 16320 ) N ;
- FILLER_2_606 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 284280 16320 ) N ;
- FILLER_2_614 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 287960 16320 ) N ;
- FILLER_2_618 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 289800 16320 ) N ;
- FILLER_2_624 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 292560 16320 ) N ;
- FILLER_2_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 16320 ) N ;
- FILLER_2_81 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 42780 16320 ) N ;
- FILLER_2_89 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 46460 16320 ) N ;
- FILLER_2_93 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 48300 16320 ) N ;
- FILLER_2_98 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 50600 16320 ) N ;
- FILLER_30_110 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 56120 92480 ) N ;
- FILLER_30_122 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61640 92480 ) N ;
- FILLER_30_134 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 67160 92480 ) N ;
- FILLER_30_146 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 72680 92480 ) N ;
- FILLER_30_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 92480 ) N ;
- FILLER_30_158 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 78200 92480 ) N ;
- FILLER_30_163 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 80500 92480 ) N ;
- FILLER_30_175 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86020 92480 ) N ;
- FILLER_30_187 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 91540 92480 ) N ;
- FILLER_30_199 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 97060 92480 ) N ;
- FILLER_30_211 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 102580 92480 ) N ;
- FILLER_30_223 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 108100 92480 ) N ;
- FILLER_30_228 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 110400 92480 ) N ;
- FILLER_30_240 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115920 92480 ) N ;
- FILLER_30_252 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121440 92480 ) N ;
- FILLER_30_264 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 126960 92480 ) N ;
- FILLER_30_27 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 17940 92480 ) N ;
- FILLER_30_276 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132480 92480 ) N ;
- FILLER_30_288 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138000 92480 ) N ;
- FILLER_30_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 92480 ) N ;
- FILLER_30_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 92480 ) N ;
- FILLER_30_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 92480 ) N ;
- FILLER_30_31 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 19780 92480 ) N ;
- FILLER_30_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 92480 ) N ;
- FILLER_30_329 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 156860 92480 ) N ;
- FILLER_30_33 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20700 92480 ) N ;
- FILLER_30_341 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 162380 92480 ) N ;
- FILLER_30_353 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 167900 92480 ) N ;
- FILLER_30_358 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 170200 92480 ) N ;
- FILLER_30_370 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 175720 92480 ) N ;
- FILLER_30_382 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 181240 92480 ) N ;
- FILLER_30_394 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186760 92480 ) N ;
- FILLER_30_406 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 192280 92480 ) N ;
- FILLER_30_418 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 197800 92480 ) N ;
- FILLER_30_423 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 200100 92480 ) N ;
- FILLER_30_435 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 205620 92480 ) N ;
- FILLER_30_447 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 211140 92480 ) N ;
- FILLER_30_45 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 26220 92480 ) N ;
- FILLER_30_459 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 216660 92480 ) N ;
- FILLER_30_471 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 222180 92480 ) N ;
- FILLER_30_483 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 227700 92480 ) N ;
- FILLER_30_488 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230000 92480 ) N ;
- FILLER_30_500 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235520 92480 ) N ;
- FILLER_30_512 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241040 92480 ) N ;
- FILLER_30_524 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 246560 92480 ) N ;
- FILLER_30_536 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 252080 92480 ) N ;
- FILLER_30_548 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 257600 92480 ) N ;
- FILLER_30_553 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 259900 92480 ) N ;
- FILLER_30_565 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 265420 92480 ) N ;
- FILLER_30_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 92480 ) N ;
- FILLER_30_577 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 270940 92480 ) N ;
- FILLER_30_589 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 92480 ) N ;
- FILLER_30_601 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 92480 ) N ;
- FILLER_30_613 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 287500 92480 ) N ;
- FILLER_30_618 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 289800 92480 ) N ;
- FILLER_30_624 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 292560 92480 ) N ;
- FILLER_30_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 92480 ) N ;
- FILLER_30_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 92480 ) N ;
- FILLER_30_93 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 48300 92480 ) N ;
- FILLER_30_98 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50600 92480 ) N ;
- FILLER_31_102 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 52440 95200 ) FS ;
- FILLER_31_114 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57960 95200 ) FS ;
- FILLER_31_126 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 63480 95200 ) FS ;
- FILLER_31_131 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 65780 95200 ) FS ;
- FILLER_31_143 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 71300 95200 ) FS ;
- FILLER_31_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 95200 ) FS ;
- FILLER_31_155 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 76820 95200 ) FS ;
- FILLER_31_167 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 82340 95200 ) FS ;
- FILLER_31_179 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 87860 95200 ) FS ;
- FILLER_31_191 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93380 95200 ) FS ;
- FILLER_31_196 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 95680 95200 ) FS ;
- FILLER_31_208 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101200 95200 ) FS ;
- FILLER_31_220 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 106720 95200 ) FS ;
- FILLER_31_232 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112240 95200 ) FS ;
- FILLER_31_244 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 117760 95200 ) FS ;
- FILLER_31_256 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 123280 95200 ) FS ;
- FILLER_31_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 95200 ) FS ;
- FILLER_31_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 95200 ) FS ;
- FILLER_31_273 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 131100 95200 ) FS ;
- FILLER_31_285 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 136620 95200 ) FS ;
- FILLER_31_297 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 142140 95200 ) FS ;
- FILLER_31_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 95200 ) FS ;
- FILLER_31_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 95200 ) FS ;
- FILLER_31_321 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 153180 95200 ) FS ;
- FILLER_31_326 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 155480 95200 ) FS ;
- FILLER_31_338 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 161000 95200 ) FS ;
- FILLER_31_350 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166520 95200 ) FS ;
- FILLER_31_362 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 172040 95200 ) FS ;
- FILLER_31_374 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177560 95200 ) FS ;
- FILLER_31_386 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 183080 95200 ) FS ;
- FILLER_31_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 95200 ) FS ;
- FILLER_31_391 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 185380 95200 ) FS ;
- FILLER_31_403 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 190900 95200 ) FS ;
- FILLER_31_415 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 196420 95200 ) FS ;
- FILLER_31_427 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 201940 95200 ) FS ;
- FILLER_31_439 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 207460 95200 ) FS ;
- FILLER_31_451 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 212980 95200 ) FS ;
- FILLER_31_456 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215280 95200 ) FS ;
- FILLER_31_468 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 220800 95200 ) FS ;
- FILLER_31_480 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 226320 95200 ) FS ;
- FILLER_31_492 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 231840 95200 ) FS ;
- FILLER_31_504 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237360 95200 ) FS ;
- FILLER_31_51 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28980 95200 ) FS ;
- FILLER_31_516 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 242880 95200 ) FS ;
- FILLER_31_521 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 245180 95200 ) FS ;
- FILLER_31_533 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 95200 ) FS ;
- FILLER_31_545 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 95200 ) FS ;
- FILLER_31_557 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 95200 ) FS ;
- FILLER_31_569 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 95200 ) FS ;
- FILLER_31_581 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 272780 95200 ) FS ;
- FILLER_31_586 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 275080 95200 ) FS ;
- FILLER_31_598 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280600 95200 ) FS ;
- FILLER_31_610 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 286120 95200 ) FS ;
- FILLER_31_622 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 291640 95200 ) FS ;
- FILLER_31_63 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 34500 95200 ) FS ;
- FILLER_31_66 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35880 95200 ) FS ;
- FILLER_31_78 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 41400 95200 ) FS ;
- FILLER_31_90 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46920 95200 ) FS ;
- FILLER_32_110 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 56120 97920 ) N ;
- FILLER_32_122 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61640 97920 ) N ;
- FILLER_32_134 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 67160 97920 ) N ;
- FILLER_32_146 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 72680 97920 ) N ;
- FILLER_32_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 97920 ) N ;
- FILLER_32_158 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 78200 97920 ) N ;
- FILLER_32_163 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 80500 97920 ) N ;
- FILLER_32_175 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86020 97920 ) N ;
- FILLER_32_187 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 91540 97920 ) N ;
- FILLER_32_199 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 97060 97920 ) N ;
- FILLER_32_211 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 102580 97920 ) N ;
- FILLER_32_223 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 108100 97920 ) N ;
- FILLER_32_228 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 110400 97920 ) N ;
- FILLER_32_240 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115920 97920 ) N ;
- FILLER_32_252 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121440 97920 ) N ;
- FILLER_32_264 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 126960 97920 ) N ;
- FILLER_32_27 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 17940 97920 ) N ;
- FILLER_32_276 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132480 97920 ) N ;
- FILLER_32_288 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138000 97920 ) N ;
- FILLER_32_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 97920 ) N ;
- FILLER_32_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 97920 ) N ;
- FILLER_32_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 97920 ) N ;
- FILLER_32_31 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 19780 97920 ) N ;
- FILLER_32_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 97920 ) N ;
- FILLER_32_329 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 156860 97920 ) N ;
- FILLER_32_33 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20700 97920 ) N ;
- FILLER_32_341 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 162380 97920 ) N ;
- FILLER_32_353 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 167900 97920 ) N ;
- FILLER_32_358 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 170200 97920 ) N ;
- FILLER_32_370 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 175720 97920 ) N ;
- FILLER_32_382 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 181240 97920 ) N ;
- FILLER_32_394 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186760 97920 ) N ;
- FILLER_32_406 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 192280 97920 ) N ;
- FILLER_32_418 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 197800 97920 ) N ;
- FILLER_32_423 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 200100 97920 ) N ;
- FILLER_32_435 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 205620 97920 ) N ;
- FILLER_32_447 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 211140 97920 ) N ;
- FILLER_32_45 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 26220 97920 ) N ;
- FILLER_32_459 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 216660 97920 ) N ;
- FILLER_32_471 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 222180 97920 ) N ;
- FILLER_32_483 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 227700 97920 ) N ;
- FILLER_32_488 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230000 97920 ) N ;
- FILLER_32_500 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235520 97920 ) N ;
- FILLER_32_512 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241040 97920 ) N ;
- FILLER_32_524 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 246560 97920 ) N ;
- FILLER_32_536 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 252080 97920 ) N ;
- FILLER_32_548 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 257600 97920 ) N ;
- FILLER_32_553 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 259900 97920 ) N ;
- FILLER_32_565 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 265420 97920 ) N ;
- FILLER_32_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 97920 ) N ;
- FILLER_32_577 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 270940 97920 ) N ;
- FILLER_32_589 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 97920 ) N ;
- FILLER_32_601 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 97920 ) N ;
- FILLER_32_613 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 287500 97920 ) N ;
- FILLER_32_618 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 289800 97920 ) N ;
- FILLER_32_624 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 292560 97920 ) N ;
- FILLER_32_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 97920 ) N ;
- FILLER_32_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 97920 ) N ;
- FILLER_32_93 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 48300 97920 ) N ;
- FILLER_32_98 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50600 97920 ) N ;
- FILLER_33_102 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 52440 100640 ) FS ;
- FILLER_33_114 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57960 100640 ) FS ;
- FILLER_33_126 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 63480 100640 ) FS ;
- FILLER_33_131 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 65780 100640 ) FS ;
- FILLER_33_143 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 71300 100640 ) FS ;
- FILLER_33_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 100640 ) FS ;
- FILLER_33_155 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 76820 100640 ) FS ;
- FILLER_33_167 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 82340 100640 ) FS ;
- FILLER_33_179 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 87860 100640 ) FS ;
- FILLER_33_191 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93380 100640 ) FS ;
- FILLER_33_196 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 95680 100640 ) FS ;
- FILLER_33_208 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101200 100640 ) FS ;
- FILLER_33_220 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 106720 100640 ) FS ;
- FILLER_33_232 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112240 100640 ) FS ;
- FILLER_33_244 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 117760 100640 ) FS ;
- FILLER_33_256 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 123280 100640 ) FS ;
- FILLER_33_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 100640 ) FS ;
- FILLER_33_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 100640 ) FS ;
- FILLER_33_273 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 131100 100640 ) FS ;
- FILLER_33_285 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 136620 100640 ) FS ;
- FILLER_33_297 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 142140 100640 ) FS ;
- FILLER_33_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 100640 ) FS ;
- FILLER_33_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 100640 ) FS ;
- FILLER_33_321 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 153180 100640 ) FS ;
- FILLER_33_326 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 155480 100640 ) FS ;
- FILLER_33_338 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 161000 100640 ) FS ;
- FILLER_33_350 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166520 100640 ) FS ;
- FILLER_33_362 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 172040 100640 ) FS ;
- FILLER_33_374 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177560 100640 ) FS ;
- FILLER_33_386 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 183080 100640 ) FS ;
- FILLER_33_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 100640 ) FS ;
- FILLER_33_391 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 185380 100640 ) FS ;
- FILLER_33_403 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 190900 100640 ) FS ;
- FILLER_33_415 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 196420 100640 ) FS ;
- FILLER_33_427 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 201940 100640 ) FS ;
- FILLER_33_439 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 207460 100640 ) FS ;
- FILLER_33_451 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 212980 100640 ) FS ;
- FILLER_33_456 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215280 100640 ) FS ;
- FILLER_33_468 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 220800 100640 ) FS ;
- FILLER_33_480 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 226320 100640 ) FS ;
- FILLER_33_492 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 231840 100640 ) FS ;
- FILLER_33_504 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237360 100640 ) FS ;
- FILLER_33_51 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28980 100640 ) FS ;
- FILLER_33_516 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 242880 100640 ) FS ;
- FILLER_33_521 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 245180 100640 ) FS ;
- FILLER_33_533 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 100640 ) FS ;
- FILLER_33_545 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 100640 ) FS ;
- FILLER_33_557 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 100640 ) FS ;
- FILLER_33_569 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 100640 ) FS ;
- FILLER_33_581 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 272780 100640 ) FS ;
- FILLER_33_586 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 275080 100640 ) FS ;
- FILLER_33_598 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280600 100640 ) FS ;
- FILLER_33_610 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 286120 100640 ) FS ;
- FILLER_33_622 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 291640 100640 ) FS ;
- FILLER_33_63 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 34500 100640 ) FS ;
- FILLER_33_66 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35880 100640 ) FS ;
- FILLER_33_78 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 41400 100640 ) FS ;
- FILLER_33_90 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46920 100640 ) FS ;
- FILLER_34_110 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 56120 103360 ) N ;
- FILLER_34_122 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61640 103360 ) N ;
- FILLER_34_134 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 67160 103360 ) N ;
- FILLER_34_146 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 72680 103360 ) N ;
- FILLER_34_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 103360 ) N ;
- FILLER_34_158 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 78200 103360 ) N ;
- FILLER_34_163 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 80500 103360 ) N ;
- FILLER_34_175 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86020 103360 ) N ;
- FILLER_34_187 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 91540 103360 ) N ;
- FILLER_34_199 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 97060 103360 ) N ;
- FILLER_34_211 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 102580 103360 ) N ;
- FILLER_34_223 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 108100 103360 ) N ;
- FILLER_34_228 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 110400 103360 ) N ;
- FILLER_34_240 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115920 103360 ) N ;
- FILLER_34_252 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121440 103360 ) N ;
- FILLER_34_264 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 126960 103360 ) N ;
- FILLER_34_27 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 17940 103360 ) N ;
- FILLER_34_276 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132480 103360 ) N ;
- FILLER_34_288 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138000 103360 ) N ;
- FILLER_34_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 103360 ) N ;
- FILLER_34_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 103360 ) N ;
- FILLER_34_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 103360 ) N ;
- FILLER_34_31 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 19780 103360 ) N ;
- FILLER_34_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 103360 ) N ;
- FILLER_34_329 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 156860 103360 ) N ;
- FILLER_34_33 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20700 103360 ) N ;
- FILLER_34_341 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 162380 103360 ) N ;
- FILLER_34_353 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 167900 103360 ) N ;
- FILLER_34_358 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 170200 103360 ) N ;
- FILLER_34_370 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 175720 103360 ) N ;
- FILLER_34_382 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 181240 103360 ) N ;
- FILLER_34_394 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186760 103360 ) N ;
- FILLER_34_406 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 192280 103360 ) N ;
- FILLER_34_418 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 197800 103360 ) N ;
- FILLER_34_423 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 200100 103360 ) N ;
- FILLER_34_435 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 205620 103360 ) N ;
- FILLER_34_447 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 211140 103360 ) N ;
- FILLER_34_45 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 26220 103360 ) N ;
- FILLER_34_459 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 216660 103360 ) N ;
- FILLER_34_471 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 222180 103360 ) N ;
- FILLER_34_483 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 227700 103360 ) N ;
- FILLER_34_488 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230000 103360 ) N ;
- FILLER_34_500 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235520 103360 ) N ;
- FILLER_34_512 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241040 103360 ) N ;
- FILLER_34_524 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 246560 103360 ) N ;
- FILLER_34_536 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 252080 103360 ) N ;
- FILLER_34_548 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 257600 103360 ) N ;
- FILLER_34_553 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 259900 103360 ) N ;
- FILLER_34_565 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 265420 103360 ) N ;
- FILLER_34_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 103360 ) N ;
- FILLER_34_577 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 270940 103360 ) N ;
- FILLER_34_589 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 103360 ) N ;
- FILLER_34_601 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 103360 ) N ;
- FILLER_34_613 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 287500 103360 ) N ;
- FILLER_34_618 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 289800 103360 ) N ;
- FILLER_34_624 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 292560 103360 ) N ;
- FILLER_34_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 103360 ) N ;
- FILLER_34_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 103360 ) N ;
- FILLER_34_93 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 48300 103360 ) N ;
- FILLER_34_98 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50600 103360 ) N ;
- FILLER_35_102 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 52440 106080 ) FS ;
- FILLER_35_114 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57960 106080 ) FS ;
- FILLER_35_126 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 63480 106080 ) FS ;
- FILLER_35_131 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 65780 106080 ) FS ;
- FILLER_35_143 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 71300 106080 ) FS ;
- FILLER_35_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 106080 ) FS ;
- FILLER_35_155 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 76820 106080 ) FS ;
- FILLER_35_167 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 82340 106080 ) FS ;
- FILLER_35_179 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 87860 106080 ) FS ;
- FILLER_35_191 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93380 106080 ) FS ;
- FILLER_35_196 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 95680 106080 ) FS ;
- FILLER_35_208 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101200 106080 ) FS ;
- FILLER_35_220 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 106720 106080 ) FS ;
- FILLER_35_232 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112240 106080 ) FS ;
- FILLER_35_244 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 117760 106080 ) FS ;
- FILLER_35_256 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 123280 106080 ) FS ;
- FILLER_35_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 106080 ) FS ;
- FILLER_35_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 106080 ) FS ;
- FILLER_35_273 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 131100 106080 ) FS ;
- FILLER_35_285 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 136620 106080 ) FS ;
- FILLER_35_297 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 142140 106080 ) FS ;
- FILLER_35_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 106080 ) FS ;
- FILLER_35_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 106080 ) FS ;
- FILLER_35_321 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 153180 106080 ) FS ;
- FILLER_35_326 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 155480 106080 ) FS ;
- FILLER_35_338 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 161000 106080 ) FS ;
- FILLER_35_350 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166520 106080 ) FS ;
- FILLER_35_362 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 172040 106080 ) FS ;
- FILLER_35_374 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177560 106080 ) FS ;
- FILLER_35_386 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 183080 106080 ) FS ;
- FILLER_35_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 106080 ) FS ;
- FILLER_35_391 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 185380 106080 ) FS ;
- FILLER_35_403 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 190900 106080 ) FS ;
- FILLER_35_415 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 196420 106080 ) FS ;
- FILLER_35_427 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 201940 106080 ) FS ;
- FILLER_35_439 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 207460 106080 ) FS ;
- FILLER_35_451 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 212980 106080 ) FS ;
- FILLER_35_456 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215280 106080 ) FS ;
- FILLER_35_468 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 220800 106080 ) FS ;
- FILLER_35_480 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 226320 106080 ) FS ;
- FILLER_35_492 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 231840 106080 ) FS ;
- FILLER_35_504 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237360 106080 ) FS ;
- FILLER_35_51 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28980 106080 ) FS ;
- FILLER_35_516 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 242880 106080 ) FS ;
- FILLER_35_521 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 245180 106080 ) FS ;
- FILLER_35_533 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 106080 ) FS ;
- FILLER_35_545 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 106080 ) FS ;
- FILLER_35_557 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 106080 ) FS ;
- FILLER_35_569 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 106080 ) FS ;
- FILLER_35_581 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 272780 106080 ) FS ;
- FILLER_35_586 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 275080 106080 ) FS ;
- FILLER_35_598 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280600 106080 ) FS ;
- FILLER_35_610 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 286120 106080 ) FS ;
- FILLER_35_622 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 291640 106080 ) FS ;
- FILLER_35_63 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 34500 106080 ) FS ;
- FILLER_35_66 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35880 106080 ) FS ;
- FILLER_35_78 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 41400 106080 ) FS ;
- FILLER_35_90 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46920 106080 ) FS ;
- FILLER_36_110 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 56120 108800 ) N ;
- FILLER_36_122 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61640 108800 ) N ;
- FILLER_36_134 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 67160 108800 ) N ;
- FILLER_36_146 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 72680 108800 ) N ;
- FILLER_36_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 108800 ) N ;
- FILLER_36_158 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 78200 108800 ) N ;
- FILLER_36_163 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 80500 108800 ) N ;
- FILLER_36_175 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86020 108800 ) N ;
- FILLER_36_187 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 91540 108800 ) N ;
- FILLER_36_199 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 97060 108800 ) N ;
- FILLER_36_211 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 102580 108800 ) N ;
- FILLER_36_223 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 108100 108800 ) N ;
- FILLER_36_228 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 110400 108800 ) N ;
- FILLER_36_240 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115920 108800 ) N ;
- FILLER_36_252 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121440 108800 ) N ;
- FILLER_36_264 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 126960 108800 ) N ;
- FILLER_36_27 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 17940 108800 ) N ;
- FILLER_36_276 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132480 108800 ) N ;
- FILLER_36_288 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138000 108800 ) N ;
- FILLER_36_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 108800 ) N ;
- FILLER_36_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 108800 ) N ;
- FILLER_36_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 108800 ) N ;
- FILLER_36_31 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 19780 108800 ) N ;
- FILLER_36_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 108800 ) N ;
- FILLER_36_329 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 156860 108800 ) N ;
- FILLER_36_33 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20700 108800 ) N ;
- FILLER_36_341 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 162380 108800 ) N ;
- FILLER_36_353 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 167900 108800 ) N ;
- FILLER_36_358 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 170200 108800 ) N ;
- FILLER_36_370 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 175720 108800 ) N ;
- FILLER_36_382 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 181240 108800 ) N ;
- FILLER_36_394 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186760 108800 ) N ;
- FILLER_36_406 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 192280 108800 ) N ;
- FILLER_36_418 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 197800 108800 ) N ;
- FILLER_36_423 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 200100 108800 ) N ;
- FILLER_36_435 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 205620 108800 ) N ;
- FILLER_36_447 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 211140 108800 ) N ;
- FILLER_36_45 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 26220 108800 ) N ;
- FILLER_36_459 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 216660 108800 ) N ;
- FILLER_36_471 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 222180 108800 ) N ;
- FILLER_36_483 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 227700 108800 ) N ;
- FILLER_36_488 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230000 108800 ) N ;
- FILLER_36_500 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235520 108800 ) N ;
- FILLER_36_512 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241040 108800 ) N ;
- FILLER_36_524 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 246560 108800 ) N ;
- FILLER_36_536 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 252080 108800 ) N ;
- FILLER_36_548 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 257600 108800 ) N ;
- FILLER_36_553 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 259900 108800 ) N ;
- FILLER_36_565 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 265420 108800 ) N ;
- FILLER_36_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 108800 ) N ;
- FILLER_36_577 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 270940 108800 ) N ;
- FILLER_36_589 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 108800 ) N ;
- FILLER_36_601 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 108800 ) N ;
- FILLER_36_613 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 287500 108800 ) N ;
- FILLER_36_618 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 289800 108800 ) N ;
- FILLER_36_624 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 292560 108800 ) N ;
- FILLER_36_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 108800 ) N ;
- FILLER_36_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 108800 ) N ;
- FILLER_36_93 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 48300 108800 ) N ;
- FILLER_36_98 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50600 108800 ) N ;
- FILLER_37_102 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 52440 111520 ) FS ;
- FILLER_37_114 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57960 111520 ) FS ;
- FILLER_37_126 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 63480 111520 ) FS ;
- FILLER_37_131 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 65780 111520 ) FS ;
- FILLER_37_143 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 71300 111520 ) FS ;
- FILLER_37_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 111520 ) FS ;
- FILLER_37_155 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 76820 111520 ) FS ;
- FILLER_37_167 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 82340 111520 ) FS ;
- FILLER_37_179 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 87860 111520 ) FS ;
- FILLER_37_191 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93380 111520 ) FS ;
- FILLER_37_196 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 95680 111520 ) FS ;
- FILLER_37_208 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101200 111520 ) FS ;
- FILLER_37_220 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 106720 111520 ) FS ;
- FILLER_37_232 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112240 111520 ) FS ;
- FILLER_37_244 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 117760 111520 ) FS ;
- FILLER_37_256 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 123280 111520 ) FS ;
- FILLER_37_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 111520 ) FS ;
- FILLER_37_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 111520 ) FS ;
- FILLER_37_273 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 131100 111520 ) FS ;
- FILLER_37_285 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 136620 111520 ) FS ;
- FILLER_37_297 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 142140 111520 ) FS ;
- FILLER_37_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 111520 ) FS ;
- FILLER_37_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 111520 ) FS ;
- FILLER_37_321 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 153180 111520 ) FS ;
- FILLER_37_326 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 155480 111520 ) FS ;
- FILLER_37_338 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 161000 111520 ) FS ;
- FILLER_37_350 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166520 111520 ) FS ;
- FILLER_37_362 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 172040 111520 ) FS ;
- FILLER_37_374 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177560 111520 ) FS ;
- FILLER_37_386 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 183080 111520 ) FS ;
- FILLER_37_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 111520 ) FS ;
- FILLER_37_391 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 185380 111520 ) FS ;
- FILLER_37_403 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 190900 111520 ) FS ;
- FILLER_37_415 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 196420 111520 ) FS ;
- FILLER_37_427 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 201940 111520 ) FS ;
- FILLER_37_439 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 207460 111520 ) FS ;
- FILLER_37_451 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 212980 111520 ) FS ;
- FILLER_37_456 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215280 111520 ) FS ;
- FILLER_37_468 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 220800 111520 ) FS ;
- FILLER_37_480 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 226320 111520 ) FS ;
- FILLER_37_492 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 231840 111520 ) FS ;
- FILLER_37_504 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237360 111520 ) FS ;
- FILLER_37_51 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28980 111520 ) FS ;
- FILLER_37_516 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 242880 111520 ) FS ;
- FILLER_37_521 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 245180 111520 ) FS ;
- FILLER_37_533 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 111520 ) FS ;
- FILLER_37_545 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 111520 ) FS ;
- FILLER_37_557 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 111520 ) FS ;
- FILLER_37_569 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 111520 ) FS ;
- FILLER_37_581 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 272780 111520 ) FS ;
- FILLER_37_586 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 275080 111520 ) FS ;
- FILLER_37_598 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280600 111520 ) FS ;
- FILLER_37_610 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 286120 111520 ) FS ;
- FILLER_37_622 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 291640 111520 ) FS ;
- FILLER_37_63 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 34500 111520 ) FS ;
- FILLER_37_66 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35880 111520 ) FS ;
- FILLER_37_78 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 41400 111520 ) FS ;
- FILLER_37_90 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46920 111520 ) FS ;
- FILLER_38_110 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 56120 114240 ) N ;
- FILLER_38_122 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61640 114240 ) N ;
- FILLER_38_134 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 67160 114240 ) N ;
- FILLER_38_146 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 72680 114240 ) N ;
- FILLER_38_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 114240 ) N ;
- FILLER_38_158 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 78200 114240 ) N ;
- FILLER_38_163 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 80500 114240 ) N ;
- FILLER_38_175 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86020 114240 ) N ;
- FILLER_38_187 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 91540 114240 ) N ;
- FILLER_38_199 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 97060 114240 ) N ;
- FILLER_38_211 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 102580 114240 ) N ;
- FILLER_38_223 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 108100 114240 ) N ;
- FILLER_38_228 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 110400 114240 ) N ;
- FILLER_38_240 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115920 114240 ) N ;
- FILLER_38_252 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121440 114240 ) N ;
- FILLER_38_264 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 126960 114240 ) N ;
- FILLER_38_27 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 17940 114240 ) N ;
- FILLER_38_276 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132480 114240 ) N ;
- FILLER_38_288 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138000 114240 ) N ;
- FILLER_38_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 114240 ) N ;
- FILLER_38_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 114240 ) N ;
- FILLER_38_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 114240 ) N ;
- FILLER_38_31 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 19780 114240 ) N ;
- FILLER_38_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 114240 ) N ;
- FILLER_38_329 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 156860 114240 ) N ;
- FILLER_38_33 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20700 114240 ) N ;
- FILLER_38_341 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 162380 114240 ) N ;
- FILLER_38_353 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 167900 114240 ) N ;
- FILLER_38_358 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 170200 114240 ) N ;
- FILLER_38_370 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 175720 114240 ) N ;
- FILLER_38_382 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 181240 114240 ) N ;
- FILLER_38_394 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186760 114240 ) N ;
- FILLER_38_406 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 192280 114240 ) N ;
- FILLER_38_418 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 197800 114240 ) N ;
- FILLER_38_423 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 200100 114240 ) N ;
- FILLER_38_435 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 205620 114240 ) N ;
- FILLER_38_447 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 211140 114240 ) N ;
- FILLER_38_45 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 26220 114240 ) N ;
- FILLER_38_459 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 216660 114240 ) N ;
- FILLER_38_471 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 222180 114240 ) N ;
- FILLER_38_483 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 227700 114240 ) N ;
- FILLER_38_488 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230000 114240 ) N ;
- FILLER_38_500 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235520 114240 ) N ;
- FILLER_38_512 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241040 114240 ) N ;
- FILLER_38_524 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 246560 114240 ) N ;
- FILLER_38_536 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 252080 114240 ) N ;
- FILLER_38_548 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 257600 114240 ) N ;
- FILLER_38_553 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 259900 114240 ) N ;
- FILLER_38_565 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 265420 114240 ) N ;
- FILLER_38_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 114240 ) N ;
- FILLER_38_577 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 270940 114240 ) N ;
- FILLER_38_589 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 114240 ) N ;
- FILLER_38_601 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 114240 ) N ;
- FILLER_38_613 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 287500 114240 ) N ;
- FILLER_38_618 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 289800 114240 ) N ;
- FILLER_38_624 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 292560 114240 ) N ;
- FILLER_38_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 114240 ) N ;
- FILLER_38_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 114240 ) N ;
- FILLER_38_93 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 48300 114240 ) N ;
- FILLER_38_98 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50600 114240 ) N ;
- FILLER_39_102 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 52440 116960 ) FS ;
- FILLER_39_114 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57960 116960 ) FS ;
- FILLER_39_126 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 63480 116960 ) FS ;
- FILLER_39_131 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 65780 116960 ) FS ;
- FILLER_39_143 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 71300 116960 ) FS ;
- FILLER_39_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 116960 ) FS ;
- FILLER_39_155 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 76820 116960 ) FS ;
- FILLER_39_167 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 82340 116960 ) FS ;
- FILLER_39_179 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 87860 116960 ) FS ;
- FILLER_39_191 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93380 116960 ) FS ;
- FILLER_39_196 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 95680 116960 ) FS ;
- FILLER_39_208 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101200 116960 ) FS ;
- FILLER_39_220 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 106720 116960 ) FS ;
- FILLER_39_232 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112240 116960 ) FS ;
- FILLER_39_244 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 117760 116960 ) FS ;
- FILLER_39_256 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 123280 116960 ) FS ;
- FILLER_39_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 116960 ) FS ;
- FILLER_39_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 116960 ) FS ;
- FILLER_39_273 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 131100 116960 ) FS ;
- FILLER_39_285 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 136620 116960 ) FS ;
- FILLER_39_297 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 142140 116960 ) FS ;
- FILLER_39_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 116960 ) FS ;
- FILLER_39_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 116960 ) FS ;
- FILLER_39_321 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 153180 116960 ) FS ;
- FILLER_39_326 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 155480 116960 ) FS ;
- FILLER_39_338 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 161000 116960 ) FS ;
- FILLER_39_350 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166520 116960 ) FS ;
- FILLER_39_362 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 172040 116960 ) FS ;
- FILLER_39_374 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177560 116960 ) FS ;
- FILLER_39_386 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 183080 116960 ) FS ;
- FILLER_39_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 116960 ) FS ;
- FILLER_39_391 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 185380 116960 ) FS ;
- FILLER_39_403 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 190900 116960 ) FS ;
- FILLER_39_415 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 196420 116960 ) FS ;
- FILLER_39_427 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 201940 116960 ) FS ;
- FILLER_39_439 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 207460 116960 ) FS ;
- FILLER_39_451 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 212980 116960 ) FS ;
- FILLER_39_456 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215280 116960 ) FS ;
- FILLER_39_468 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 220800 116960 ) FS ;
- FILLER_39_480 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 226320 116960 ) FS ;
- FILLER_39_492 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 231840 116960 ) FS ;
- FILLER_39_504 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237360 116960 ) FS ;
- FILLER_39_51 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28980 116960 ) FS ;
- FILLER_39_516 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 242880 116960 ) FS ;
- FILLER_39_521 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 245180 116960 ) FS ;
- FILLER_39_533 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 116960 ) FS ;
- FILLER_39_545 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 116960 ) FS ;
- FILLER_39_557 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 116960 ) FS ;
- FILLER_39_569 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 116960 ) FS ;
- FILLER_39_581 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 272780 116960 ) FS ;
- FILLER_39_586 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 275080 116960 ) FS ;
- FILLER_39_598 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280600 116960 ) FS ;
- FILLER_39_610 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 286120 116960 ) FS ;
- FILLER_39_622 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 291640 116960 ) FS ;
- FILLER_39_63 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 34500 116960 ) FS ;
- FILLER_39_66 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35880 116960 ) FS ;
- FILLER_39_78 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 41400 116960 ) FS ;
- FILLER_39_90 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46920 116960 ) FS ;
- FILLER_3_102 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 52440 19040 ) FS ;
- FILLER_3_114 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57960 19040 ) FS ;
- FILLER_3_126 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 63480 19040 ) FS ;
- FILLER_3_131 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 65780 19040 ) FS ;
- FILLER_3_143 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 71300 19040 ) FS ;
- FILLER_3_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 19040 ) FS ;
- FILLER_3_155 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 76820 19040 ) FS ;
- FILLER_3_167 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 82340 19040 ) FS ;
- FILLER_3_179 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 87860 19040 ) FS ;
- FILLER_3_191 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93380 19040 ) FS ;
- FILLER_3_196 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 95680 19040 ) FS ;
- FILLER_3_207 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 100740 19040 ) FS ;
- FILLER_3_216 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 104880 19040 ) FS ;
- FILLER_3_223 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 108100 19040 ) FS ;
- FILLER_3_230 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 111320 19040 ) FS ;
- FILLER_3_237 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 114540 19040 ) FS ;
- FILLER_3_244 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 117760 19040 ) FS ;
- FILLER_3_251 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 120980 19040 ) FS ;
- FILLER_3_258 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 124200 19040 ) FS ;
- FILLER_3_261 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 125580 19040 ) FS ;
- FILLER_3_266 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 127880 19040 ) FS ;
- FILLER_3_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 19040 ) FS ;
- FILLER_3_273 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 131100 19040 ) FS ;
- FILLER_3_298 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 142600 19040 ) FS ;
- FILLER_3_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 19040 ) FS ;
- FILLER_3_323 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 154100 19040 ) FS ;
- FILLER_3_326 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 155480 19040 ) FS ;
- FILLER_3_331 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 157780 19040 ) FS ;
- FILLER_3_338 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 161000 19040 ) FS ;
- FILLER_3_342 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 162840 19040 ) FS ;
- FILLER_3_364 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 172960 19040 ) FS ;
- FILLER_3_371 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 176180 19040 ) FS ;
- FILLER_3_378 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 179400 19040 ) FS ;
- FILLER_3_385 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 182620 19040 ) FS ;
- FILLER_3_389 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 184460 19040 ) FS ;
- FILLER_3_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 19040 ) FS ;
- FILLER_3_391 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 185380 19040 ) FS ;
- FILLER_3_396 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 187680 19040 ) FS ;
- FILLER_3_403 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 190900 19040 ) FS ;
- FILLER_3_407 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 192740 19040 ) FS ;
- FILLER_3_411 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 194580 19040 ) FS ;
- FILLER_3_423 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 200100 19040 ) FS ;
- FILLER_3_435 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 205620 19040 ) FS ;
- FILLER_3_447 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 211140 19040 ) FS ;
- FILLER_3_456 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215280 19040 ) FS ;
- FILLER_3_468 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 220800 19040 ) FS ;
- FILLER_3_480 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 226320 19040 ) FS ;
- FILLER_3_492 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 231840 19040 ) FS ;
- FILLER_3_504 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237360 19040 ) FS ;
- FILLER_3_51 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28980 19040 ) FS ;
- FILLER_3_516 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 242880 19040 ) FS ;
- FILLER_3_521 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 245180 19040 ) FS ;
- FILLER_3_533 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 19040 ) FS ;
- FILLER_3_545 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 19040 ) FS ;
- FILLER_3_557 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 19040 ) FS ;
- FILLER_3_569 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 19040 ) FS ;
- FILLER_3_581 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 272780 19040 ) FS ;
- FILLER_3_586 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 275080 19040 ) FS ;
- FILLER_3_598 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280600 19040 ) FS ;
- FILLER_3_610 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 286120 19040 ) FS ;
- FILLER_3_622 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 291640 19040 ) FS ;
- FILLER_3_63 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 34500 19040 ) FS ;
- FILLER_3_66 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35880 19040 ) FS ;
- FILLER_3_78 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 41400 19040 ) FS ;
- FILLER_3_90 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46920 19040 ) FS ;
- FILLER_40_110 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 56120 119680 ) N ;
- FILLER_40_122 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61640 119680 ) N ;
- FILLER_40_134 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 67160 119680 ) N ;
- FILLER_40_146 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 72680 119680 ) N ;
- FILLER_40_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 119680 ) N ;
- FILLER_40_158 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 78200 119680 ) N ;
- FILLER_40_163 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 80500 119680 ) N ;
- FILLER_40_175 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86020 119680 ) N ;
- FILLER_40_187 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 91540 119680 ) N ;
- FILLER_40_199 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 97060 119680 ) N ;
- FILLER_40_211 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 102580 119680 ) N ;
- FILLER_40_223 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 108100 119680 ) N ;
- FILLER_40_228 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 110400 119680 ) N ;
- FILLER_40_240 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115920 119680 ) N ;
- FILLER_40_252 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121440 119680 ) N ;
- FILLER_40_264 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 126960 119680 ) N ;
- FILLER_40_27 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 17940 119680 ) N ;
- FILLER_40_276 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132480 119680 ) N ;
- FILLER_40_288 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138000 119680 ) N ;
- FILLER_40_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 119680 ) N ;
- FILLER_40_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 119680 ) N ;
- FILLER_40_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 119680 ) N ;
- FILLER_40_31 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 19780 119680 ) N ;
- FILLER_40_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 119680 ) N ;
- FILLER_40_329 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 156860 119680 ) N ;
- FILLER_40_33 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20700 119680 ) N ;
- FILLER_40_341 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 162380 119680 ) N ;
- FILLER_40_353 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 167900 119680 ) N ;
- FILLER_40_358 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 170200 119680 ) N ;
- FILLER_40_370 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 175720 119680 ) N ;
- FILLER_40_382 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 181240 119680 ) N ;
- FILLER_40_394 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186760 119680 ) N ;
- FILLER_40_406 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 192280 119680 ) N ;
- FILLER_40_418 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 197800 119680 ) N ;
- FILLER_40_423 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 200100 119680 ) N ;
- FILLER_40_435 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 205620 119680 ) N ;
- FILLER_40_447 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 211140 119680 ) N ;
- FILLER_40_45 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 26220 119680 ) N ;
- FILLER_40_459 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 216660 119680 ) N ;
- FILLER_40_471 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 222180 119680 ) N ;
- FILLER_40_483 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 227700 119680 ) N ;
- FILLER_40_488 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230000 119680 ) N ;
- FILLER_40_500 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235520 119680 ) N ;
- FILLER_40_512 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241040 119680 ) N ;
- FILLER_40_524 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 246560 119680 ) N ;
- FILLER_40_536 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 252080 119680 ) N ;
- FILLER_40_548 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 257600 119680 ) N ;
- FILLER_40_553 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 259900 119680 ) N ;
- FILLER_40_565 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 265420 119680 ) N ;
- FILLER_40_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 119680 ) N ;
- FILLER_40_577 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 270940 119680 ) N ;
- FILLER_40_589 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 119680 ) N ;
- FILLER_40_601 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 119680 ) N ;
- FILLER_40_613 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 287500 119680 ) N ;
- FILLER_40_618 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 289800 119680 ) N ;
- FILLER_40_624 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 292560 119680 ) N ;
- FILLER_40_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 119680 ) N ;
- FILLER_40_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 119680 ) N ;
- FILLER_40_93 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 48300 119680 ) N ;
- FILLER_40_98 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50600 119680 ) N ;
- FILLER_41_102 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 52440 122400 ) FS ;
- FILLER_41_114 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57960 122400 ) FS ;
- FILLER_41_126 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 63480 122400 ) FS ;
- FILLER_41_131 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 65780 122400 ) FS ;
- FILLER_41_143 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 71300 122400 ) FS ;
- FILLER_41_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 122400 ) FS ;
- FILLER_41_155 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 76820 122400 ) FS ;
- FILLER_41_167 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 82340 122400 ) FS ;
- FILLER_41_179 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 87860 122400 ) FS ;
- FILLER_41_191 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93380 122400 ) FS ;
- FILLER_41_196 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 95680 122400 ) FS ;
- FILLER_41_208 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101200 122400 ) FS ;
- FILLER_41_220 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 106720 122400 ) FS ;
- FILLER_41_232 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112240 122400 ) FS ;
- FILLER_41_244 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 117760 122400 ) FS ;
- FILLER_41_256 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 123280 122400 ) FS ;
- FILLER_41_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 122400 ) FS ;
- FILLER_41_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 122400 ) FS ;
- FILLER_41_273 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 131100 122400 ) FS ;
- FILLER_41_285 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 136620 122400 ) FS ;
- FILLER_41_297 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 142140 122400 ) FS ;
- FILLER_41_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 122400 ) FS ;
- FILLER_41_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 122400 ) FS ;
- FILLER_41_321 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 153180 122400 ) FS ;
- FILLER_41_326 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 155480 122400 ) FS ;
- FILLER_41_338 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 161000 122400 ) FS ;
- FILLER_41_350 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166520 122400 ) FS ;
- FILLER_41_362 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 172040 122400 ) FS ;
- FILLER_41_374 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177560 122400 ) FS ;
- FILLER_41_386 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 183080 122400 ) FS ;
- FILLER_41_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 122400 ) FS ;
- FILLER_41_391 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 185380 122400 ) FS ;
- FILLER_41_403 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 190900 122400 ) FS ;
- FILLER_41_415 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 196420 122400 ) FS ;
- FILLER_41_427 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 201940 122400 ) FS ;
- FILLER_41_439 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 207460 122400 ) FS ;
- FILLER_41_451 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 212980 122400 ) FS ;
- FILLER_41_456 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215280 122400 ) FS ;
- FILLER_41_468 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 220800 122400 ) FS ;
- FILLER_41_480 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 226320 122400 ) FS ;
- FILLER_41_492 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 231840 122400 ) FS ;
- FILLER_41_504 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237360 122400 ) FS ;
- FILLER_41_51 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28980 122400 ) FS ;
- FILLER_41_516 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 242880 122400 ) FS ;
- FILLER_41_521 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 245180 122400 ) FS ;
- FILLER_41_533 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 122400 ) FS ;
- FILLER_41_545 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 122400 ) FS ;
- FILLER_41_557 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 122400 ) FS ;
- FILLER_41_569 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 122400 ) FS ;
- FILLER_41_581 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 272780 122400 ) FS ;
- FILLER_41_586 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 275080 122400 ) FS ;
- FILLER_41_598 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280600 122400 ) FS ;
- FILLER_41_610 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 286120 122400 ) FS ;
- FILLER_41_622 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 291640 122400 ) FS ;
- FILLER_41_63 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 34500 122400 ) FS ;
- FILLER_41_66 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35880 122400 ) FS ;
- FILLER_41_78 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 41400 122400 ) FS ;
- FILLER_41_90 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46920 122400 ) FS ;
- FILLER_42_110 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 56120 125120 ) N ;
- FILLER_42_122 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61640 125120 ) N ;
- FILLER_42_134 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 67160 125120 ) N ;
- FILLER_42_146 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 72680 125120 ) N ;
- FILLER_42_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 125120 ) N ;
- FILLER_42_158 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 78200 125120 ) N ;
- FILLER_42_163 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 80500 125120 ) N ;
- FILLER_42_175 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86020 125120 ) N ;
- FILLER_42_187 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 91540 125120 ) N ;
- FILLER_42_199 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 97060 125120 ) N ;
- FILLER_42_211 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 102580 125120 ) N ;
- FILLER_42_223 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 108100 125120 ) N ;
- FILLER_42_228 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 110400 125120 ) N ;
- FILLER_42_240 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115920 125120 ) N ;
- FILLER_42_252 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121440 125120 ) N ;
- FILLER_42_264 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 126960 125120 ) N ;
- FILLER_42_27 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 17940 125120 ) N ;
- FILLER_42_276 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132480 125120 ) N ;
- FILLER_42_288 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138000 125120 ) N ;
- FILLER_42_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 125120 ) N ;
- FILLER_42_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 125120 ) N ;
- FILLER_42_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 125120 ) N ;
- FILLER_42_31 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 19780 125120 ) N ;
- FILLER_42_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 125120 ) N ;
- FILLER_42_329 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 156860 125120 ) N ;
- FILLER_42_33 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20700 125120 ) N ;
- FILLER_42_341 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 162380 125120 ) N ;
- FILLER_42_353 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 167900 125120 ) N ;
- FILLER_42_358 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 170200 125120 ) N ;
- FILLER_42_370 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 175720 125120 ) N ;
- FILLER_42_382 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 181240 125120 ) N ;
- FILLER_42_394 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186760 125120 ) N ;
- FILLER_42_406 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 192280 125120 ) N ;
- FILLER_42_418 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 197800 125120 ) N ;
- FILLER_42_423 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 200100 125120 ) N ;
- FILLER_42_435 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 205620 125120 ) N ;
- FILLER_42_447 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 211140 125120 ) N ;
- FILLER_42_45 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 26220 125120 ) N ;
- FILLER_42_459 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 216660 125120 ) N ;
- FILLER_42_471 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 222180 125120 ) N ;
- FILLER_42_483 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 227700 125120 ) N ;
- FILLER_42_488 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230000 125120 ) N ;
- FILLER_42_500 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235520 125120 ) N ;
- FILLER_42_512 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241040 125120 ) N ;
- FILLER_42_524 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 246560 125120 ) N ;
- FILLER_42_536 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 252080 125120 ) N ;
- FILLER_42_548 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 257600 125120 ) N ;
- FILLER_42_553 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 259900 125120 ) N ;
- FILLER_42_565 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 265420 125120 ) N ;
- FILLER_42_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 125120 ) N ;
- FILLER_42_577 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 270940 125120 ) N ;
- FILLER_42_589 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 125120 ) N ;
- FILLER_42_601 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 125120 ) N ;
- FILLER_42_613 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 287500 125120 ) N ;
- FILLER_42_618 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 289800 125120 ) N ;
- FILLER_42_624 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 292560 125120 ) N ;
- FILLER_42_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 125120 ) N ;
- FILLER_42_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 125120 ) N ;
- FILLER_42_93 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 48300 125120 ) N ;
- FILLER_42_98 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50600 125120 ) N ;
- FILLER_43_102 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 52440 127840 ) FS ;
- FILLER_43_114 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57960 127840 ) FS ;
- FILLER_43_126 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 63480 127840 ) FS ;
- FILLER_43_131 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 65780 127840 ) FS ;
- FILLER_43_143 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 71300 127840 ) FS ;
- FILLER_43_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 127840 ) FS ;
- FILLER_43_155 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 76820 127840 ) FS ;
- FILLER_43_167 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 82340 127840 ) FS ;
- FILLER_43_179 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 87860 127840 ) FS ;
- FILLER_43_191 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93380 127840 ) FS ;
- FILLER_43_196 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 95680 127840 ) FS ;
- FILLER_43_208 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101200 127840 ) FS ;
- FILLER_43_220 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 106720 127840 ) FS ;
- FILLER_43_232 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112240 127840 ) FS ;
- FILLER_43_244 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 117760 127840 ) FS ;
- FILLER_43_256 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 123280 127840 ) FS ;
- FILLER_43_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 127840 ) FS ;
- FILLER_43_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 127840 ) FS ;
- FILLER_43_273 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 131100 127840 ) FS ;
- FILLER_43_285 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 136620 127840 ) FS ;
- FILLER_43_297 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 142140 127840 ) FS ;
- FILLER_43_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 127840 ) FS ;
- FILLER_43_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 127840 ) FS ;
- FILLER_43_321 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 153180 127840 ) FS ;
- FILLER_43_326 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 155480 127840 ) FS ;
- FILLER_43_338 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 161000 127840 ) FS ;
- FILLER_43_350 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166520 127840 ) FS ;
- FILLER_43_362 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 172040 127840 ) FS ;
- FILLER_43_374 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177560 127840 ) FS ;
- FILLER_43_386 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 183080 127840 ) FS ;
- FILLER_43_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 127840 ) FS ;
- FILLER_43_391 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 185380 127840 ) FS ;
- FILLER_43_403 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 190900 127840 ) FS ;
- FILLER_43_415 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 196420 127840 ) FS ;
- FILLER_43_427 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 201940 127840 ) FS ;
- FILLER_43_439 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 207460 127840 ) FS ;
- FILLER_43_451 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 212980 127840 ) FS ;
- FILLER_43_456 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215280 127840 ) FS ;
- FILLER_43_468 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 220800 127840 ) FS ;
- FILLER_43_480 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 226320 127840 ) FS ;
- FILLER_43_492 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 231840 127840 ) FS ;
- FILLER_43_504 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237360 127840 ) FS ;
- FILLER_43_51 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28980 127840 ) FS ;
- FILLER_43_516 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 242880 127840 ) FS ;
- FILLER_43_521 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 245180 127840 ) FS ;
- FILLER_43_533 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 127840 ) FS ;
- FILLER_43_545 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 127840 ) FS ;
- FILLER_43_557 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 127840 ) FS ;
- FILLER_43_569 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 127840 ) FS ;
- FILLER_43_581 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 272780 127840 ) FS ;
- FILLER_43_586 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 275080 127840 ) FS ;
- FILLER_43_598 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280600 127840 ) FS ;
- FILLER_43_610 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 286120 127840 ) FS ;
- FILLER_43_622 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 291640 127840 ) FS ;
- FILLER_43_63 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 34500 127840 ) FS ;
- FILLER_43_66 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35880 127840 ) FS ;
- FILLER_43_78 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 41400 127840 ) FS ;
- FILLER_43_90 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46920 127840 ) FS ;
- FILLER_44_110 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 56120 130560 ) N ;
- FILLER_44_122 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61640 130560 ) N ;
- FILLER_44_134 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 67160 130560 ) N ;
- FILLER_44_146 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 72680 130560 ) N ;
- FILLER_44_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 130560 ) N ;
- FILLER_44_158 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 78200 130560 ) N ;
- FILLER_44_163 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 80500 130560 ) N ;
- FILLER_44_175 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86020 130560 ) N ;
- FILLER_44_187 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 91540 130560 ) N ;
- FILLER_44_199 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 97060 130560 ) N ;
- FILLER_44_211 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 102580 130560 ) N ;
- FILLER_44_223 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 108100 130560 ) N ;
- FILLER_44_228 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 110400 130560 ) N ;
- FILLER_44_240 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115920 130560 ) N ;
- FILLER_44_252 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121440 130560 ) N ;
- FILLER_44_264 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 126960 130560 ) N ;
- FILLER_44_27 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 17940 130560 ) N ;
- FILLER_44_276 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132480 130560 ) N ;
- FILLER_44_288 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138000 130560 ) N ;
- FILLER_44_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 130560 ) N ;
- FILLER_44_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 130560 ) N ;
- FILLER_44_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 130560 ) N ;
- FILLER_44_31 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 19780 130560 ) N ;
- FILLER_44_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 130560 ) N ;
- FILLER_44_329 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 156860 130560 ) N ;
- FILLER_44_33 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20700 130560 ) N ;
- FILLER_44_341 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 162380 130560 ) N ;
- FILLER_44_353 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 167900 130560 ) N ;
- FILLER_44_358 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 170200 130560 ) N ;
- FILLER_44_370 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 175720 130560 ) N ;
- FILLER_44_382 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 181240 130560 ) N ;
- FILLER_44_394 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186760 130560 ) N ;
- FILLER_44_406 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 192280 130560 ) N ;
- FILLER_44_418 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 197800 130560 ) N ;
- FILLER_44_423 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 200100 130560 ) N ;
- FILLER_44_435 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 205620 130560 ) N ;
- FILLER_44_447 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 211140 130560 ) N ;
- FILLER_44_45 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 26220 130560 ) N ;
- FILLER_44_459 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 216660 130560 ) N ;
- FILLER_44_471 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 222180 130560 ) N ;
- FILLER_44_483 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 227700 130560 ) N ;
- FILLER_44_488 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230000 130560 ) N ;
- FILLER_44_500 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235520 130560 ) N ;
- FILLER_44_512 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241040 130560 ) N ;
- FILLER_44_524 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 246560 130560 ) N ;
- FILLER_44_536 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 252080 130560 ) N ;
- FILLER_44_548 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 257600 130560 ) N ;
- FILLER_44_553 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 259900 130560 ) N ;
- FILLER_44_565 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 265420 130560 ) N ;
- FILLER_44_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 130560 ) N ;
- FILLER_44_577 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 270940 130560 ) N ;
- FILLER_44_589 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 130560 ) N ;
- FILLER_44_601 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 130560 ) N ;
- FILLER_44_613 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 287500 130560 ) N ;
- FILLER_44_618 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 289800 130560 ) N ;
- FILLER_44_624 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 292560 130560 ) N ;
- FILLER_44_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 130560 ) N ;
- FILLER_44_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 130560 ) N ;
- FILLER_44_93 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 48300 130560 ) N ;
- FILLER_44_98 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50600 130560 ) N ;
- FILLER_45_102 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 52440 133280 ) FS ;
- FILLER_45_114 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57960 133280 ) FS ;
- FILLER_45_126 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 63480 133280 ) FS ;
- FILLER_45_131 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 65780 133280 ) FS ;
- FILLER_45_143 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 71300 133280 ) FS ;
- FILLER_45_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 133280 ) FS ;
- FILLER_45_155 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 76820 133280 ) FS ;
- FILLER_45_167 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 82340 133280 ) FS ;
- FILLER_45_179 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 87860 133280 ) FS ;
- FILLER_45_191 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93380 133280 ) FS ;
- FILLER_45_196 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 95680 133280 ) FS ;
- FILLER_45_208 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101200 133280 ) FS ;
- FILLER_45_220 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 106720 133280 ) FS ;
- FILLER_45_232 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112240 133280 ) FS ;
- FILLER_45_244 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 117760 133280 ) FS ;
- FILLER_45_256 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 123280 133280 ) FS ;
- FILLER_45_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 133280 ) FS ;
- FILLER_45_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 133280 ) FS ;
- FILLER_45_273 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 131100 133280 ) FS ;
- FILLER_45_285 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 136620 133280 ) FS ;
- FILLER_45_297 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 142140 133280 ) FS ;
- FILLER_45_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 133280 ) FS ;
- FILLER_45_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 133280 ) FS ;
- FILLER_45_321 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 153180 133280 ) FS ;
- FILLER_45_326 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 155480 133280 ) FS ;
- FILLER_45_338 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 161000 133280 ) FS ;
- FILLER_45_350 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166520 133280 ) FS ;
- FILLER_45_362 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 172040 133280 ) FS ;
- FILLER_45_374 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177560 133280 ) FS ;
- FILLER_45_386 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 183080 133280 ) FS ;
- FILLER_45_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 133280 ) FS ;
- FILLER_45_391 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 185380 133280 ) FS ;
- FILLER_45_403 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 190900 133280 ) FS ;
- FILLER_45_415 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 196420 133280 ) FS ;
- FILLER_45_427 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 201940 133280 ) FS ;
- FILLER_45_439 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 207460 133280 ) FS ;
- FILLER_45_451 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 212980 133280 ) FS ;
- FILLER_45_456 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215280 133280 ) FS ;
- FILLER_45_468 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 220800 133280 ) FS ;
- FILLER_45_480 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 226320 133280 ) FS ;
- FILLER_45_492 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 231840 133280 ) FS ;
- FILLER_45_504 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237360 133280 ) FS ;
- FILLER_45_51 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28980 133280 ) FS ;
- FILLER_45_516 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 242880 133280 ) FS ;
- FILLER_45_521 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 245180 133280 ) FS ;
- FILLER_45_533 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 133280 ) FS ;
- FILLER_45_545 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 133280 ) FS ;
- FILLER_45_557 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 133280 ) FS ;
- FILLER_45_569 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 133280 ) FS ;
- FILLER_45_581 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 272780 133280 ) FS ;
- FILLER_45_586 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 275080 133280 ) FS ;
- FILLER_45_598 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280600 133280 ) FS ;
- FILLER_45_610 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 286120 133280 ) FS ;
- FILLER_45_622 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 291640 133280 ) FS ;
- FILLER_45_63 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 34500 133280 ) FS ;
- FILLER_45_66 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35880 133280 ) FS ;
- FILLER_45_78 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 41400 133280 ) FS ;
- FILLER_45_90 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46920 133280 ) FS ;
- FILLER_46_110 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 56120 136000 ) N ;
- FILLER_46_122 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61640 136000 ) N ;
- FILLER_46_134 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 67160 136000 ) N ;
- FILLER_46_146 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 72680 136000 ) N ;
- FILLER_46_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 136000 ) N ;
- FILLER_46_158 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 78200 136000 ) N ;
- FILLER_46_163 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 80500 136000 ) N ;
- FILLER_46_175 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86020 136000 ) N ;
- FILLER_46_187 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 91540 136000 ) N ;
- FILLER_46_199 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 97060 136000 ) N ;
- FILLER_46_211 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 102580 136000 ) N ;
- FILLER_46_223 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 108100 136000 ) N ;
- FILLER_46_228 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 110400 136000 ) N ;
- FILLER_46_240 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115920 136000 ) N ;
- FILLER_46_252 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121440 136000 ) N ;
- FILLER_46_264 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 126960 136000 ) N ;
- FILLER_46_27 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 17940 136000 ) N ;
- FILLER_46_276 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132480 136000 ) N ;
- FILLER_46_288 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138000 136000 ) N ;
- FILLER_46_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 136000 ) N ;
- FILLER_46_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 136000 ) N ;
- FILLER_46_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 136000 ) N ;
- FILLER_46_31 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 19780 136000 ) N ;
- FILLER_46_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 136000 ) N ;
- FILLER_46_329 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 156860 136000 ) N ;
- FILLER_46_33 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20700 136000 ) N ;
- FILLER_46_341 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 162380 136000 ) N ;
- FILLER_46_353 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 167900 136000 ) N ;
- FILLER_46_358 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 170200 136000 ) N ;
- FILLER_46_370 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 175720 136000 ) N ;
- FILLER_46_382 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 181240 136000 ) N ;
- FILLER_46_394 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186760 136000 ) N ;
- FILLER_46_406 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 192280 136000 ) N ;
- FILLER_46_418 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 197800 136000 ) N ;
- FILLER_46_423 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 200100 136000 ) N ;
- FILLER_46_435 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 205620 136000 ) N ;
- FILLER_46_447 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 211140 136000 ) N ;
- FILLER_46_45 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 26220 136000 ) N ;
- FILLER_46_459 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 216660 136000 ) N ;
- FILLER_46_471 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 222180 136000 ) N ;
- FILLER_46_483 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 227700 136000 ) N ;
- FILLER_46_488 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230000 136000 ) N ;
- FILLER_46_500 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235520 136000 ) N ;
- FILLER_46_512 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241040 136000 ) N ;
- FILLER_46_524 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 246560 136000 ) N ;
- FILLER_46_536 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 252080 136000 ) N ;
- FILLER_46_548 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 257600 136000 ) N ;
- FILLER_46_553 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 259900 136000 ) N ;
- FILLER_46_565 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 265420 136000 ) N ;
- FILLER_46_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 136000 ) N ;
- FILLER_46_577 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 270940 136000 ) N ;
- FILLER_46_589 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 136000 ) N ;
- FILLER_46_601 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 136000 ) N ;
- FILLER_46_613 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 287500 136000 ) N ;
- FILLER_46_618 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 289800 136000 ) N ;
- FILLER_46_624 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 292560 136000 ) N ;
- FILLER_46_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 136000 ) N ;
- FILLER_46_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 136000 ) N ;
- FILLER_46_93 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 48300 136000 ) N ;
- FILLER_46_98 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50600 136000 ) N ;
- FILLER_47_102 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 52440 138720 ) FS ;
- FILLER_47_114 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57960 138720 ) FS ;
- FILLER_47_126 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 63480 138720 ) FS ;
- FILLER_47_131 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 65780 138720 ) FS ;
- FILLER_47_143 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 71300 138720 ) FS ;
- FILLER_47_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 138720 ) FS ;
- FILLER_47_155 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 76820 138720 ) FS ;
- FILLER_47_167 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 82340 138720 ) FS ;
- FILLER_47_179 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 87860 138720 ) FS ;
- FILLER_47_191 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93380 138720 ) FS ;
- FILLER_47_196 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 95680 138720 ) FS ;
- FILLER_47_208 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101200 138720 ) FS ;
- FILLER_47_220 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 106720 138720 ) FS ;
- FILLER_47_232 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112240 138720 ) FS ;
- FILLER_47_244 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 117760 138720 ) FS ;
- FILLER_47_256 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 123280 138720 ) FS ;
- FILLER_47_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 138720 ) FS ;
- FILLER_47_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 138720 ) FS ;
- FILLER_47_273 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 131100 138720 ) FS ;
- FILLER_47_285 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 136620 138720 ) FS ;
- FILLER_47_297 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 142140 138720 ) FS ;
- FILLER_47_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 138720 ) FS ;
- FILLER_47_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 138720 ) FS ;
- FILLER_47_321 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 153180 138720 ) FS ;
- FILLER_47_326 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 155480 138720 ) FS ;
- FILLER_47_338 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 161000 138720 ) FS ;
- FILLER_47_350 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166520 138720 ) FS ;
- FILLER_47_362 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 172040 138720 ) FS ;
- FILLER_47_374 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177560 138720 ) FS ;
- FILLER_47_386 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 183080 138720 ) FS ;
- FILLER_47_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 138720 ) FS ;
- FILLER_47_391 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 185380 138720 ) FS ;
- FILLER_47_403 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 190900 138720 ) FS ;
- FILLER_47_415 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 196420 138720 ) FS ;
- FILLER_47_427 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 201940 138720 ) FS ;
- FILLER_47_439 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 207460 138720 ) FS ;
- FILLER_47_451 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 212980 138720 ) FS ;
- FILLER_47_456 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215280 138720 ) FS ;
- FILLER_47_468 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 220800 138720 ) FS ;
- FILLER_47_480 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 226320 138720 ) FS ;
- FILLER_47_492 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 231840 138720 ) FS ;
- FILLER_47_504 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237360 138720 ) FS ;
- FILLER_47_51 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28980 138720 ) FS ;
- FILLER_47_516 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 242880 138720 ) FS ;
- FILLER_47_521 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 245180 138720 ) FS ;
- FILLER_47_533 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 138720 ) FS ;
- FILLER_47_545 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 138720 ) FS ;
- FILLER_47_557 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 138720 ) FS ;
- FILLER_47_569 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 138720 ) FS ;
- FILLER_47_581 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 272780 138720 ) FS ;
- FILLER_47_586 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 275080 138720 ) FS ;
- FILLER_47_598 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280600 138720 ) FS ;
- FILLER_47_610 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 286120 138720 ) FS ;
- FILLER_47_622 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 291640 138720 ) FS ;
- FILLER_47_63 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 34500 138720 ) FS ;
- FILLER_47_66 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35880 138720 ) FS ;
- FILLER_47_78 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 41400 138720 ) FS ;
- FILLER_47_90 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46920 138720 ) FS ;
- FILLER_48_110 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 56120 141440 ) N ;
- FILLER_48_122 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61640 141440 ) N ;
- FILLER_48_134 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 67160 141440 ) N ;
- FILLER_48_146 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 72680 141440 ) N ;
- FILLER_48_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 141440 ) N ;
- FILLER_48_158 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 78200 141440 ) N ;
- FILLER_48_163 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 80500 141440 ) N ;
- FILLER_48_175 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86020 141440 ) N ;
- FILLER_48_187 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 91540 141440 ) N ;
- FILLER_48_199 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 97060 141440 ) N ;
- FILLER_48_211 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 102580 141440 ) N ;
- FILLER_48_223 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 108100 141440 ) N ;
- FILLER_48_228 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 110400 141440 ) N ;
- FILLER_48_240 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115920 141440 ) N ;
- FILLER_48_252 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121440 141440 ) N ;
- FILLER_48_264 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 126960 141440 ) N ;
- FILLER_48_27 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 17940 141440 ) N ;
- FILLER_48_276 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132480 141440 ) N ;
- FILLER_48_288 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138000 141440 ) N ;
- FILLER_48_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 141440 ) N ;
- FILLER_48_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 141440 ) N ;
- FILLER_48_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 141440 ) N ;
- FILLER_48_31 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 19780 141440 ) N ;
- FILLER_48_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 141440 ) N ;
- FILLER_48_329 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 156860 141440 ) N ;
- FILLER_48_33 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20700 141440 ) N ;
- FILLER_48_341 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 162380 141440 ) N ;
- FILLER_48_353 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 167900 141440 ) N ;
- FILLER_48_358 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 170200 141440 ) N ;
- FILLER_48_370 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 175720 141440 ) N ;
- FILLER_48_382 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 181240 141440 ) N ;
- FILLER_48_394 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186760 141440 ) N ;
- FILLER_48_406 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 192280 141440 ) N ;
- FILLER_48_418 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 197800 141440 ) N ;
- FILLER_48_423 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 200100 141440 ) N ;
- FILLER_48_435 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 205620 141440 ) N ;
- FILLER_48_447 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 211140 141440 ) N ;
- FILLER_48_45 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 26220 141440 ) N ;
- FILLER_48_459 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 216660 141440 ) N ;
- FILLER_48_471 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 222180 141440 ) N ;
- FILLER_48_483 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 227700 141440 ) N ;
- FILLER_48_488 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230000 141440 ) N ;
- FILLER_48_500 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235520 141440 ) N ;
- FILLER_48_512 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241040 141440 ) N ;
- FILLER_48_524 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 246560 141440 ) N ;
- FILLER_48_536 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 252080 141440 ) N ;
- FILLER_48_548 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 257600 141440 ) N ;
- FILLER_48_553 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 259900 141440 ) N ;
- FILLER_48_565 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 265420 141440 ) N ;
- FILLER_48_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 141440 ) N ;
- FILLER_48_577 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 270940 141440 ) N ;
- FILLER_48_589 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 141440 ) N ;
- FILLER_48_601 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 141440 ) N ;
- FILLER_48_613 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 287500 141440 ) N ;
- FILLER_48_618 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 289800 141440 ) N ;
- FILLER_48_624 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 292560 141440 ) N ;
- FILLER_48_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 141440 ) N ;
- FILLER_48_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 141440 ) N ;
- FILLER_48_93 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 48300 141440 ) N ;
- FILLER_48_98 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50600 141440 ) N ;
- FILLER_49_102 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 52440 144160 ) FS ;
- FILLER_49_114 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57960 144160 ) FS ;
- FILLER_49_126 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 63480 144160 ) FS ;
- FILLER_49_131 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 65780 144160 ) FS ;
- FILLER_49_143 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 71300 144160 ) FS ;
- FILLER_49_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 144160 ) FS ;
- FILLER_49_155 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 76820 144160 ) FS ;
- FILLER_49_167 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 82340 144160 ) FS ;
- FILLER_49_179 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 87860 144160 ) FS ;
- FILLER_49_191 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93380 144160 ) FS ;
- FILLER_49_196 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 95680 144160 ) FS ;
- FILLER_49_208 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101200 144160 ) FS ;
- FILLER_49_220 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 106720 144160 ) FS ;
- FILLER_49_232 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112240 144160 ) FS ;
- FILLER_49_244 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 117760 144160 ) FS ;
- FILLER_49_256 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 123280 144160 ) FS ;
- FILLER_49_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 144160 ) FS ;
- FILLER_49_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 144160 ) FS ;
- FILLER_49_273 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 131100 144160 ) FS ;
- FILLER_49_285 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 136620 144160 ) FS ;
- FILLER_49_297 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 142140 144160 ) FS ;
- FILLER_49_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 144160 ) FS ;
- FILLER_49_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 144160 ) FS ;
- FILLER_49_321 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 153180 144160 ) FS ;
- FILLER_49_326 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 155480 144160 ) FS ;
- FILLER_49_338 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 161000 144160 ) FS ;
- FILLER_49_350 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166520 144160 ) FS ;
- FILLER_49_362 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 172040 144160 ) FS ;
- FILLER_49_374 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177560 144160 ) FS ;
- FILLER_49_386 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 183080 144160 ) FS ;
- FILLER_49_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 144160 ) FS ;
- FILLER_49_391 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 185380 144160 ) FS ;
- FILLER_49_403 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 190900 144160 ) FS ;
- FILLER_49_415 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 196420 144160 ) FS ;
- FILLER_49_427 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 201940 144160 ) FS ;
- FILLER_49_439 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 207460 144160 ) FS ;
- FILLER_49_451 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 212980 144160 ) FS ;
- FILLER_49_456 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215280 144160 ) FS ;
- FILLER_49_468 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 220800 144160 ) FS ;
- FILLER_49_480 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 226320 144160 ) FS ;
- FILLER_49_492 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 231840 144160 ) FS ;
- FILLER_49_504 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237360 144160 ) FS ;
- FILLER_49_51 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28980 144160 ) FS ;
- FILLER_49_516 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 242880 144160 ) FS ;
- FILLER_49_521 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 245180 144160 ) FS ;
- FILLER_49_533 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 144160 ) FS ;
- FILLER_49_545 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 144160 ) FS ;
- FILLER_49_557 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 144160 ) FS ;
- FILLER_49_569 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 144160 ) FS ;
- FILLER_49_581 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 272780 144160 ) FS ;
- FILLER_49_586 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 275080 144160 ) FS ;
- FILLER_49_598 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280600 144160 ) FS ;
- FILLER_49_610 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 286120 144160 ) FS ;
- FILLER_49_622 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 291640 144160 ) FS ;
- FILLER_49_63 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 34500 144160 ) FS ;
- FILLER_49_66 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35880 144160 ) FS ;
- FILLER_49_78 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 41400 144160 ) FS ;
- FILLER_49_90 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46920 144160 ) FS ;
- FILLER_4_110 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 56120 21760 ) N ;
- FILLER_4_122 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61640 21760 ) N ;
- FILLER_4_134 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 67160 21760 ) N ;
- FILLER_4_146 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 72680 21760 ) N ;
- FILLER_4_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 21760 ) N ;
- FILLER_4_158 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 78200 21760 ) N ;
- FILLER_4_163 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 80500 21760 ) N ;
- FILLER_4_175 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86020 21760 ) N ;
- FILLER_4_187 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 91540 21760 ) N ;
- FILLER_4_199 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 97060 21760 ) N ;
- FILLER_4_211 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 102580 21760 ) N ;
- FILLER_4_219 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 106260 21760 ) N ;
- FILLER_4_225 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 109020 21760 ) N ;
- FILLER_4_228 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 110400 21760 ) N ;
- FILLER_4_237 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 114540 21760 ) N ;
- FILLER_4_244 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 117760 21760 ) N ;
- FILLER_4_251 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 120980 21760 ) N ;
- FILLER_4_258 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 124200 21760 ) N ;
- FILLER_4_265 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 127420 21760 ) N ;
- FILLER_4_27 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 17940 21760 ) N ;
- FILLER_4_290 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 138920 21760 ) N ;
- FILLER_4_293 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 140300 21760 ) N ;
- FILLER_4_299 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 143060 21760 ) N ;
- FILLER_4_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 21760 ) N ;
- FILLER_4_306 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 146280 21760 ) N ;
- FILLER_4_31 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 19780 21760 ) N ;
- FILLER_4_313 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 149500 21760 ) N ;
- FILLER_4_33 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20700 21760 ) N ;
- FILLER_4_338 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 161000 21760 ) N ;
- FILLER_4_345 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 164220 21760 ) N ;
- FILLER_4_352 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 167440 21760 ) N ;
- FILLER_4_356 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 169280 21760 ) N ;
- FILLER_4_358 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 170200 21760 ) N ;
- FILLER_4_381 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 180780 21760 ) N ;
- FILLER_4_388 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 184000 21760 ) N ;
- FILLER_4_400 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 189520 21760 ) N ;
- FILLER_4_412 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 195040 21760 ) N ;
- FILLER_4_420 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 198720 21760 ) N ;
- FILLER_4_423 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 200100 21760 ) N ;
- FILLER_4_435 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 205620 21760 ) N ;
- FILLER_4_447 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 211140 21760 ) N ;
- FILLER_4_45 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 26220 21760 ) N ;
- FILLER_4_459 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 216660 21760 ) N ;
- FILLER_4_471 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 222180 21760 ) N ;
- FILLER_4_483 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 227700 21760 ) N ;
- FILLER_4_488 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230000 21760 ) N ;
- FILLER_4_500 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235520 21760 ) N ;
- FILLER_4_512 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241040 21760 ) N ;
- FILLER_4_524 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 246560 21760 ) N ;
- FILLER_4_536 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 252080 21760 ) N ;
- FILLER_4_548 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 257600 21760 ) N ;
- FILLER_4_553 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 259900 21760 ) N ;
- FILLER_4_565 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 265420 21760 ) N ;
- FILLER_4_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 21760 ) N ;
- FILLER_4_577 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 270940 21760 ) N ;
- FILLER_4_589 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 21760 ) N ;
- FILLER_4_601 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 21760 ) N ;
- FILLER_4_613 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 287500 21760 ) N ;
- FILLER_4_618 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 289800 21760 ) N ;
- FILLER_4_624 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 292560 21760 ) N ;
- FILLER_4_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 21760 ) N ;
- FILLER_4_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 21760 ) N ;
- FILLER_4_93 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 48300 21760 ) N ;
- FILLER_4_98 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50600 21760 ) N ;
- FILLER_50_110 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 56120 146880 ) N ;
- FILLER_50_122 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61640 146880 ) N ;
- FILLER_50_134 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 67160 146880 ) N ;
- FILLER_50_146 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 72680 146880 ) N ;
- FILLER_50_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 146880 ) N ;
- FILLER_50_158 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 78200 146880 ) N ;
- FILLER_50_163 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 80500 146880 ) N ;
- FILLER_50_175 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86020 146880 ) N ;
- FILLER_50_187 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 91540 146880 ) N ;
- FILLER_50_199 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 97060 146880 ) N ;
- FILLER_50_211 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 102580 146880 ) N ;
- FILLER_50_223 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 108100 146880 ) N ;
- FILLER_50_228 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 110400 146880 ) N ;
- FILLER_50_240 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115920 146880 ) N ;
- FILLER_50_252 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121440 146880 ) N ;
- FILLER_50_264 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 126960 146880 ) N ;
- FILLER_50_27 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 17940 146880 ) N ;
- FILLER_50_276 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132480 146880 ) N ;
- FILLER_50_288 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138000 146880 ) N ;
- FILLER_50_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 146880 ) N ;
- FILLER_50_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 146880 ) N ;
- FILLER_50_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 146880 ) N ;
- FILLER_50_31 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 19780 146880 ) N ;
- FILLER_50_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 146880 ) N ;
- FILLER_50_329 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 156860 146880 ) N ;
- FILLER_50_33 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20700 146880 ) N ;
- FILLER_50_341 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 162380 146880 ) N ;
- FILLER_50_353 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 167900 146880 ) N ;
- FILLER_50_358 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 170200 146880 ) N ;
- FILLER_50_370 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 175720 146880 ) N ;
- FILLER_50_382 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 181240 146880 ) N ;
- FILLER_50_394 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186760 146880 ) N ;
- FILLER_50_406 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 192280 146880 ) N ;
- FILLER_50_418 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 197800 146880 ) N ;
- FILLER_50_423 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 200100 146880 ) N ;
- FILLER_50_435 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 205620 146880 ) N ;
- FILLER_50_447 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 211140 146880 ) N ;
- FILLER_50_45 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 26220 146880 ) N ;
- FILLER_50_459 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 216660 146880 ) N ;
- FILLER_50_471 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 222180 146880 ) N ;
- FILLER_50_483 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 227700 146880 ) N ;
- FILLER_50_488 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230000 146880 ) N ;
- FILLER_50_500 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235520 146880 ) N ;
- FILLER_50_512 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241040 146880 ) N ;
- FILLER_50_524 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 246560 146880 ) N ;
- FILLER_50_536 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 252080 146880 ) N ;
- FILLER_50_548 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 257600 146880 ) N ;
- FILLER_50_553 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 259900 146880 ) N ;
- FILLER_50_565 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 265420 146880 ) N ;
- FILLER_50_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 146880 ) N ;
- FILLER_50_577 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 270940 146880 ) N ;
- FILLER_50_589 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 146880 ) N ;
- FILLER_50_601 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 146880 ) N ;
- FILLER_50_613 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 287500 146880 ) N ;
- FILLER_50_618 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 289800 146880 ) N ;
- FILLER_50_624 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 292560 146880 ) N ;
- FILLER_50_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 146880 ) N ;
- FILLER_50_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 146880 ) N ;
- FILLER_50_93 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 48300 146880 ) N ;
- FILLER_50_98 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50600 146880 ) N ;
- FILLER_51_102 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 52440 149600 ) FS ;
- FILLER_51_114 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57960 149600 ) FS ;
- FILLER_51_126 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 63480 149600 ) FS ;
- FILLER_51_131 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 65780 149600 ) FS ;
- FILLER_51_143 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 71300 149600 ) FS ;
- FILLER_51_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 149600 ) FS ;
- FILLER_51_155 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 76820 149600 ) FS ;
- FILLER_51_167 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 82340 149600 ) FS ;
- FILLER_51_179 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 87860 149600 ) FS ;
- FILLER_51_191 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93380 149600 ) FS ;
- FILLER_51_196 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 95680 149600 ) FS ;
- FILLER_51_208 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101200 149600 ) FS ;
- FILLER_51_220 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 106720 149600 ) FS ;
- FILLER_51_232 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112240 149600 ) FS ;
- FILLER_51_244 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 117760 149600 ) FS ;
- FILLER_51_256 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 123280 149600 ) FS ;
- FILLER_51_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 149600 ) FS ;
- FILLER_51_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 149600 ) FS ;
- FILLER_51_273 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 131100 149600 ) FS ;
- FILLER_51_285 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 136620 149600 ) FS ;
- FILLER_51_297 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 142140 149600 ) FS ;
- FILLER_51_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 149600 ) FS ;
- FILLER_51_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 149600 ) FS ;
- FILLER_51_321 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 153180 149600 ) FS ;
- FILLER_51_326 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 155480 149600 ) FS ;
- FILLER_51_338 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 161000 149600 ) FS ;
- FILLER_51_350 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166520 149600 ) FS ;
- FILLER_51_362 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 172040 149600 ) FS ;
- FILLER_51_374 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177560 149600 ) FS ;
- FILLER_51_386 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 183080 149600 ) FS ;
- FILLER_51_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 149600 ) FS ;
- FILLER_51_391 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 185380 149600 ) FS ;
- FILLER_51_403 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 190900 149600 ) FS ;
- FILLER_51_415 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 196420 149600 ) FS ;
- FILLER_51_427 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 201940 149600 ) FS ;
- FILLER_51_439 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 207460 149600 ) FS ;
- FILLER_51_451 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 212980 149600 ) FS ;
- FILLER_51_456 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215280 149600 ) FS ;
- FILLER_51_468 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 220800 149600 ) FS ;
- FILLER_51_480 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 226320 149600 ) FS ;
- FILLER_51_492 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 231840 149600 ) FS ;
- FILLER_51_504 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237360 149600 ) FS ;
- FILLER_51_51 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28980 149600 ) FS ;
- FILLER_51_516 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 242880 149600 ) FS ;
- FILLER_51_521 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 245180 149600 ) FS ;
- FILLER_51_533 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 149600 ) FS ;
- FILLER_51_545 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 149600 ) FS ;
- FILLER_51_557 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 149600 ) FS ;
- FILLER_51_569 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 149600 ) FS ;
- FILLER_51_581 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 272780 149600 ) FS ;
- FILLER_51_586 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 275080 149600 ) FS ;
- FILLER_51_598 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280600 149600 ) FS ;
- FILLER_51_610 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 286120 149600 ) FS ;
- FILLER_51_622 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 291640 149600 ) FS ;
- FILLER_51_63 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 34500 149600 ) FS ;
- FILLER_51_66 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35880 149600 ) FS ;
- FILLER_51_78 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 41400 149600 ) FS ;
- FILLER_51_90 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46920 149600 ) FS ;
- FILLER_52_110 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 56120 152320 ) N ;
- FILLER_52_122 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61640 152320 ) N ;
- FILLER_52_134 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 67160 152320 ) N ;
- FILLER_52_146 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 72680 152320 ) N ;
- FILLER_52_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 152320 ) N ;
- FILLER_52_158 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 78200 152320 ) N ;
- FILLER_52_163 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 80500 152320 ) N ;
- FILLER_52_175 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86020 152320 ) N ;
- FILLER_52_187 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 91540 152320 ) N ;
- FILLER_52_199 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 97060 152320 ) N ;
- FILLER_52_211 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 102580 152320 ) N ;
- FILLER_52_223 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 108100 152320 ) N ;
- FILLER_52_228 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 110400 152320 ) N ;
- FILLER_52_240 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115920 152320 ) N ;
- FILLER_52_252 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121440 152320 ) N ;
- FILLER_52_264 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 126960 152320 ) N ;
- FILLER_52_27 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 17940 152320 ) N ;
- FILLER_52_276 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132480 152320 ) N ;
- FILLER_52_288 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138000 152320 ) N ;
- FILLER_52_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 152320 ) N ;
- FILLER_52_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 152320 ) N ;
- FILLER_52_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 152320 ) N ;
- FILLER_52_31 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 19780 152320 ) N ;
- FILLER_52_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 152320 ) N ;
- FILLER_52_329 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 156860 152320 ) N ;
- FILLER_52_33 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20700 152320 ) N ;
- FILLER_52_341 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 162380 152320 ) N ;
- FILLER_52_353 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 167900 152320 ) N ;
- FILLER_52_358 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 170200 152320 ) N ;
- FILLER_52_370 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 175720 152320 ) N ;
- FILLER_52_382 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 181240 152320 ) N ;
- FILLER_52_394 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186760 152320 ) N ;
- FILLER_52_406 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 192280 152320 ) N ;
- FILLER_52_418 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 197800 152320 ) N ;
- FILLER_52_423 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 200100 152320 ) N ;
- FILLER_52_435 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 205620 152320 ) N ;
- FILLER_52_447 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 211140 152320 ) N ;
- FILLER_52_45 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 26220 152320 ) N ;
- FILLER_52_459 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 216660 152320 ) N ;
- FILLER_52_471 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 222180 152320 ) N ;
- FILLER_52_483 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 227700 152320 ) N ;
- FILLER_52_488 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230000 152320 ) N ;
- FILLER_52_500 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235520 152320 ) N ;
- FILLER_52_512 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241040 152320 ) N ;
- FILLER_52_524 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 246560 152320 ) N ;
- FILLER_52_536 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 252080 152320 ) N ;
- FILLER_52_548 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 257600 152320 ) N ;
- FILLER_52_553 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 259900 152320 ) N ;
- FILLER_52_565 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 265420 152320 ) N ;
- FILLER_52_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 152320 ) N ;
- FILLER_52_577 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 270940 152320 ) N ;
- FILLER_52_589 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 152320 ) N ;
- FILLER_52_601 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 152320 ) N ;
- FILLER_52_613 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 287500 152320 ) N ;
- FILLER_52_618 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 289800 152320 ) N ;
- FILLER_52_624 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 292560 152320 ) N ;
- FILLER_52_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 152320 ) N ;
- FILLER_52_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 152320 ) N ;
- FILLER_52_93 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 48300 152320 ) N ;
- FILLER_52_98 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50600 152320 ) N ;
- FILLER_53_102 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 52440 155040 ) FS ;
- FILLER_53_114 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57960 155040 ) FS ;
- FILLER_53_126 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 63480 155040 ) FS ;
- FILLER_53_131 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 65780 155040 ) FS ;
- FILLER_53_143 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 71300 155040 ) FS ;
- FILLER_53_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 155040 ) FS ;
- FILLER_53_155 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 76820 155040 ) FS ;
- FILLER_53_167 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 82340 155040 ) FS ;
- FILLER_53_179 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 87860 155040 ) FS ;
- FILLER_53_191 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93380 155040 ) FS ;
- FILLER_53_196 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 95680 155040 ) FS ;
- FILLER_53_208 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101200 155040 ) FS ;
- FILLER_53_220 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 106720 155040 ) FS ;
- FILLER_53_232 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112240 155040 ) FS ;
- FILLER_53_244 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 117760 155040 ) FS ;
- FILLER_53_256 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 123280 155040 ) FS ;
- FILLER_53_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 155040 ) FS ;
- FILLER_53_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 155040 ) FS ;
- FILLER_53_273 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 131100 155040 ) FS ;
- FILLER_53_285 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 136620 155040 ) FS ;
- FILLER_53_297 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 142140 155040 ) FS ;
- FILLER_53_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 155040 ) FS ;
- FILLER_53_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 155040 ) FS ;
- FILLER_53_321 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 153180 155040 ) FS ;
- FILLER_53_326 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 155480 155040 ) FS ;
- FILLER_53_338 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 161000 155040 ) FS ;
- FILLER_53_350 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166520 155040 ) FS ;
- FILLER_53_362 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 172040 155040 ) FS ;
- FILLER_53_374 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177560 155040 ) FS ;
- FILLER_53_386 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 183080 155040 ) FS ;
- FILLER_53_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 155040 ) FS ;
- FILLER_53_391 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 185380 155040 ) FS ;
- FILLER_53_403 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 190900 155040 ) FS ;
- FILLER_53_415 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 196420 155040 ) FS ;
- FILLER_53_427 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 201940 155040 ) FS ;
- FILLER_53_439 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 207460 155040 ) FS ;
- FILLER_53_451 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 212980 155040 ) FS ;
- FILLER_53_456 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215280 155040 ) FS ;
- FILLER_53_468 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 220800 155040 ) FS ;
- FILLER_53_480 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 226320 155040 ) FS ;
- FILLER_53_492 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 231840 155040 ) FS ;
- FILLER_53_504 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237360 155040 ) FS ;
- FILLER_53_51 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28980 155040 ) FS ;
- FILLER_53_516 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 242880 155040 ) FS ;
- FILLER_53_521 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 245180 155040 ) FS ;
- FILLER_53_533 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 155040 ) FS ;
- FILLER_53_545 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 155040 ) FS ;
- FILLER_53_557 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 155040 ) FS ;
- FILLER_53_569 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 155040 ) FS ;
- FILLER_53_581 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 272780 155040 ) FS ;
- FILLER_53_586 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 275080 155040 ) FS ;
- FILLER_53_598 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280600 155040 ) FS ;
- FILLER_53_610 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 286120 155040 ) FS ;
- FILLER_53_622 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 291640 155040 ) FS ;
- FILLER_53_63 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 34500 155040 ) FS ;
- FILLER_53_66 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35880 155040 ) FS ;
- FILLER_53_78 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 41400 155040 ) FS ;
- FILLER_53_90 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46920 155040 ) FS ;
- FILLER_54_110 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 56120 157760 ) N ;
- FILLER_54_122 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61640 157760 ) N ;
- FILLER_54_134 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 67160 157760 ) N ;
- FILLER_54_146 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 72680 157760 ) N ;
- FILLER_54_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 157760 ) N ;
- FILLER_54_158 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 78200 157760 ) N ;
- FILLER_54_163 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 80500 157760 ) N ;
- FILLER_54_175 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86020 157760 ) N ;
- FILLER_54_187 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 91540 157760 ) N ;
- FILLER_54_199 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 97060 157760 ) N ;
- FILLER_54_211 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 102580 157760 ) N ;
- FILLER_54_223 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 108100 157760 ) N ;
- FILLER_54_228 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 110400 157760 ) N ;
- FILLER_54_240 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115920 157760 ) N ;
- FILLER_54_252 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121440 157760 ) N ;
- FILLER_54_264 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 126960 157760 ) N ;
- FILLER_54_27 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 17940 157760 ) N ;
- FILLER_54_276 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132480 157760 ) N ;
- FILLER_54_288 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138000 157760 ) N ;
- FILLER_54_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 157760 ) N ;
- FILLER_54_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 157760 ) N ;
- FILLER_54_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 157760 ) N ;
- FILLER_54_31 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 19780 157760 ) N ;
- FILLER_54_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 157760 ) N ;
- FILLER_54_329 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 156860 157760 ) N ;
- FILLER_54_33 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20700 157760 ) N ;
- FILLER_54_341 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 162380 157760 ) N ;
- FILLER_54_353 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 167900 157760 ) N ;
- FILLER_54_358 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 170200 157760 ) N ;
- FILLER_54_370 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 175720 157760 ) N ;
- FILLER_54_382 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 181240 157760 ) N ;
- FILLER_54_394 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186760 157760 ) N ;
- FILLER_54_406 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 192280 157760 ) N ;
- FILLER_54_418 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 197800 157760 ) N ;
- FILLER_54_423 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 200100 157760 ) N ;
- FILLER_54_435 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 205620 157760 ) N ;
- FILLER_54_447 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 211140 157760 ) N ;
- FILLER_54_45 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 26220 157760 ) N ;
- FILLER_54_459 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 216660 157760 ) N ;
- FILLER_54_471 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 222180 157760 ) N ;
- FILLER_54_483 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 227700 157760 ) N ;
- FILLER_54_488 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230000 157760 ) N ;
- FILLER_54_500 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235520 157760 ) N ;
- FILLER_54_512 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241040 157760 ) N ;
- FILLER_54_524 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 246560 157760 ) N ;
- FILLER_54_536 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 252080 157760 ) N ;
- FILLER_54_548 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 257600 157760 ) N ;
- FILLER_54_553 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 259900 157760 ) N ;
- FILLER_54_565 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 265420 157760 ) N ;
- FILLER_54_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 157760 ) N ;
- FILLER_54_577 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 270940 157760 ) N ;
- FILLER_54_589 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 157760 ) N ;
- FILLER_54_601 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 157760 ) N ;
- FILLER_54_613 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 287500 157760 ) N ;
- FILLER_54_618 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 289800 157760 ) N ;
- FILLER_54_624 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 292560 157760 ) N ;
- FILLER_54_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 157760 ) N ;
- FILLER_54_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 157760 ) N ;
- FILLER_54_93 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 48300 157760 ) N ;
- FILLER_54_98 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50600 157760 ) N ;
- FILLER_55_102 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 52440 160480 ) FS ;
- FILLER_55_114 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57960 160480 ) FS ;
- FILLER_55_126 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 63480 160480 ) FS ;
- FILLER_55_131 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 65780 160480 ) FS ;
- FILLER_55_143 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 71300 160480 ) FS ;
- FILLER_55_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 160480 ) FS ;
- FILLER_55_155 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 76820 160480 ) FS ;
- FILLER_55_167 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 82340 160480 ) FS ;
- FILLER_55_179 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 87860 160480 ) FS ;
- FILLER_55_191 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93380 160480 ) FS ;
- FILLER_55_196 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 95680 160480 ) FS ;
- FILLER_55_208 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101200 160480 ) FS ;
- FILLER_55_220 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 106720 160480 ) FS ;
- FILLER_55_232 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112240 160480 ) FS ;
- FILLER_55_244 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 117760 160480 ) FS ;
- FILLER_55_256 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 123280 160480 ) FS ;
- FILLER_55_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 160480 ) FS ;
- FILLER_55_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 160480 ) FS ;
- FILLER_55_273 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 131100 160480 ) FS ;
- FILLER_55_285 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 136620 160480 ) FS ;
- FILLER_55_297 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 142140 160480 ) FS ;
- FILLER_55_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 160480 ) FS ;
- FILLER_55_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 160480 ) FS ;
- FILLER_55_321 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 153180 160480 ) FS ;
- FILLER_55_326 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 155480 160480 ) FS ;
- FILLER_55_338 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 161000 160480 ) FS ;
- FILLER_55_350 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166520 160480 ) FS ;
- FILLER_55_362 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 172040 160480 ) FS ;
- FILLER_55_374 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177560 160480 ) FS ;
- FILLER_55_386 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 183080 160480 ) FS ;
- FILLER_55_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 160480 ) FS ;
- FILLER_55_391 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 185380 160480 ) FS ;
- FILLER_55_403 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 190900 160480 ) FS ;
- FILLER_55_415 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 196420 160480 ) FS ;
- FILLER_55_427 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 201940 160480 ) FS ;
- FILLER_55_439 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 207460 160480 ) FS ;
- FILLER_55_451 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 212980 160480 ) FS ;
- FILLER_55_456 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215280 160480 ) FS ;
- FILLER_55_468 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 220800 160480 ) FS ;
- FILLER_55_480 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 226320 160480 ) FS ;
- FILLER_55_492 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 231840 160480 ) FS ;
- FILLER_55_504 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237360 160480 ) FS ;
- FILLER_55_51 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28980 160480 ) FS ;
- FILLER_55_516 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 242880 160480 ) FS ;
- FILLER_55_521 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 245180 160480 ) FS ;
- FILLER_55_533 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 160480 ) FS ;
- FILLER_55_545 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 160480 ) FS ;
- FILLER_55_557 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 160480 ) FS ;
- FILLER_55_569 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 160480 ) FS ;
- FILLER_55_581 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 272780 160480 ) FS ;
- FILLER_55_586 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 275080 160480 ) FS ;
- FILLER_55_598 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280600 160480 ) FS ;
- FILLER_55_610 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 286120 160480 ) FS ;
- FILLER_55_622 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 291640 160480 ) FS ;
- FILLER_55_63 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 34500 160480 ) FS ;
- FILLER_55_66 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35880 160480 ) FS ;
- FILLER_55_78 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 41400 160480 ) FS ;
- FILLER_55_90 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46920 160480 ) FS ;
- FILLER_56_110 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 56120 163200 ) N ;
- FILLER_56_122 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61640 163200 ) N ;
- FILLER_56_134 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 67160 163200 ) N ;
- FILLER_56_146 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 72680 163200 ) N ;
- FILLER_56_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 163200 ) N ;
- FILLER_56_158 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 78200 163200 ) N ;
- FILLER_56_163 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 80500 163200 ) N ;
- FILLER_56_175 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86020 163200 ) N ;
- FILLER_56_187 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 91540 163200 ) N ;
- FILLER_56_199 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 97060 163200 ) N ;
- FILLER_56_211 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 102580 163200 ) N ;
- FILLER_56_223 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 108100 163200 ) N ;
- FILLER_56_228 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 110400 163200 ) N ;
- FILLER_56_240 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115920 163200 ) N ;
- FILLER_56_252 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121440 163200 ) N ;
- FILLER_56_264 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 126960 163200 ) N ;
- FILLER_56_27 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 17940 163200 ) N ;
- FILLER_56_276 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132480 163200 ) N ;
- FILLER_56_288 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138000 163200 ) N ;
- FILLER_56_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 163200 ) N ;
- FILLER_56_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 163200 ) N ;
- FILLER_56_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 163200 ) N ;
- FILLER_56_31 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 19780 163200 ) N ;
- FILLER_56_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 163200 ) N ;
- FILLER_56_329 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 156860 163200 ) N ;
- FILLER_56_33 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20700 163200 ) N ;
- FILLER_56_341 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 162380 163200 ) N ;
- FILLER_56_353 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 167900 163200 ) N ;
- FILLER_56_358 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 170200 163200 ) N ;
- FILLER_56_370 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 175720 163200 ) N ;
- FILLER_56_382 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 181240 163200 ) N ;
- FILLER_56_394 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186760 163200 ) N ;
- FILLER_56_406 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 192280 163200 ) N ;
- FILLER_56_418 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 197800 163200 ) N ;
- FILLER_56_423 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 200100 163200 ) N ;
- FILLER_56_435 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 205620 163200 ) N ;
- FILLER_56_447 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 211140 163200 ) N ;
- FILLER_56_45 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 26220 163200 ) N ;
- FILLER_56_459 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 216660 163200 ) N ;
- FILLER_56_471 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 222180 163200 ) N ;
- FILLER_56_483 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 227700 163200 ) N ;
- FILLER_56_488 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230000 163200 ) N ;
- FILLER_56_500 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235520 163200 ) N ;
- FILLER_56_512 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241040 163200 ) N ;
- FILLER_56_524 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 246560 163200 ) N ;
- FILLER_56_536 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 252080 163200 ) N ;
- FILLER_56_548 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 257600 163200 ) N ;
- FILLER_56_553 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 259900 163200 ) N ;
- FILLER_56_565 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 265420 163200 ) N ;
- FILLER_56_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 163200 ) N ;
- FILLER_56_577 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 270940 163200 ) N ;
- FILLER_56_589 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 163200 ) N ;
- FILLER_56_601 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 163200 ) N ;
- FILLER_56_613 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 287500 163200 ) N ;
- FILLER_56_618 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 289800 163200 ) N ;
- FILLER_56_624 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 292560 163200 ) N ;
- FILLER_56_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 163200 ) N ;
- FILLER_56_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 163200 ) N ;
- FILLER_56_93 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 48300 163200 ) N ;
- FILLER_56_98 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50600 163200 ) N ;
- FILLER_57_102 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 52440 165920 ) FS ;
- FILLER_57_114 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57960 165920 ) FS ;
- FILLER_57_126 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 63480 165920 ) FS ;
- FILLER_57_131 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 65780 165920 ) FS ;
- FILLER_57_143 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 71300 165920 ) FS ;
- FILLER_57_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 165920 ) FS ;
- FILLER_57_155 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 76820 165920 ) FS ;
- FILLER_57_167 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 82340 165920 ) FS ;
- FILLER_57_179 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 87860 165920 ) FS ;
- FILLER_57_191 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93380 165920 ) FS ;
- FILLER_57_196 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 95680 165920 ) FS ;
- FILLER_57_208 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101200 165920 ) FS ;
- FILLER_57_220 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 106720 165920 ) FS ;
- FILLER_57_232 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112240 165920 ) FS ;
- FILLER_57_244 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 117760 165920 ) FS ;
- FILLER_57_256 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 123280 165920 ) FS ;
- FILLER_57_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 165920 ) FS ;
- FILLER_57_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 165920 ) FS ;
- FILLER_57_273 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 131100 165920 ) FS ;
- FILLER_57_285 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 136620 165920 ) FS ;
- FILLER_57_297 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 142140 165920 ) FS ;
- FILLER_57_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 165920 ) FS ;
- FILLER_57_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 165920 ) FS ;
- FILLER_57_321 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 153180 165920 ) FS ;
- FILLER_57_326 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 155480 165920 ) FS ;
- FILLER_57_338 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 161000 165920 ) FS ;
- FILLER_57_350 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166520 165920 ) FS ;
- FILLER_57_362 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 172040 165920 ) FS ;
- FILLER_57_374 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177560 165920 ) FS ;
- FILLER_57_386 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 183080 165920 ) FS ;
- FILLER_57_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 165920 ) FS ;
- FILLER_57_391 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 185380 165920 ) FS ;
- FILLER_57_403 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 190900 165920 ) FS ;
- FILLER_57_415 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 196420 165920 ) FS ;
- FILLER_57_427 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 201940 165920 ) FS ;
- FILLER_57_439 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 207460 165920 ) FS ;
- FILLER_57_451 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 212980 165920 ) FS ;
- FILLER_57_456 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215280 165920 ) FS ;
- FILLER_57_468 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 220800 165920 ) FS ;
- FILLER_57_480 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 226320 165920 ) FS ;
- FILLER_57_492 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 231840 165920 ) FS ;
- FILLER_57_504 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237360 165920 ) FS ;
- FILLER_57_51 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28980 165920 ) FS ;
- FILLER_57_516 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 242880 165920 ) FS ;
- FILLER_57_521 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 245180 165920 ) FS ;
- FILLER_57_533 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 165920 ) FS ;
- FILLER_57_545 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 165920 ) FS ;
- FILLER_57_557 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 165920 ) FS ;
- FILLER_57_569 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 165920 ) FS ;
- FILLER_57_581 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 272780 165920 ) FS ;
- FILLER_57_586 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 275080 165920 ) FS ;
- FILLER_57_598 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280600 165920 ) FS ;
- FILLER_57_610 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 286120 165920 ) FS ;
- FILLER_57_622 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 291640 165920 ) FS ;
- FILLER_57_63 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 34500 165920 ) FS ;
- FILLER_57_66 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35880 165920 ) FS ;
- FILLER_57_78 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 41400 165920 ) FS ;
- FILLER_57_90 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46920 165920 ) FS ;
- FILLER_58_110 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 56120 168640 ) N ;
- FILLER_58_122 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61640 168640 ) N ;
- FILLER_58_134 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 67160 168640 ) N ;
- FILLER_58_146 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 72680 168640 ) N ;
- FILLER_58_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 168640 ) N ;
- FILLER_58_158 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 78200 168640 ) N ;
- FILLER_58_163 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 80500 168640 ) N ;
- FILLER_58_175 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86020 168640 ) N ;
- FILLER_58_187 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 91540 168640 ) N ;
- FILLER_58_199 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 97060 168640 ) N ;
- FILLER_58_211 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 102580 168640 ) N ;
- FILLER_58_223 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 108100 168640 ) N ;
- FILLER_58_228 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 110400 168640 ) N ;
- FILLER_58_240 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115920 168640 ) N ;
- FILLER_58_252 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121440 168640 ) N ;
- FILLER_58_264 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 126960 168640 ) N ;
- FILLER_58_27 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 17940 168640 ) N ;
- FILLER_58_276 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132480 168640 ) N ;
- FILLER_58_288 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138000 168640 ) N ;
- FILLER_58_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 168640 ) N ;
- FILLER_58_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 168640 ) N ;
- FILLER_58_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 168640 ) N ;
- FILLER_58_31 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 19780 168640 ) N ;
- FILLER_58_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 168640 ) N ;
- FILLER_58_329 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 156860 168640 ) N ;
- FILLER_58_33 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20700 168640 ) N ;
- FILLER_58_341 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 162380 168640 ) N ;
- FILLER_58_353 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 167900 168640 ) N ;
- FILLER_58_358 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 170200 168640 ) N ;
- FILLER_58_370 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 175720 168640 ) N ;
- FILLER_58_382 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 181240 168640 ) N ;
- FILLER_58_394 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186760 168640 ) N ;
- FILLER_58_406 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 192280 168640 ) N ;
- FILLER_58_418 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 197800 168640 ) N ;
- FILLER_58_423 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 200100 168640 ) N ;
- FILLER_58_435 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 205620 168640 ) N ;
- FILLER_58_447 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 211140 168640 ) N ;
- FILLER_58_45 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 26220 168640 ) N ;
- FILLER_58_459 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 216660 168640 ) N ;
- FILLER_58_471 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 222180 168640 ) N ;
- FILLER_58_483 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 227700 168640 ) N ;
- FILLER_58_488 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230000 168640 ) N ;
- FILLER_58_500 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235520 168640 ) N ;
- FILLER_58_512 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241040 168640 ) N ;
- FILLER_58_524 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 246560 168640 ) N ;
- FILLER_58_536 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 252080 168640 ) N ;
- FILLER_58_548 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 257600 168640 ) N ;
- FILLER_58_553 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 259900 168640 ) N ;
- FILLER_58_565 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 265420 168640 ) N ;
- FILLER_58_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 168640 ) N ;
- FILLER_58_577 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 270940 168640 ) N ;
- FILLER_58_589 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 168640 ) N ;
- FILLER_58_601 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 168640 ) N ;
- FILLER_58_613 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 287500 168640 ) N ;
- FILLER_58_618 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 289800 168640 ) N ;
- FILLER_58_624 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 292560 168640 ) N ;
- FILLER_58_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 168640 ) N ;
- FILLER_58_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 168640 ) N ;
- FILLER_58_93 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 48300 168640 ) N ;
- FILLER_58_98 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50600 168640 ) N ;
- FILLER_59_102 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 52440 171360 ) FS ;
- FILLER_59_114 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57960 171360 ) FS ;
- FILLER_59_126 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 63480 171360 ) FS ;
- FILLER_59_131 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 65780 171360 ) FS ;
- FILLER_59_143 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 71300 171360 ) FS ;
- FILLER_59_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 171360 ) FS ;
- FILLER_59_155 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 76820 171360 ) FS ;
- FILLER_59_167 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 82340 171360 ) FS ;
- FILLER_59_179 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 87860 171360 ) FS ;
- FILLER_59_191 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93380 171360 ) FS ;
- FILLER_59_196 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 95680 171360 ) FS ;
- FILLER_59_208 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101200 171360 ) FS ;
- FILLER_59_220 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 106720 171360 ) FS ;
- FILLER_59_232 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112240 171360 ) FS ;
- FILLER_59_244 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 117760 171360 ) FS ;
- FILLER_59_256 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 123280 171360 ) FS ;
- FILLER_59_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 171360 ) FS ;
- FILLER_59_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 171360 ) FS ;
- FILLER_59_273 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 131100 171360 ) FS ;
- FILLER_59_285 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 136620 171360 ) FS ;
- FILLER_59_297 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 142140 171360 ) FS ;
- FILLER_59_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 171360 ) FS ;
- FILLER_59_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 171360 ) FS ;
- FILLER_59_321 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 153180 171360 ) FS ;
- FILLER_59_326 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 155480 171360 ) FS ;
- FILLER_59_338 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 161000 171360 ) FS ;
- FILLER_59_350 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166520 171360 ) FS ;
- FILLER_59_362 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 172040 171360 ) FS ;
- FILLER_59_374 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177560 171360 ) FS ;
- FILLER_59_386 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 183080 171360 ) FS ;
- FILLER_59_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 171360 ) FS ;
- FILLER_59_391 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 185380 171360 ) FS ;
- FILLER_59_403 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 190900 171360 ) FS ;
- FILLER_59_415 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 196420 171360 ) FS ;
- FILLER_59_427 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 201940 171360 ) FS ;
- FILLER_59_439 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 207460 171360 ) FS ;
- FILLER_59_451 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 212980 171360 ) FS ;
- FILLER_59_456 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215280 171360 ) FS ;
- FILLER_59_468 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 220800 171360 ) FS ;
- FILLER_59_480 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 226320 171360 ) FS ;
- FILLER_59_492 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 231840 171360 ) FS ;
- FILLER_59_504 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237360 171360 ) FS ;
- FILLER_59_51 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28980 171360 ) FS ;
- FILLER_59_516 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 242880 171360 ) FS ;
- FILLER_59_521 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 245180 171360 ) FS ;
- FILLER_59_533 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 171360 ) FS ;
- FILLER_59_545 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 171360 ) FS ;
- FILLER_59_557 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 171360 ) FS ;
- FILLER_59_569 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 171360 ) FS ;
- FILLER_59_581 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 272780 171360 ) FS ;
- FILLER_59_586 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 275080 171360 ) FS ;
- FILLER_59_598 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280600 171360 ) FS ;
- FILLER_59_610 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 286120 171360 ) FS ;
- FILLER_59_622 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 291640 171360 ) FS ;
- FILLER_59_63 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 34500 171360 ) FS ;
- FILLER_59_66 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35880 171360 ) FS ;
- FILLER_59_78 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 41400 171360 ) FS ;
- FILLER_59_90 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46920 171360 ) FS ;
- FILLER_5_102 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 52440 24480 ) FS ;
- FILLER_5_114 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57960 24480 ) FS ;
- FILLER_5_126 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 63480 24480 ) FS ;
- FILLER_5_131 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 65780 24480 ) FS ;
- FILLER_5_143 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 71300 24480 ) FS ;
- FILLER_5_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 24480 ) FS ;
- FILLER_5_155 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 76820 24480 ) FS ;
- FILLER_5_167 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 82340 24480 ) FS ;
- FILLER_5_179 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 87860 24480 ) FS ;
- FILLER_5_191 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93380 24480 ) FS ;
- FILLER_5_196 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 95680 24480 ) FS ;
- FILLER_5_208 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101200 24480 ) FS ;
- FILLER_5_220 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 106720 24480 ) FS ;
- FILLER_5_232 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 112240 24480 ) FS ;
- FILLER_5_243 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 117300 24480 ) FS ;
- FILLER_5_247 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 119140 24480 ) FS ;
- FILLER_5_251 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 120980 24480 ) FS ;
- FILLER_5_258 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 124200 24480 ) FS ;
- FILLER_5_261 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 125580 24480 ) FS ;
- FILLER_5_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 24480 ) FS ;
- FILLER_5_284 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 136160 24480 ) FS ;
- FILLER_5_291 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 139380 24480 ) FS ;
- FILLER_5_298 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 142600 24480 ) FS ;
- FILLER_5_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 24480 ) FS ;
- FILLER_5_323 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 154100 24480 ) FS ;
- FILLER_5_326 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 155480 24480 ) FS ;
- FILLER_5_349 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 166060 24480 ) FS ;
- FILLER_5_374 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 177560 24480 ) FS ;
- FILLER_5_381 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 180780 24480 ) FS ;
- FILLER_5_389 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 184460 24480 ) FS ;
- FILLER_5_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 24480 ) FS ;
- FILLER_5_391 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 185380 24480 ) FS ;
- FILLER_5_403 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 190900 24480 ) FS ;
- FILLER_5_415 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 196420 24480 ) FS ;
- FILLER_5_427 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 201940 24480 ) FS ;
- FILLER_5_439 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 207460 24480 ) FS ;
- FILLER_5_451 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 212980 24480 ) FS ;
- FILLER_5_456 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215280 24480 ) FS ;
- FILLER_5_468 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 220800 24480 ) FS ;
- FILLER_5_480 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 226320 24480 ) FS ;
- FILLER_5_492 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 231840 24480 ) FS ;
- FILLER_5_504 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237360 24480 ) FS ;
- FILLER_5_51 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28980 24480 ) FS ;
- FILLER_5_516 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 242880 24480 ) FS ;
- FILLER_5_521 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 245180 24480 ) FS ;
- FILLER_5_533 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 24480 ) FS ;
- FILLER_5_545 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 24480 ) FS ;
- FILLER_5_557 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 24480 ) FS ;
- FILLER_5_569 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 24480 ) FS ;
- FILLER_5_581 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 272780 24480 ) FS ;
- FILLER_5_586 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 275080 24480 ) FS ;
- FILLER_5_598 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280600 24480 ) FS ;
- FILLER_5_610 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 286120 24480 ) FS ;
- FILLER_5_622 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 291640 24480 ) FS ;
- FILLER_5_63 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 34500 24480 ) FS ;
- FILLER_5_66 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35880 24480 ) FS ;
- FILLER_5_78 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 41400 24480 ) FS ;
- FILLER_5_90 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46920 24480 ) FS ;
- FILLER_60_110 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 56120 174080 ) N ;
- FILLER_60_122 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61640 174080 ) N ;
- FILLER_60_134 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 67160 174080 ) N ;
- FILLER_60_146 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 72680 174080 ) N ;
- FILLER_60_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 174080 ) N ;
- FILLER_60_158 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 78200 174080 ) N ;
- FILLER_60_163 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 80500 174080 ) N ;
- FILLER_60_175 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86020 174080 ) N ;
- FILLER_60_187 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 91540 174080 ) N ;
- FILLER_60_199 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 97060 174080 ) N ;
- FILLER_60_211 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 102580 174080 ) N ;
- FILLER_60_223 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 108100 174080 ) N ;
- FILLER_60_228 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 110400 174080 ) N ;
- FILLER_60_240 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115920 174080 ) N ;
- FILLER_60_252 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121440 174080 ) N ;
- FILLER_60_264 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 126960 174080 ) N ;
- FILLER_60_27 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 17940 174080 ) N ;
- FILLER_60_276 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132480 174080 ) N ;
- FILLER_60_288 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138000 174080 ) N ;
- FILLER_60_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 174080 ) N ;
- FILLER_60_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 174080 ) N ;
- FILLER_60_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 174080 ) N ;
- FILLER_60_31 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 19780 174080 ) N ;
- FILLER_60_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 174080 ) N ;
- FILLER_60_329 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 156860 174080 ) N ;
- FILLER_60_33 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20700 174080 ) N ;
- FILLER_60_341 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 162380 174080 ) N ;
- FILLER_60_353 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 167900 174080 ) N ;
- FILLER_60_358 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 170200 174080 ) N ;
- FILLER_60_370 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 175720 174080 ) N ;
- FILLER_60_382 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 181240 174080 ) N ;
- FILLER_60_394 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186760 174080 ) N ;
- FILLER_60_406 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 192280 174080 ) N ;
- FILLER_60_418 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 197800 174080 ) N ;
- FILLER_60_423 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 200100 174080 ) N ;
- FILLER_60_435 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 205620 174080 ) N ;
- FILLER_60_447 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 211140 174080 ) N ;
- FILLER_60_45 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 26220 174080 ) N ;
- FILLER_60_459 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 216660 174080 ) N ;
- FILLER_60_471 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 222180 174080 ) N ;
- FILLER_60_483 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 227700 174080 ) N ;
- FILLER_60_488 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230000 174080 ) N ;
- FILLER_60_500 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235520 174080 ) N ;
- FILLER_60_512 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241040 174080 ) N ;
- FILLER_60_524 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 246560 174080 ) N ;
- FILLER_60_536 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 252080 174080 ) N ;
- FILLER_60_548 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 257600 174080 ) N ;
- FILLER_60_553 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 259900 174080 ) N ;
- FILLER_60_565 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 265420 174080 ) N ;
- FILLER_60_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 174080 ) N ;
- FILLER_60_577 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 270940 174080 ) N ;
- FILLER_60_589 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 174080 ) N ;
- FILLER_60_601 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 174080 ) N ;
- FILLER_60_613 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 287500 174080 ) N ;
- FILLER_60_618 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 289800 174080 ) N ;
- FILLER_60_624 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 292560 174080 ) N ;
- FILLER_60_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 174080 ) N ;
- FILLER_60_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 174080 ) N ;
- FILLER_60_93 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 48300 174080 ) N ;
- FILLER_60_98 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50600 174080 ) N ;
- FILLER_61_102 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 52440 176800 ) FS ;
- FILLER_61_114 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57960 176800 ) FS ;
- FILLER_61_126 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 63480 176800 ) FS ;
- FILLER_61_131 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 65780 176800 ) FS ;
- FILLER_61_143 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 71300 176800 ) FS ;
- FILLER_61_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 176800 ) FS ;
- FILLER_61_155 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 76820 176800 ) FS ;
- FILLER_61_167 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 82340 176800 ) FS ;
- FILLER_61_179 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 87860 176800 ) FS ;
- FILLER_61_191 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93380 176800 ) FS ;
- FILLER_61_196 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 95680 176800 ) FS ;
- FILLER_61_208 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101200 176800 ) FS ;
- FILLER_61_220 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 106720 176800 ) FS ;
- FILLER_61_232 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112240 176800 ) FS ;
- FILLER_61_244 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 117760 176800 ) FS ;
- FILLER_61_256 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 123280 176800 ) FS ;
- FILLER_61_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 176800 ) FS ;
- FILLER_61_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 176800 ) FS ;
- FILLER_61_273 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 131100 176800 ) FS ;
- FILLER_61_285 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 136620 176800 ) FS ;
- FILLER_61_297 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 142140 176800 ) FS ;
- FILLER_61_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 176800 ) FS ;
- FILLER_61_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 176800 ) FS ;
- FILLER_61_321 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 153180 176800 ) FS ;
- FILLER_61_326 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 155480 176800 ) FS ;
- FILLER_61_338 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 161000 176800 ) FS ;
- FILLER_61_350 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166520 176800 ) FS ;
- FILLER_61_362 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 172040 176800 ) FS ;
- FILLER_61_374 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177560 176800 ) FS ;
- FILLER_61_386 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 183080 176800 ) FS ;
- FILLER_61_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 176800 ) FS ;
- FILLER_61_391 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 185380 176800 ) FS ;
- FILLER_61_403 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 190900 176800 ) FS ;
- FILLER_61_415 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 196420 176800 ) FS ;
- FILLER_61_427 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 201940 176800 ) FS ;
- FILLER_61_439 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 207460 176800 ) FS ;
- FILLER_61_451 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 212980 176800 ) FS ;
- FILLER_61_456 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215280 176800 ) FS ;
- FILLER_61_468 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 220800 176800 ) FS ;
- FILLER_61_480 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 226320 176800 ) FS ;
- FILLER_61_492 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 231840 176800 ) FS ;
- FILLER_61_504 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237360 176800 ) FS ;
- FILLER_61_51 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28980 176800 ) FS ;
- FILLER_61_516 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 242880 176800 ) FS ;
- FILLER_61_521 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 245180 176800 ) FS ;
- FILLER_61_533 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 176800 ) FS ;
- FILLER_61_545 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 176800 ) FS ;
- FILLER_61_557 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 176800 ) FS ;
- FILLER_61_569 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 176800 ) FS ;
- FILLER_61_581 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 272780 176800 ) FS ;
- FILLER_61_586 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 275080 176800 ) FS ;
- FILLER_61_598 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280600 176800 ) FS ;
- FILLER_61_610 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 286120 176800 ) FS ;
- FILLER_61_622 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 291640 176800 ) FS ;
- FILLER_61_63 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 34500 176800 ) FS ;
- FILLER_61_66 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35880 176800 ) FS ;
- FILLER_61_78 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 41400 176800 ) FS ;
- FILLER_61_90 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46920 176800 ) FS ;
- FILLER_62_110 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 56120 179520 ) N ;
- FILLER_62_122 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61640 179520 ) N ;
- FILLER_62_134 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 67160 179520 ) N ;
- FILLER_62_146 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 72680 179520 ) N ;
- FILLER_62_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 179520 ) N ;
- FILLER_62_158 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 78200 179520 ) N ;
- FILLER_62_163 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 80500 179520 ) N ;
- FILLER_62_175 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86020 179520 ) N ;
- FILLER_62_187 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 91540 179520 ) N ;
- FILLER_62_199 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 97060 179520 ) N ;
- FILLER_62_211 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 102580 179520 ) N ;
- FILLER_62_223 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 108100 179520 ) N ;
- FILLER_62_228 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 110400 179520 ) N ;
- FILLER_62_240 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115920 179520 ) N ;
- FILLER_62_252 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121440 179520 ) N ;
- FILLER_62_264 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 126960 179520 ) N ;
- FILLER_62_27 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 17940 179520 ) N ;
- FILLER_62_276 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132480 179520 ) N ;
- FILLER_62_288 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138000 179520 ) N ;
- FILLER_62_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 179520 ) N ;
- FILLER_62_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 179520 ) N ;
- FILLER_62_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 179520 ) N ;
- FILLER_62_31 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 19780 179520 ) N ;
- FILLER_62_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 179520 ) N ;
- FILLER_62_329 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 156860 179520 ) N ;
- FILLER_62_33 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20700 179520 ) N ;
- FILLER_62_341 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 162380 179520 ) N ;
- FILLER_62_353 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 167900 179520 ) N ;
- FILLER_62_358 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 170200 179520 ) N ;
- FILLER_62_370 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 175720 179520 ) N ;
- FILLER_62_382 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 181240 179520 ) N ;
- FILLER_62_394 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186760 179520 ) N ;
- FILLER_62_406 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 192280 179520 ) N ;
- FILLER_62_418 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 197800 179520 ) N ;
- FILLER_62_423 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 200100 179520 ) N ;
- FILLER_62_435 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 205620 179520 ) N ;
- FILLER_62_447 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 211140 179520 ) N ;
- FILLER_62_45 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 26220 179520 ) N ;
- FILLER_62_459 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 216660 179520 ) N ;
- FILLER_62_471 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 222180 179520 ) N ;
- FILLER_62_483 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 227700 179520 ) N ;
- FILLER_62_488 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230000 179520 ) N ;
- FILLER_62_500 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235520 179520 ) N ;
- FILLER_62_512 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241040 179520 ) N ;
- FILLER_62_524 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 246560 179520 ) N ;
- FILLER_62_536 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 252080 179520 ) N ;
- FILLER_62_548 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 257600 179520 ) N ;
- FILLER_62_553 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 259900 179520 ) N ;
- FILLER_62_565 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 265420 179520 ) N ;
- FILLER_62_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 179520 ) N ;
- FILLER_62_577 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 270940 179520 ) N ;
- FILLER_62_589 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 179520 ) N ;
- FILLER_62_601 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 179520 ) N ;
- FILLER_62_613 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 287500 179520 ) N ;
- FILLER_62_618 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 289800 179520 ) N ;
- FILLER_62_624 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 292560 179520 ) N ;
- FILLER_62_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 179520 ) N ;
- FILLER_62_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 179520 ) N ;
- FILLER_62_93 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 48300 179520 ) N ;
- FILLER_62_98 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50600 179520 ) N ;
- FILLER_63_102 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 52440 182240 ) FS ;
- FILLER_63_114 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57960 182240 ) FS ;
- FILLER_63_126 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 63480 182240 ) FS ;
- FILLER_63_131 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 65780 182240 ) FS ;
- FILLER_63_143 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 71300 182240 ) FS ;
- FILLER_63_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 182240 ) FS ;
- FILLER_63_155 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 76820 182240 ) FS ;
- FILLER_63_167 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 82340 182240 ) FS ;
- FILLER_63_179 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 87860 182240 ) FS ;
- FILLER_63_191 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93380 182240 ) FS ;
- FILLER_63_196 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 95680 182240 ) FS ;
- FILLER_63_208 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101200 182240 ) FS ;
- FILLER_63_220 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 106720 182240 ) FS ;
- FILLER_63_232 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112240 182240 ) FS ;
- FILLER_63_244 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 117760 182240 ) FS ;
- FILLER_63_256 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 123280 182240 ) FS ;
- FILLER_63_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 182240 ) FS ;
- FILLER_63_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 182240 ) FS ;
- FILLER_63_273 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 131100 182240 ) FS ;
- FILLER_63_285 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 136620 182240 ) FS ;
- FILLER_63_297 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 142140 182240 ) FS ;
- FILLER_63_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 182240 ) FS ;
- FILLER_63_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 182240 ) FS ;
- FILLER_63_321 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 153180 182240 ) FS ;
- FILLER_63_326 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 155480 182240 ) FS ;
- FILLER_63_338 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 161000 182240 ) FS ;
- FILLER_63_350 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166520 182240 ) FS ;
- FILLER_63_362 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 172040 182240 ) FS ;
- FILLER_63_374 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177560 182240 ) FS ;
- FILLER_63_386 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 183080 182240 ) FS ;
- FILLER_63_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 182240 ) FS ;
- FILLER_63_391 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 185380 182240 ) FS ;
- FILLER_63_403 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 190900 182240 ) FS ;
- FILLER_63_415 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 196420 182240 ) FS ;
- FILLER_63_427 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 201940 182240 ) FS ;
- FILLER_63_439 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 207460 182240 ) FS ;
- FILLER_63_451 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 212980 182240 ) FS ;
- FILLER_63_456 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215280 182240 ) FS ;
- FILLER_63_468 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 220800 182240 ) FS ;
- FILLER_63_480 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 226320 182240 ) FS ;
- FILLER_63_492 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 231840 182240 ) FS ;
- FILLER_63_504 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237360 182240 ) FS ;
- FILLER_63_51 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28980 182240 ) FS ;
- FILLER_63_516 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 242880 182240 ) FS ;
- FILLER_63_521 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 245180 182240 ) FS ;
- FILLER_63_533 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 182240 ) FS ;
- FILLER_63_545 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 182240 ) FS ;
- FILLER_63_557 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 182240 ) FS ;
- FILLER_63_569 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 182240 ) FS ;
- FILLER_63_581 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 272780 182240 ) FS ;
- FILLER_63_586 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 275080 182240 ) FS ;
- FILLER_63_598 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280600 182240 ) FS ;
- FILLER_63_610 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 286120 182240 ) FS ;
- FILLER_63_622 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 291640 182240 ) FS ;
- FILLER_63_63 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 34500 182240 ) FS ;
- FILLER_63_66 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35880 182240 ) FS ;
- FILLER_63_78 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 41400 182240 ) FS ;
- FILLER_63_90 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46920 182240 ) FS ;
- FILLER_64_110 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 56120 184960 ) N ;
- FILLER_64_122 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61640 184960 ) N ;
- FILLER_64_134 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 67160 184960 ) N ;
- FILLER_64_146 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 72680 184960 ) N ;
- FILLER_64_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 184960 ) N ;
- FILLER_64_158 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 78200 184960 ) N ;
- FILLER_64_163 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 80500 184960 ) N ;
- FILLER_64_175 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86020 184960 ) N ;
- FILLER_64_187 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 91540 184960 ) N ;
- FILLER_64_199 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 97060 184960 ) N ;
- FILLER_64_211 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 102580 184960 ) N ;
- FILLER_64_223 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 108100 184960 ) N ;
- FILLER_64_228 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 110400 184960 ) N ;
- FILLER_64_240 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115920 184960 ) N ;
- FILLER_64_252 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121440 184960 ) N ;
- FILLER_64_264 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 126960 184960 ) N ;
- FILLER_64_27 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 17940 184960 ) N ;
- FILLER_64_276 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132480 184960 ) N ;
- FILLER_64_288 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138000 184960 ) N ;
- FILLER_64_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 184960 ) N ;
- FILLER_64_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 184960 ) N ;
- FILLER_64_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 184960 ) N ;
- FILLER_64_31 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 19780 184960 ) N ;
- FILLER_64_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 184960 ) N ;
- FILLER_64_329 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 156860 184960 ) N ;
- FILLER_64_33 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20700 184960 ) N ;
- FILLER_64_341 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 162380 184960 ) N ;
- FILLER_64_353 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 167900 184960 ) N ;
- FILLER_64_358 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 170200 184960 ) N ;
- FILLER_64_370 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 175720 184960 ) N ;
- FILLER_64_382 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 181240 184960 ) N ;
- FILLER_64_394 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186760 184960 ) N ;
- FILLER_64_406 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 192280 184960 ) N ;
- FILLER_64_418 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 197800 184960 ) N ;
- FILLER_64_423 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 200100 184960 ) N ;
- FILLER_64_435 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 205620 184960 ) N ;
- FILLER_64_447 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 211140 184960 ) N ;
- FILLER_64_45 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 26220 184960 ) N ;
- FILLER_64_459 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 216660 184960 ) N ;
- FILLER_64_471 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 222180 184960 ) N ;
- FILLER_64_483 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 227700 184960 ) N ;
- FILLER_64_488 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230000 184960 ) N ;
- FILLER_64_500 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235520 184960 ) N ;
- FILLER_64_512 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241040 184960 ) N ;
- FILLER_64_524 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 246560 184960 ) N ;
- FILLER_64_536 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 252080 184960 ) N ;
- FILLER_64_548 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 257600 184960 ) N ;
- FILLER_64_553 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 259900 184960 ) N ;
- FILLER_64_565 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 265420 184960 ) N ;
- FILLER_64_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 184960 ) N ;
- FILLER_64_577 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 270940 184960 ) N ;
- FILLER_64_589 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 184960 ) N ;
- FILLER_64_601 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 184960 ) N ;
- FILLER_64_613 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 287500 184960 ) N ;
- FILLER_64_618 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 289800 184960 ) N ;
- FILLER_64_624 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 292560 184960 ) N ;
- FILLER_64_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 184960 ) N ;
- FILLER_64_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 184960 ) N ;
- FILLER_64_93 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 48300 184960 ) N ;
- FILLER_64_98 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50600 184960 ) N ;
- FILLER_65_102 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 52440 187680 ) FS ;
- FILLER_65_114 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57960 187680 ) FS ;
- FILLER_65_126 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 63480 187680 ) FS ;
- FILLER_65_131 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 65780 187680 ) FS ;
- FILLER_65_143 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 71300 187680 ) FS ;
- FILLER_65_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 187680 ) FS ;
- FILLER_65_155 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 76820 187680 ) FS ;
- FILLER_65_167 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 82340 187680 ) FS ;
- FILLER_65_179 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 87860 187680 ) FS ;
- FILLER_65_191 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93380 187680 ) FS ;
- FILLER_65_196 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 95680 187680 ) FS ;
- FILLER_65_208 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101200 187680 ) FS ;
- FILLER_65_220 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 106720 187680 ) FS ;
- FILLER_65_232 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112240 187680 ) FS ;
- FILLER_65_244 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 117760 187680 ) FS ;
- FILLER_65_256 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 123280 187680 ) FS ;
- FILLER_65_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 187680 ) FS ;
- FILLER_65_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 187680 ) FS ;
- FILLER_65_273 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 131100 187680 ) FS ;
- FILLER_65_285 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 136620 187680 ) FS ;
- FILLER_65_297 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 142140 187680 ) FS ;
- FILLER_65_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 187680 ) FS ;
- FILLER_65_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 187680 ) FS ;
- FILLER_65_321 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 153180 187680 ) FS ;
- FILLER_65_326 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 155480 187680 ) FS ;
- FILLER_65_338 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 161000 187680 ) FS ;
- FILLER_65_350 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166520 187680 ) FS ;
- FILLER_65_362 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 172040 187680 ) FS ;
- FILLER_65_374 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177560 187680 ) FS ;
- FILLER_65_386 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 183080 187680 ) FS ;
- FILLER_65_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 187680 ) FS ;
- FILLER_65_391 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 185380 187680 ) FS ;
- FILLER_65_403 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 190900 187680 ) FS ;
- FILLER_65_415 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 196420 187680 ) FS ;
- FILLER_65_427 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 201940 187680 ) FS ;
- FILLER_65_439 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 207460 187680 ) FS ;
- FILLER_65_451 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 212980 187680 ) FS ;
- FILLER_65_456 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215280 187680 ) FS ;
- FILLER_65_468 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 220800 187680 ) FS ;
- FILLER_65_480 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 226320 187680 ) FS ;
- FILLER_65_492 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 231840 187680 ) FS ;
- FILLER_65_504 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237360 187680 ) FS ;
- FILLER_65_51 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28980 187680 ) FS ;
- FILLER_65_516 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 242880 187680 ) FS ;
- FILLER_65_521 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 245180 187680 ) FS ;
- FILLER_65_533 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 187680 ) FS ;
- FILLER_65_545 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 187680 ) FS ;
- FILLER_65_557 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 187680 ) FS ;
- FILLER_65_569 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 187680 ) FS ;
- FILLER_65_581 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 272780 187680 ) FS ;
- FILLER_65_586 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 275080 187680 ) FS ;
- FILLER_65_598 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280600 187680 ) FS ;
- FILLER_65_610 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 286120 187680 ) FS ;
- FILLER_65_622 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 291640 187680 ) FS ;
- FILLER_65_63 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 34500 187680 ) FS ;
- FILLER_65_66 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35880 187680 ) FS ;
- FILLER_65_78 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 41400 187680 ) FS ;
- FILLER_65_90 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46920 187680 ) FS ;
- FILLER_66_110 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 56120 190400 ) N ;
- FILLER_66_122 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61640 190400 ) N ;
- FILLER_66_134 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 67160 190400 ) N ;
- FILLER_66_146 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 72680 190400 ) N ;
- FILLER_66_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 190400 ) N ;
- FILLER_66_158 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 78200 190400 ) N ;
- FILLER_66_163 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 80500 190400 ) N ;
- FILLER_66_175 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86020 190400 ) N ;
- FILLER_66_187 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 91540 190400 ) N ;
- FILLER_66_199 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 97060 190400 ) N ;
- FILLER_66_211 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 102580 190400 ) N ;
- FILLER_66_223 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 108100 190400 ) N ;
- FILLER_66_228 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 110400 190400 ) N ;
- FILLER_66_240 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115920 190400 ) N ;
- FILLER_66_252 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121440 190400 ) N ;
- FILLER_66_264 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 126960 190400 ) N ;
- FILLER_66_27 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 17940 190400 ) N ;
- FILLER_66_276 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132480 190400 ) N ;
- FILLER_66_288 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138000 190400 ) N ;
- FILLER_66_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 190400 ) N ;
- FILLER_66_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 190400 ) N ;
- FILLER_66_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 190400 ) N ;
- FILLER_66_31 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 19780 190400 ) N ;
- FILLER_66_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 190400 ) N ;
- FILLER_66_329 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 156860 190400 ) N ;
- FILLER_66_33 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20700 190400 ) N ;
- FILLER_66_341 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 162380 190400 ) N ;
- FILLER_66_353 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 167900 190400 ) N ;
- FILLER_66_358 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 170200 190400 ) N ;
- FILLER_66_370 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 175720 190400 ) N ;
- FILLER_66_382 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 181240 190400 ) N ;
- FILLER_66_394 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186760 190400 ) N ;
- FILLER_66_406 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 192280 190400 ) N ;
- FILLER_66_418 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 197800 190400 ) N ;
- FILLER_66_423 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 200100 190400 ) N ;
- FILLER_66_435 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 205620 190400 ) N ;
- FILLER_66_447 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 211140 190400 ) N ;
- FILLER_66_45 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 26220 190400 ) N ;
- FILLER_66_459 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 216660 190400 ) N ;
- FILLER_66_471 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 222180 190400 ) N ;
- FILLER_66_483 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 227700 190400 ) N ;
- FILLER_66_488 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230000 190400 ) N ;
- FILLER_66_500 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235520 190400 ) N ;
- FILLER_66_512 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241040 190400 ) N ;
- FILLER_66_524 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 246560 190400 ) N ;
- FILLER_66_536 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 252080 190400 ) N ;
- FILLER_66_548 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 257600 190400 ) N ;
- FILLER_66_553 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 259900 190400 ) N ;
- FILLER_66_565 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 265420 190400 ) N ;
- FILLER_66_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 190400 ) N ;
- FILLER_66_577 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 270940 190400 ) N ;
- FILLER_66_589 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 190400 ) N ;
- FILLER_66_601 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 190400 ) N ;
- FILLER_66_613 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 287500 190400 ) N ;
- FILLER_66_618 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 289800 190400 ) N ;
- FILLER_66_624 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 292560 190400 ) N ;
- FILLER_66_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 190400 ) N ;
- FILLER_66_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 190400 ) N ;
- FILLER_66_93 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 48300 190400 ) N ;
- FILLER_66_98 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50600 190400 ) N ;
- FILLER_67_102 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 52440 193120 ) FS ;
- FILLER_67_114 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57960 193120 ) FS ;
- FILLER_67_126 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 63480 193120 ) FS ;
- FILLER_67_131 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 65780 193120 ) FS ;
- FILLER_67_143 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 71300 193120 ) FS ;
- FILLER_67_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 193120 ) FS ;
- FILLER_67_155 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 76820 193120 ) FS ;
- FILLER_67_167 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 82340 193120 ) FS ;
- FILLER_67_179 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 87860 193120 ) FS ;
- FILLER_67_191 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93380 193120 ) FS ;
- FILLER_67_196 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 95680 193120 ) FS ;
- FILLER_67_208 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101200 193120 ) FS ;
- FILLER_67_220 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 106720 193120 ) FS ;
- FILLER_67_232 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112240 193120 ) FS ;
- FILLER_67_244 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 117760 193120 ) FS ;
- FILLER_67_256 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 123280 193120 ) FS ;
- FILLER_67_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 193120 ) FS ;
- FILLER_67_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 193120 ) FS ;
- FILLER_67_273 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 131100 193120 ) FS ;
- FILLER_67_285 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 136620 193120 ) FS ;
- FILLER_67_297 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 142140 193120 ) FS ;
- FILLER_67_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 193120 ) FS ;
- FILLER_67_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 193120 ) FS ;
- FILLER_67_321 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 153180 193120 ) FS ;
- FILLER_67_326 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 155480 193120 ) FS ;
- FILLER_67_338 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 161000 193120 ) FS ;
- FILLER_67_350 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166520 193120 ) FS ;
- FILLER_67_362 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 172040 193120 ) FS ;
- FILLER_67_374 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177560 193120 ) FS ;
- FILLER_67_386 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 183080 193120 ) FS ;
- FILLER_67_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 193120 ) FS ;
- FILLER_67_391 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 185380 193120 ) FS ;
- FILLER_67_403 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 190900 193120 ) FS ;
- FILLER_67_415 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 196420 193120 ) FS ;
- FILLER_67_427 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 201940 193120 ) FS ;
- FILLER_67_439 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 207460 193120 ) FS ;
- FILLER_67_451 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 212980 193120 ) FS ;
- FILLER_67_456 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215280 193120 ) FS ;
- FILLER_67_468 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 220800 193120 ) FS ;
- FILLER_67_480 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 226320 193120 ) FS ;
- FILLER_67_492 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 231840 193120 ) FS ;
- FILLER_67_504 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237360 193120 ) FS ;
- FILLER_67_51 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28980 193120 ) FS ;
- FILLER_67_516 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 242880 193120 ) FS ;
- FILLER_67_521 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 245180 193120 ) FS ;
- FILLER_67_533 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 193120 ) FS ;
- FILLER_67_545 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 193120 ) FS ;
- FILLER_67_557 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 193120 ) FS ;
- FILLER_67_569 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 193120 ) FS ;
- FILLER_67_581 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 272780 193120 ) FS ;
- FILLER_67_586 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 275080 193120 ) FS ;
- FILLER_67_598 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280600 193120 ) FS ;
- FILLER_67_610 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 286120 193120 ) FS ;
- FILLER_67_622 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 291640 193120 ) FS ;
- FILLER_67_63 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 34500 193120 ) FS ;
- FILLER_67_66 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35880 193120 ) FS ;
- FILLER_67_78 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 41400 193120 ) FS ;
- FILLER_67_90 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46920 193120 ) FS ;
- FILLER_68_110 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 56120 195840 ) N ;
- FILLER_68_122 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61640 195840 ) N ;
- FILLER_68_134 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 67160 195840 ) N ;
- FILLER_68_146 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 72680 195840 ) N ;
- FILLER_68_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 195840 ) N ;
- FILLER_68_158 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 78200 195840 ) N ;
- FILLER_68_163 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 80500 195840 ) N ;
- FILLER_68_175 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86020 195840 ) N ;
- FILLER_68_187 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 91540 195840 ) N ;
- FILLER_68_199 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 97060 195840 ) N ;
- FILLER_68_211 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 102580 195840 ) N ;
- FILLER_68_223 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 108100 195840 ) N ;
- FILLER_68_228 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 110400 195840 ) N ;
- FILLER_68_240 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115920 195840 ) N ;
- FILLER_68_252 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121440 195840 ) N ;
- FILLER_68_264 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 126960 195840 ) N ;
- FILLER_68_27 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 17940 195840 ) N ;
- FILLER_68_276 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132480 195840 ) N ;
- FILLER_68_288 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138000 195840 ) N ;
- FILLER_68_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 195840 ) N ;
- FILLER_68_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 195840 ) N ;
- FILLER_68_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 195840 ) N ;
- FILLER_68_31 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 19780 195840 ) N ;
- FILLER_68_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 195840 ) N ;
- FILLER_68_329 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 156860 195840 ) N ;
- FILLER_68_33 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20700 195840 ) N ;
- FILLER_68_341 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 162380 195840 ) N ;
- FILLER_68_353 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 167900 195840 ) N ;
- FILLER_68_358 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 170200 195840 ) N ;
- FILLER_68_370 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 175720 195840 ) N ;
- FILLER_68_382 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 181240 195840 ) N ;
- FILLER_68_394 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186760 195840 ) N ;
- FILLER_68_406 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 192280 195840 ) N ;
- FILLER_68_418 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 197800 195840 ) N ;
- FILLER_68_423 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 200100 195840 ) N ;
- FILLER_68_435 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 205620 195840 ) N ;
- FILLER_68_447 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 211140 195840 ) N ;
- FILLER_68_45 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 26220 195840 ) N ;
- FILLER_68_459 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 216660 195840 ) N ;
- FILLER_68_471 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 222180 195840 ) N ;
- FILLER_68_483 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 227700 195840 ) N ;
- FILLER_68_488 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230000 195840 ) N ;
- FILLER_68_500 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235520 195840 ) N ;
- FILLER_68_512 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241040 195840 ) N ;
- FILLER_68_524 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 246560 195840 ) N ;
- FILLER_68_536 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 252080 195840 ) N ;
- FILLER_68_548 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 257600 195840 ) N ;
- FILLER_68_553 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 259900 195840 ) N ;
- FILLER_68_565 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 265420 195840 ) N ;
- FILLER_68_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 195840 ) N ;
- FILLER_68_577 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 270940 195840 ) N ;
- FILLER_68_589 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 195840 ) N ;
- FILLER_68_601 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 195840 ) N ;
- FILLER_68_613 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 287500 195840 ) N ;
- FILLER_68_618 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 289800 195840 ) N ;
- FILLER_68_624 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 292560 195840 ) N ;
- FILLER_68_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 195840 ) N ;
- FILLER_68_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 195840 ) N ;
- FILLER_68_93 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 48300 195840 ) N ;
- FILLER_68_98 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50600 195840 ) N ;
- FILLER_69_102 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 52440 198560 ) FS ;
- FILLER_69_114 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57960 198560 ) FS ;
- FILLER_69_126 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 63480 198560 ) FS ;
- FILLER_69_131 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 65780 198560 ) FS ;
- FILLER_69_143 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 71300 198560 ) FS ;
- FILLER_69_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 198560 ) FS ;
- FILLER_69_155 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 76820 198560 ) FS ;
- FILLER_69_167 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 82340 198560 ) FS ;
- FILLER_69_179 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 87860 198560 ) FS ;
- FILLER_69_191 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93380 198560 ) FS ;
- FILLER_69_196 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 95680 198560 ) FS ;
- FILLER_69_208 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101200 198560 ) FS ;
- FILLER_69_220 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 106720 198560 ) FS ;
- FILLER_69_232 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112240 198560 ) FS ;
- FILLER_69_244 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 117760 198560 ) FS ;
- FILLER_69_256 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 123280 198560 ) FS ;
- FILLER_69_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 198560 ) FS ;
- FILLER_69_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 198560 ) FS ;
- FILLER_69_273 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 131100 198560 ) FS ;
- FILLER_69_285 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 136620 198560 ) FS ;
- FILLER_69_297 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 142140 198560 ) FS ;
- FILLER_69_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 198560 ) FS ;
- FILLER_69_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 198560 ) FS ;
- FILLER_69_321 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 153180 198560 ) FS ;
- FILLER_69_326 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 155480 198560 ) FS ;
- FILLER_69_338 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 161000 198560 ) FS ;
- FILLER_69_350 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166520 198560 ) FS ;
- FILLER_69_362 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 172040 198560 ) FS ;
- FILLER_69_374 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177560 198560 ) FS ;
- FILLER_69_386 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 183080 198560 ) FS ;
- FILLER_69_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 198560 ) FS ;
- FILLER_69_391 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 185380 198560 ) FS ;
- FILLER_69_403 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 190900 198560 ) FS ;
- FILLER_69_415 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 196420 198560 ) FS ;
- FILLER_69_427 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 201940 198560 ) FS ;
- FILLER_69_439 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 207460 198560 ) FS ;
- FILLER_69_451 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 212980 198560 ) FS ;
- FILLER_69_456 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215280 198560 ) FS ;
- FILLER_69_468 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 220800 198560 ) FS ;
- FILLER_69_480 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 226320 198560 ) FS ;
- FILLER_69_492 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 231840 198560 ) FS ;
- FILLER_69_504 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237360 198560 ) FS ;
- FILLER_69_51 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28980 198560 ) FS ;
- FILLER_69_516 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 242880 198560 ) FS ;
- FILLER_69_521 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 245180 198560 ) FS ;
- FILLER_69_533 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 198560 ) FS ;
- FILLER_69_545 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 198560 ) FS ;
- FILLER_69_557 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 198560 ) FS ;
- FILLER_69_569 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 198560 ) FS ;
- FILLER_69_581 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 272780 198560 ) FS ;
- FILLER_69_586 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 275080 198560 ) FS ;
- FILLER_69_598 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280600 198560 ) FS ;
- FILLER_69_610 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 286120 198560 ) FS ;
- FILLER_69_622 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 291640 198560 ) FS ;
- FILLER_69_63 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 34500 198560 ) FS ;
- FILLER_69_66 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35880 198560 ) FS ;
- FILLER_69_78 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 41400 198560 ) FS ;
- FILLER_69_90 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46920 198560 ) FS ;
- FILLER_6_110 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 56120 27200 ) N ;
- FILLER_6_122 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61640 27200 ) N ;
- FILLER_6_134 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 67160 27200 ) N ;
- FILLER_6_146 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 72680 27200 ) N ;
- FILLER_6_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 27200 ) N ;
- FILLER_6_158 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 78200 27200 ) N ;
- FILLER_6_163 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 80500 27200 ) N ;
- FILLER_6_175 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86020 27200 ) N ;
- FILLER_6_187 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 91540 27200 ) N ;
- FILLER_6_199 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 97060 27200 ) N ;
- FILLER_6_211 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 102580 27200 ) N ;
- FILLER_6_223 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 108100 27200 ) N ;
- FILLER_6_228 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 110400 27200 ) N ;
- FILLER_6_240 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 115920 27200 ) N ;
- FILLER_6_248 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 119600 27200 ) N ;
- FILLER_6_251 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 120980 27200 ) N ;
- FILLER_6_258 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 124200 27200 ) N ;
- FILLER_6_265 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 127420 27200 ) N ;
- FILLER_6_27 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 17940 27200 ) N ;
- FILLER_6_290 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 138920 27200 ) N ;
- FILLER_6_293 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 140300 27200 ) N ;
- FILLER_6_299 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 143060 27200 ) N ;
- FILLER_6_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 27200 ) N ;
- FILLER_6_303 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 144900 27200 ) N ;
- FILLER_6_31 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 19780 27200 ) N ;
- FILLER_6_310 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 148120 27200 ) N ;
- FILLER_6_33 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20700 27200 ) N ;
- FILLER_6_335 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 159620 27200 ) N ;
- FILLER_6_342 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 162840 27200 ) N ;
- FILLER_6_349 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 166060 27200 ) N ;
- FILLER_6_358 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 170200 27200 ) N ;
- FILLER_6_381 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 180780 27200 ) N ;
- FILLER_6_393 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186300 27200 ) N ;
- FILLER_6_405 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 191820 27200 ) N ;
- FILLER_6_417 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 197340 27200 ) N ;
- FILLER_6_421 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 199180 27200 ) N ;
- FILLER_6_423 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 200100 27200 ) N ;
- FILLER_6_435 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 205620 27200 ) N ;
- FILLER_6_447 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 211140 27200 ) N ;
- FILLER_6_45 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 26220 27200 ) N ;
- FILLER_6_459 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 216660 27200 ) N ;
- FILLER_6_471 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 222180 27200 ) N ;
- FILLER_6_483 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 227700 27200 ) N ;
- FILLER_6_488 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230000 27200 ) N ;
- FILLER_6_500 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235520 27200 ) N ;
- FILLER_6_512 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241040 27200 ) N ;
- FILLER_6_524 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 246560 27200 ) N ;
- FILLER_6_536 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 252080 27200 ) N ;
- FILLER_6_548 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 257600 27200 ) N ;
- FILLER_6_553 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 259900 27200 ) N ;
- FILLER_6_565 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 265420 27200 ) N ;
- FILLER_6_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 27200 ) N ;
- FILLER_6_577 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 270940 27200 ) N ;
- FILLER_6_589 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 27200 ) N ;
- FILLER_6_601 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 27200 ) N ;
- FILLER_6_613 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 287500 27200 ) N ;
- FILLER_6_618 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 289800 27200 ) N ;
- FILLER_6_624 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 292560 27200 ) N ;
- FILLER_6_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 27200 ) N ;
- FILLER_6_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 27200 ) N ;
- FILLER_6_93 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 48300 27200 ) N ;
- FILLER_6_98 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50600 27200 ) N ;
- FILLER_70_110 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 56120 201280 ) N ;
- FILLER_70_122 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61640 201280 ) N ;
- FILLER_70_134 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 67160 201280 ) N ;
- FILLER_70_146 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 72680 201280 ) N ;
- FILLER_70_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 201280 ) N ;
- FILLER_70_158 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 78200 201280 ) N ;
- FILLER_70_163 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 80500 201280 ) N ;
- FILLER_70_175 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86020 201280 ) N ;
- FILLER_70_187 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 91540 201280 ) N ;
- FILLER_70_199 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 97060 201280 ) N ;
- FILLER_70_211 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 102580 201280 ) N ;
- FILLER_70_223 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 108100 201280 ) N ;
- FILLER_70_228 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 110400 201280 ) N ;
- FILLER_70_240 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115920 201280 ) N ;
- FILLER_70_252 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121440 201280 ) N ;
- FILLER_70_264 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 126960 201280 ) N ;
- FILLER_70_27 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 17940 201280 ) N ;
- FILLER_70_276 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132480 201280 ) N ;
- FILLER_70_288 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138000 201280 ) N ;
- FILLER_70_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 201280 ) N ;
- FILLER_70_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 201280 ) N ;
- FILLER_70_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 201280 ) N ;
- FILLER_70_31 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 19780 201280 ) N ;
- FILLER_70_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 201280 ) N ;
- FILLER_70_329 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 156860 201280 ) N ;
- FILLER_70_33 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20700 201280 ) N ;
- FILLER_70_341 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 162380 201280 ) N ;
- FILLER_70_353 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 167900 201280 ) N ;
- FILLER_70_358 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 170200 201280 ) N ;
- FILLER_70_370 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 175720 201280 ) N ;
- FILLER_70_382 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 181240 201280 ) N ;
- FILLER_70_394 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186760 201280 ) N ;
- FILLER_70_406 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 192280 201280 ) N ;
- FILLER_70_418 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 197800 201280 ) N ;
- FILLER_70_423 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 200100 201280 ) N ;
- FILLER_70_435 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 205620 201280 ) N ;
- FILLER_70_447 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 211140 201280 ) N ;
- FILLER_70_45 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 26220 201280 ) N ;
- FILLER_70_459 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 216660 201280 ) N ;
- FILLER_70_471 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 222180 201280 ) N ;
- FILLER_70_483 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 227700 201280 ) N ;
- FILLER_70_488 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230000 201280 ) N ;
- FILLER_70_500 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235520 201280 ) N ;
- FILLER_70_512 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241040 201280 ) N ;
- FILLER_70_524 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 246560 201280 ) N ;
- FILLER_70_536 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 252080 201280 ) N ;
- FILLER_70_548 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 257600 201280 ) N ;
- FILLER_70_553 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 259900 201280 ) N ;
- FILLER_70_565 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 265420 201280 ) N ;
- FILLER_70_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 201280 ) N ;
- FILLER_70_577 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 270940 201280 ) N ;
- FILLER_70_589 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 201280 ) N ;
- FILLER_70_601 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 201280 ) N ;
- FILLER_70_613 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 287500 201280 ) N ;
- FILLER_70_618 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 289800 201280 ) N ;
- FILLER_70_624 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 292560 201280 ) N ;
- FILLER_70_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 201280 ) N ;
- FILLER_70_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 201280 ) N ;
- FILLER_70_93 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 48300 201280 ) N ;
- FILLER_70_98 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50600 201280 ) N ;
- FILLER_71_102 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 52440 204000 ) FS ;
- FILLER_71_114 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57960 204000 ) FS ;
- FILLER_71_126 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 63480 204000 ) FS ;
- FILLER_71_131 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 65780 204000 ) FS ;
- FILLER_71_143 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 71300 204000 ) FS ;
- FILLER_71_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 204000 ) FS ;
- FILLER_71_155 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 76820 204000 ) FS ;
- FILLER_71_167 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 82340 204000 ) FS ;
- FILLER_71_179 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 87860 204000 ) FS ;
- FILLER_71_191 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93380 204000 ) FS ;
- FILLER_71_196 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 95680 204000 ) FS ;
- FILLER_71_208 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101200 204000 ) FS ;
- FILLER_71_220 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 106720 204000 ) FS ;
- FILLER_71_232 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112240 204000 ) FS ;
- FILLER_71_244 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 117760 204000 ) FS ;
- FILLER_71_256 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 123280 204000 ) FS ;
- FILLER_71_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 204000 ) FS ;
- FILLER_71_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 204000 ) FS ;
- FILLER_71_273 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 131100 204000 ) FS ;
- FILLER_71_285 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 136620 204000 ) FS ;
- FILLER_71_297 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 142140 204000 ) FS ;
- FILLER_71_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 204000 ) FS ;
- FILLER_71_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 204000 ) FS ;
- FILLER_71_321 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 153180 204000 ) FS ;
- FILLER_71_326 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 155480 204000 ) FS ;
- FILLER_71_338 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 161000 204000 ) FS ;
- FILLER_71_350 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166520 204000 ) FS ;
- FILLER_71_362 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 172040 204000 ) FS ;
- FILLER_71_374 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177560 204000 ) FS ;
- FILLER_71_386 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 183080 204000 ) FS ;
- FILLER_71_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 204000 ) FS ;
- FILLER_71_391 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 185380 204000 ) FS ;
- FILLER_71_403 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 190900 204000 ) FS ;
- FILLER_71_415 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 196420 204000 ) FS ;
- FILLER_71_427 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 201940 204000 ) FS ;
- FILLER_71_439 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 207460 204000 ) FS ;
- FILLER_71_451 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 212980 204000 ) FS ;
- FILLER_71_456 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215280 204000 ) FS ;
- FILLER_71_468 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 220800 204000 ) FS ;
- FILLER_71_480 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 226320 204000 ) FS ;
- FILLER_71_492 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 231840 204000 ) FS ;
- FILLER_71_504 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237360 204000 ) FS ;
- FILLER_71_51 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28980 204000 ) FS ;
- FILLER_71_516 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 242880 204000 ) FS ;
- FILLER_71_521 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 245180 204000 ) FS ;
- FILLER_71_533 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 204000 ) FS ;
- FILLER_71_545 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 204000 ) FS ;
- FILLER_71_557 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 204000 ) FS ;
- FILLER_71_569 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 204000 ) FS ;
- FILLER_71_581 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 272780 204000 ) FS ;
- FILLER_71_586 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 275080 204000 ) FS ;
- FILLER_71_598 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280600 204000 ) FS ;
- FILLER_71_610 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 286120 204000 ) FS ;
- FILLER_71_622 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 291640 204000 ) FS ;
- FILLER_71_63 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 34500 204000 ) FS ;
- FILLER_71_66 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35880 204000 ) FS ;
- FILLER_71_78 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 41400 204000 ) FS ;
- FILLER_71_90 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46920 204000 ) FS ;
- FILLER_72_110 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 56120 206720 ) N ;
- FILLER_72_122 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61640 206720 ) N ;
- FILLER_72_134 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 67160 206720 ) N ;
- FILLER_72_146 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 72680 206720 ) N ;
- FILLER_72_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 206720 ) N ;
- FILLER_72_158 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 78200 206720 ) N ;
- FILLER_72_163 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 80500 206720 ) N ;
- FILLER_72_175 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86020 206720 ) N ;
- FILLER_72_187 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 91540 206720 ) N ;
- FILLER_72_199 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 97060 206720 ) N ;
- FILLER_72_211 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 102580 206720 ) N ;
- FILLER_72_223 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 108100 206720 ) N ;
- FILLER_72_228 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 110400 206720 ) N ;
- FILLER_72_240 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115920 206720 ) N ;
- FILLER_72_252 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121440 206720 ) N ;
- FILLER_72_264 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 126960 206720 ) N ;
- FILLER_72_27 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 17940 206720 ) N ;
- FILLER_72_276 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132480 206720 ) N ;
- FILLER_72_288 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138000 206720 ) N ;
- FILLER_72_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 206720 ) N ;
- FILLER_72_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 206720 ) N ;
- FILLER_72_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 206720 ) N ;
- FILLER_72_31 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 19780 206720 ) N ;
- FILLER_72_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 206720 ) N ;
- FILLER_72_329 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 156860 206720 ) N ;
- FILLER_72_33 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20700 206720 ) N ;
- FILLER_72_341 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 162380 206720 ) N ;
- FILLER_72_353 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 167900 206720 ) N ;
- FILLER_72_358 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 170200 206720 ) N ;
- FILLER_72_370 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 175720 206720 ) N ;
- FILLER_72_382 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 181240 206720 ) N ;
- FILLER_72_394 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186760 206720 ) N ;
- FILLER_72_406 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 192280 206720 ) N ;
- FILLER_72_418 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 197800 206720 ) N ;
- FILLER_72_423 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 200100 206720 ) N ;
- FILLER_72_435 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 205620 206720 ) N ;
- FILLER_72_447 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 211140 206720 ) N ;
- FILLER_72_45 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 26220 206720 ) N ;
- FILLER_72_459 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 216660 206720 ) N ;
- FILLER_72_471 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 222180 206720 ) N ;
- FILLER_72_483 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 227700 206720 ) N ;
- FILLER_72_488 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230000 206720 ) N ;
- FILLER_72_500 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235520 206720 ) N ;
- FILLER_72_512 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241040 206720 ) N ;
- FILLER_72_524 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 246560 206720 ) N ;
- FILLER_72_536 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 252080 206720 ) N ;
- FILLER_72_548 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 257600 206720 ) N ;
- FILLER_72_553 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 259900 206720 ) N ;
- FILLER_72_565 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 265420 206720 ) N ;
- FILLER_72_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 206720 ) N ;
- FILLER_72_577 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 270940 206720 ) N ;
- FILLER_72_589 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 206720 ) N ;
- FILLER_72_601 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 206720 ) N ;
- FILLER_72_613 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 287500 206720 ) N ;
- FILLER_72_618 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 289800 206720 ) N ;
- FILLER_72_624 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 292560 206720 ) N ;
- FILLER_72_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 206720 ) N ;
- FILLER_72_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 206720 ) N ;
- FILLER_72_93 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 48300 206720 ) N ;
- FILLER_72_98 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50600 206720 ) N ;
- FILLER_73_102 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 52440 209440 ) FS ;
- FILLER_73_114 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57960 209440 ) FS ;
- FILLER_73_126 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 63480 209440 ) FS ;
- FILLER_73_131 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 65780 209440 ) FS ;
- FILLER_73_143 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 71300 209440 ) FS ;
- FILLER_73_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 209440 ) FS ;
- FILLER_73_155 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 76820 209440 ) FS ;
- FILLER_73_167 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 82340 209440 ) FS ;
- FILLER_73_179 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 87860 209440 ) FS ;
- FILLER_73_191 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93380 209440 ) FS ;
- FILLER_73_196 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 95680 209440 ) FS ;
- FILLER_73_208 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101200 209440 ) FS ;
- FILLER_73_220 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 106720 209440 ) FS ;
- FILLER_73_232 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112240 209440 ) FS ;
- FILLER_73_244 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 117760 209440 ) FS ;
- FILLER_73_256 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 123280 209440 ) FS ;
- FILLER_73_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 209440 ) FS ;
- FILLER_73_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 209440 ) FS ;
- FILLER_73_273 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 131100 209440 ) FS ;
- FILLER_73_285 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 136620 209440 ) FS ;
- FILLER_73_297 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 142140 209440 ) FS ;
- FILLER_73_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 209440 ) FS ;
- FILLER_73_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 209440 ) FS ;
- FILLER_73_321 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 153180 209440 ) FS ;
- FILLER_73_326 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 155480 209440 ) FS ;
- FILLER_73_338 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 161000 209440 ) FS ;
- FILLER_73_350 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166520 209440 ) FS ;
- FILLER_73_362 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 172040 209440 ) FS ;
- FILLER_73_374 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177560 209440 ) FS ;
- FILLER_73_386 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 183080 209440 ) FS ;
- FILLER_73_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 209440 ) FS ;
- FILLER_73_391 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 185380 209440 ) FS ;
- FILLER_73_403 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 190900 209440 ) FS ;
- FILLER_73_415 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 196420 209440 ) FS ;
- FILLER_73_427 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 201940 209440 ) FS ;
- FILLER_73_439 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 207460 209440 ) FS ;
- FILLER_73_451 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 212980 209440 ) FS ;
- FILLER_73_456 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215280 209440 ) FS ;
- FILLER_73_468 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 220800 209440 ) FS ;
- FILLER_73_480 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 226320 209440 ) FS ;
- FILLER_73_492 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 231840 209440 ) FS ;
- FILLER_73_504 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237360 209440 ) FS ;
- FILLER_73_51 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28980 209440 ) FS ;
- FILLER_73_516 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 242880 209440 ) FS ;
- FILLER_73_521 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 245180 209440 ) FS ;
- FILLER_73_533 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 209440 ) FS ;
- FILLER_73_545 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 209440 ) FS ;
- FILLER_73_557 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 209440 ) FS ;
- FILLER_73_569 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 209440 ) FS ;
- FILLER_73_581 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 272780 209440 ) FS ;
- FILLER_73_586 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 275080 209440 ) FS ;
- FILLER_73_598 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280600 209440 ) FS ;
- FILLER_73_610 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 286120 209440 ) FS ;
- FILLER_73_622 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 291640 209440 ) FS ;
- FILLER_73_63 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 34500 209440 ) FS ;
- FILLER_73_66 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35880 209440 ) FS ;
- FILLER_73_78 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 41400 209440 ) FS ;
- FILLER_73_90 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46920 209440 ) FS ;
- FILLER_74_110 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 56120 212160 ) N ;
- FILLER_74_122 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61640 212160 ) N ;
- FILLER_74_134 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 67160 212160 ) N ;
- FILLER_74_146 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 72680 212160 ) N ;
- FILLER_74_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 212160 ) N ;
- FILLER_74_158 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 78200 212160 ) N ;
- FILLER_74_163 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 80500 212160 ) N ;
- FILLER_74_175 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86020 212160 ) N ;
- FILLER_74_187 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 91540 212160 ) N ;
- FILLER_74_199 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 97060 212160 ) N ;
- FILLER_74_211 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 102580 212160 ) N ;
- FILLER_74_223 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 108100 212160 ) N ;
- FILLER_74_228 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 110400 212160 ) N ;
- FILLER_74_240 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115920 212160 ) N ;
- FILLER_74_252 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121440 212160 ) N ;
- FILLER_74_264 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 126960 212160 ) N ;
- FILLER_74_27 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 17940 212160 ) N ;
- FILLER_74_276 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132480 212160 ) N ;
- FILLER_74_288 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138000 212160 ) N ;
- FILLER_74_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 212160 ) N ;
- FILLER_74_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 212160 ) N ;
- FILLER_74_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 212160 ) N ;
- FILLER_74_31 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 19780 212160 ) N ;
- FILLER_74_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 212160 ) N ;
- FILLER_74_329 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 156860 212160 ) N ;
- FILLER_74_33 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20700 212160 ) N ;
- FILLER_74_341 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 162380 212160 ) N ;
- FILLER_74_353 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 167900 212160 ) N ;
- FILLER_74_358 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 170200 212160 ) N ;
- FILLER_74_370 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 175720 212160 ) N ;
- FILLER_74_382 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 181240 212160 ) N ;
- FILLER_74_394 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186760 212160 ) N ;
- FILLER_74_406 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 192280 212160 ) N ;
- FILLER_74_418 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 197800 212160 ) N ;
- FILLER_74_423 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 200100 212160 ) N ;
- FILLER_74_435 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 205620 212160 ) N ;
- FILLER_74_447 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 211140 212160 ) N ;
- FILLER_74_45 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 26220 212160 ) N ;
- FILLER_74_459 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 216660 212160 ) N ;
- FILLER_74_471 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 222180 212160 ) N ;
- FILLER_74_483 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 227700 212160 ) N ;
- FILLER_74_488 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230000 212160 ) N ;
- FILLER_74_500 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235520 212160 ) N ;
- FILLER_74_512 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241040 212160 ) N ;
- FILLER_74_524 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 246560 212160 ) N ;
- FILLER_74_536 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 252080 212160 ) N ;
- FILLER_74_548 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 257600 212160 ) N ;
- FILLER_74_553 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 259900 212160 ) N ;
- FILLER_74_565 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 265420 212160 ) N ;
- FILLER_74_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 212160 ) N ;
- FILLER_74_577 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 270940 212160 ) N ;
- FILLER_74_589 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 212160 ) N ;
- FILLER_74_601 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 212160 ) N ;
- FILLER_74_613 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 287500 212160 ) N ;
- FILLER_74_618 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 289800 212160 ) N ;
- FILLER_74_624 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 292560 212160 ) N ;
- FILLER_74_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 212160 ) N ;
- FILLER_74_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 212160 ) N ;
- FILLER_74_93 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 48300 212160 ) N ;
- FILLER_74_98 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50600 212160 ) N ;
- FILLER_75_102 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 52440 214880 ) FS ;
- FILLER_75_114 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57960 214880 ) FS ;
- FILLER_75_126 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 63480 214880 ) FS ;
- FILLER_75_131 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 65780 214880 ) FS ;
- FILLER_75_143 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 71300 214880 ) FS ;
- FILLER_75_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 214880 ) FS ;
- FILLER_75_155 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 76820 214880 ) FS ;
- FILLER_75_167 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 82340 214880 ) FS ;
- FILLER_75_179 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 87860 214880 ) FS ;
- FILLER_75_191 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93380 214880 ) FS ;
- FILLER_75_196 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 95680 214880 ) FS ;
- FILLER_75_208 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101200 214880 ) FS ;
- FILLER_75_220 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 106720 214880 ) FS ;
- FILLER_75_232 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112240 214880 ) FS ;
- FILLER_75_244 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 117760 214880 ) FS ;
- FILLER_75_256 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 123280 214880 ) FS ;
- FILLER_75_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 214880 ) FS ;
- FILLER_75_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 214880 ) FS ;
- FILLER_75_273 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 131100 214880 ) FS ;
- FILLER_75_285 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 136620 214880 ) FS ;
- FILLER_75_297 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 142140 214880 ) FS ;
- FILLER_75_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 214880 ) FS ;
- FILLER_75_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 214880 ) FS ;
- FILLER_75_321 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 153180 214880 ) FS ;
- FILLER_75_326 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 155480 214880 ) FS ;
- FILLER_75_338 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 161000 214880 ) FS ;
- FILLER_75_350 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166520 214880 ) FS ;
- FILLER_75_362 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 172040 214880 ) FS ;
- FILLER_75_374 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177560 214880 ) FS ;
- FILLER_75_386 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 183080 214880 ) FS ;
- FILLER_75_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 214880 ) FS ;
- FILLER_75_391 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 185380 214880 ) FS ;
- FILLER_75_403 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 190900 214880 ) FS ;
- FILLER_75_415 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 196420 214880 ) FS ;
- FILLER_75_427 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 201940 214880 ) FS ;
- FILLER_75_439 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 207460 214880 ) FS ;
- FILLER_75_451 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 212980 214880 ) FS ;
- FILLER_75_456 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215280 214880 ) FS ;
- FILLER_75_468 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 220800 214880 ) FS ;
- FILLER_75_480 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 226320 214880 ) FS ;
- FILLER_75_492 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 231840 214880 ) FS ;
- FILLER_75_504 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237360 214880 ) FS ;
- FILLER_75_51 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28980 214880 ) FS ;
- FILLER_75_516 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 242880 214880 ) FS ;
- FILLER_75_521 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 245180 214880 ) FS ;
- FILLER_75_533 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 214880 ) FS ;
- FILLER_75_545 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 214880 ) FS ;
- FILLER_75_557 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 214880 ) FS ;
- FILLER_75_569 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 214880 ) FS ;
- FILLER_75_581 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 272780 214880 ) FS ;
- FILLER_75_586 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 275080 214880 ) FS ;
- FILLER_75_598 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280600 214880 ) FS ;
- FILLER_75_610 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 286120 214880 ) FS ;
- FILLER_75_622 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 291640 214880 ) FS ;
- FILLER_75_63 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 34500 214880 ) FS ;
- FILLER_75_66 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35880 214880 ) FS ;
- FILLER_75_78 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 41400 214880 ) FS ;
- FILLER_75_90 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46920 214880 ) FS ;
- FILLER_76_110 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 56120 217600 ) N ;
- FILLER_76_122 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61640 217600 ) N ;
- FILLER_76_134 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 67160 217600 ) N ;
- FILLER_76_146 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 72680 217600 ) N ;
- FILLER_76_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 217600 ) N ;
- FILLER_76_158 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 78200 217600 ) N ;
- FILLER_76_163 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 80500 217600 ) N ;
- FILLER_76_175 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86020 217600 ) N ;
- FILLER_76_187 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 91540 217600 ) N ;
- FILLER_76_199 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 97060 217600 ) N ;
- FILLER_76_211 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 102580 217600 ) N ;
- FILLER_76_223 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 108100 217600 ) N ;
- FILLER_76_228 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 110400 217600 ) N ;
- FILLER_76_240 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115920 217600 ) N ;
- FILLER_76_252 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121440 217600 ) N ;
- FILLER_76_264 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 126960 217600 ) N ;
- FILLER_76_27 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 17940 217600 ) N ;
- FILLER_76_276 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132480 217600 ) N ;
- FILLER_76_288 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138000 217600 ) N ;
- FILLER_76_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 217600 ) N ;
- FILLER_76_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 217600 ) N ;
- FILLER_76_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 217600 ) N ;
- FILLER_76_31 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 19780 217600 ) N ;
- FILLER_76_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 217600 ) N ;
- FILLER_76_329 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 156860 217600 ) N ;
- FILLER_76_33 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20700 217600 ) N ;
- FILLER_76_341 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 162380 217600 ) N ;
- FILLER_76_353 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 167900 217600 ) N ;
- FILLER_76_358 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 170200 217600 ) N ;
- FILLER_76_370 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 175720 217600 ) N ;
- FILLER_76_382 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 181240 217600 ) N ;
- FILLER_76_394 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186760 217600 ) N ;
- FILLER_76_406 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 192280 217600 ) N ;
- FILLER_76_418 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 197800 217600 ) N ;
- FILLER_76_423 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 200100 217600 ) N ;
- FILLER_76_435 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 205620 217600 ) N ;
- FILLER_76_447 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 211140 217600 ) N ;
- FILLER_76_45 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 26220 217600 ) N ;
- FILLER_76_459 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 216660 217600 ) N ;
- FILLER_76_471 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 222180 217600 ) N ;
- FILLER_76_483 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 227700 217600 ) N ;
- FILLER_76_488 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230000 217600 ) N ;
- FILLER_76_500 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235520 217600 ) N ;
- FILLER_76_512 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241040 217600 ) N ;
- FILLER_76_524 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 246560 217600 ) N ;
- FILLER_76_536 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 252080 217600 ) N ;
- FILLER_76_548 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 257600 217600 ) N ;
- FILLER_76_553 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 259900 217600 ) N ;
- FILLER_76_565 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 265420 217600 ) N ;
- FILLER_76_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 217600 ) N ;
- FILLER_76_577 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 270940 217600 ) N ;
- FILLER_76_589 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 217600 ) N ;
- FILLER_76_601 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 217600 ) N ;
- FILLER_76_613 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 287500 217600 ) N ;
- FILLER_76_618 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 289800 217600 ) N ;
- FILLER_76_624 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 292560 217600 ) N ;
- FILLER_76_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 217600 ) N ;
- FILLER_76_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 217600 ) N ;
- FILLER_76_93 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 48300 217600 ) N ;
- FILLER_76_98 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50600 217600 ) N ;
- FILLER_77_102 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 52440 220320 ) FS ;
- FILLER_77_114 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57960 220320 ) FS ;
- FILLER_77_126 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 63480 220320 ) FS ;
- FILLER_77_131 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 65780 220320 ) FS ;
- FILLER_77_143 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 71300 220320 ) FS ;
- FILLER_77_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 220320 ) FS ;
- FILLER_77_155 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 76820 220320 ) FS ;
- FILLER_77_167 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 82340 220320 ) FS ;
- FILLER_77_179 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 87860 220320 ) FS ;
- FILLER_77_191 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93380 220320 ) FS ;
- FILLER_77_196 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 95680 220320 ) FS ;
- FILLER_77_208 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101200 220320 ) FS ;
- FILLER_77_220 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 106720 220320 ) FS ;
- FILLER_77_232 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112240 220320 ) FS ;
- FILLER_77_244 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 117760 220320 ) FS ;
- FILLER_77_256 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 123280 220320 ) FS ;
- FILLER_77_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 220320 ) FS ;
- FILLER_77_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 220320 ) FS ;
- FILLER_77_273 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 131100 220320 ) FS ;
- FILLER_77_285 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 136620 220320 ) FS ;
- FILLER_77_297 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 142140 220320 ) FS ;
- FILLER_77_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 220320 ) FS ;
- FILLER_77_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 220320 ) FS ;
- FILLER_77_321 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 153180 220320 ) FS ;
- FILLER_77_326 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 155480 220320 ) FS ;
- FILLER_77_338 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 161000 220320 ) FS ;
- FILLER_77_350 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166520 220320 ) FS ;
- FILLER_77_362 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 172040 220320 ) FS ;
- FILLER_77_374 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177560 220320 ) FS ;
- FILLER_77_386 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 183080 220320 ) FS ;
- FILLER_77_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 220320 ) FS ;
- FILLER_77_391 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 185380 220320 ) FS ;
- FILLER_77_403 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 190900 220320 ) FS ;
- FILLER_77_415 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 196420 220320 ) FS ;
- FILLER_77_427 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 201940 220320 ) FS ;
- FILLER_77_439 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 207460 220320 ) FS ;
- FILLER_77_451 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 212980 220320 ) FS ;
- FILLER_77_456 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215280 220320 ) FS ;
- FILLER_77_468 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 220800 220320 ) FS ;
- FILLER_77_480 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 226320 220320 ) FS ;
- FILLER_77_492 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 231840 220320 ) FS ;
- FILLER_77_504 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237360 220320 ) FS ;
- FILLER_77_51 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28980 220320 ) FS ;
- FILLER_77_516 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 242880 220320 ) FS ;
- FILLER_77_521 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 245180 220320 ) FS ;
- FILLER_77_533 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 220320 ) FS ;
- FILLER_77_545 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 220320 ) FS ;
- FILLER_77_557 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 220320 ) FS ;
- FILLER_77_569 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 220320 ) FS ;
- FILLER_77_581 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 272780 220320 ) FS ;
- FILLER_77_586 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 275080 220320 ) FS ;
- FILLER_77_598 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280600 220320 ) FS ;
- FILLER_77_610 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 286120 220320 ) FS ;
- FILLER_77_622 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 291640 220320 ) FS ;
- FILLER_77_63 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 34500 220320 ) FS ;
- FILLER_77_66 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35880 220320 ) FS ;
- FILLER_77_78 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 41400 220320 ) FS ;
- FILLER_77_90 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46920 220320 ) FS ;
- FILLER_78_110 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 56120 223040 ) N ;
- FILLER_78_122 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61640 223040 ) N ;
- FILLER_78_134 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 67160 223040 ) N ;
- FILLER_78_146 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 72680 223040 ) N ;
- FILLER_78_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 223040 ) N ;
- FILLER_78_158 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 78200 223040 ) N ;
- FILLER_78_163 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 80500 223040 ) N ;
- FILLER_78_175 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86020 223040 ) N ;
- FILLER_78_187 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 91540 223040 ) N ;
- FILLER_78_199 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 97060 223040 ) N ;
- FILLER_78_211 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 102580 223040 ) N ;
- FILLER_78_223 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 108100 223040 ) N ;
- FILLER_78_228 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 110400 223040 ) N ;
- FILLER_78_240 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115920 223040 ) N ;
- FILLER_78_252 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121440 223040 ) N ;
- FILLER_78_264 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 126960 223040 ) N ;
- FILLER_78_27 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 17940 223040 ) N ;
- FILLER_78_276 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132480 223040 ) N ;
- FILLER_78_288 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138000 223040 ) N ;
- FILLER_78_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 223040 ) N ;
- FILLER_78_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 223040 ) N ;
- FILLER_78_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 223040 ) N ;
- FILLER_78_31 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 19780 223040 ) N ;
- FILLER_78_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 223040 ) N ;
- FILLER_78_329 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 156860 223040 ) N ;
- FILLER_78_33 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20700 223040 ) N ;
- FILLER_78_341 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 162380 223040 ) N ;
- FILLER_78_353 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 167900 223040 ) N ;
- FILLER_78_358 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 170200 223040 ) N ;
- FILLER_78_370 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 175720 223040 ) N ;
- FILLER_78_382 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 181240 223040 ) N ;
- FILLER_78_394 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186760 223040 ) N ;
- FILLER_78_406 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 192280 223040 ) N ;
- FILLER_78_418 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 197800 223040 ) N ;
- FILLER_78_423 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 200100 223040 ) N ;
- FILLER_78_435 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 205620 223040 ) N ;
- FILLER_78_447 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 211140 223040 ) N ;
- FILLER_78_45 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 26220 223040 ) N ;
- FILLER_78_459 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 216660 223040 ) N ;
- FILLER_78_471 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 222180 223040 ) N ;
- FILLER_78_483 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 227700 223040 ) N ;
- FILLER_78_488 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230000 223040 ) N ;
- FILLER_78_500 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235520 223040 ) N ;
- FILLER_78_512 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241040 223040 ) N ;
- FILLER_78_524 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 246560 223040 ) N ;
- FILLER_78_536 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 252080 223040 ) N ;
- FILLER_78_548 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 257600 223040 ) N ;
- FILLER_78_553 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 259900 223040 ) N ;
- FILLER_78_565 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 265420 223040 ) N ;
- FILLER_78_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 223040 ) N ;
- FILLER_78_577 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 270940 223040 ) N ;
- FILLER_78_589 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 223040 ) N ;
- FILLER_78_601 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 223040 ) N ;
- FILLER_78_613 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 287500 223040 ) N ;
- FILLER_78_618 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 289800 223040 ) N ;
- FILLER_78_624 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 292560 223040 ) N ;
- FILLER_78_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 223040 ) N ;
- FILLER_78_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 223040 ) N ;
- FILLER_78_93 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 48300 223040 ) N ;
- FILLER_78_98 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50600 223040 ) N ;
- FILLER_79_102 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 52440 225760 ) FS ;
- FILLER_79_114 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57960 225760 ) FS ;
- FILLER_79_126 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 63480 225760 ) FS ;
- FILLER_79_131 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 65780 225760 ) FS ;
- FILLER_79_143 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 71300 225760 ) FS ;
- FILLER_79_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 225760 ) FS ;
- FILLER_79_155 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 76820 225760 ) FS ;
- FILLER_79_167 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 82340 225760 ) FS ;
- FILLER_79_179 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 87860 225760 ) FS ;
- FILLER_79_191 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93380 225760 ) FS ;
- FILLER_79_196 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 95680 225760 ) FS ;
- FILLER_79_208 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101200 225760 ) FS ;
- FILLER_79_220 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 106720 225760 ) FS ;
- FILLER_79_232 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112240 225760 ) FS ;
- FILLER_79_244 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 117760 225760 ) FS ;
- FILLER_79_256 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 123280 225760 ) FS ;
- FILLER_79_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 225760 ) FS ;
- FILLER_79_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 225760 ) FS ;
- FILLER_79_273 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 131100 225760 ) FS ;
- FILLER_79_285 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 136620 225760 ) FS ;
- FILLER_79_297 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 142140 225760 ) FS ;
- FILLER_79_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 225760 ) FS ;
- FILLER_79_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 225760 ) FS ;
- FILLER_79_321 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 153180 225760 ) FS ;
- FILLER_79_326 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 155480 225760 ) FS ;
- FILLER_79_338 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 161000 225760 ) FS ;
- FILLER_79_350 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166520 225760 ) FS ;
- FILLER_79_362 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 172040 225760 ) FS ;
- FILLER_79_374 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177560 225760 ) FS ;
- FILLER_79_386 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 183080 225760 ) FS ;
- FILLER_79_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 225760 ) FS ;
- FILLER_79_391 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 185380 225760 ) FS ;
- FILLER_79_403 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 190900 225760 ) FS ;
- FILLER_79_415 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 196420 225760 ) FS ;
- FILLER_79_427 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 201940 225760 ) FS ;
- FILLER_79_439 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 207460 225760 ) FS ;
- FILLER_79_451 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 212980 225760 ) FS ;
- FILLER_79_456 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215280 225760 ) FS ;
- FILLER_79_468 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 220800 225760 ) FS ;
- FILLER_79_480 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 226320 225760 ) FS ;
- FILLER_79_492 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 231840 225760 ) FS ;
- FILLER_79_504 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237360 225760 ) FS ;
- FILLER_79_51 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28980 225760 ) FS ;
- FILLER_79_516 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 242880 225760 ) FS ;
- FILLER_79_521 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 245180 225760 ) FS ;
- FILLER_79_533 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 225760 ) FS ;
- FILLER_79_545 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 225760 ) FS ;
- FILLER_79_557 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 225760 ) FS ;
- FILLER_79_569 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 225760 ) FS ;
- FILLER_79_581 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 272780 225760 ) FS ;
- FILLER_79_586 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 275080 225760 ) FS ;
- FILLER_79_598 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280600 225760 ) FS ;
- FILLER_79_610 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 286120 225760 ) FS ;
- FILLER_79_622 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 291640 225760 ) FS ;
- FILLER_79_63 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 34500 225760 ) FS ;
- FILLER_79_66 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35880 225760 ) FS ;
- FILLER_79_78 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 41400 225760 ) FS ;
- FILLER_79_90 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46920 225760 ) FS ;
- FILLER_7_102 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 52440 29920 ) FS ;
- FILLER_7_114 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57960 29920 ) FS ;
- FILLER_7_126 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 63480 29920 ) FS ;
- FILLER_7_131 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 65780 29920 ) FS ;
- FILLER_7_143 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 71300 29920 ) FS ;
- FILLER_7_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 29920 ) FS ;
- FILLER_7_155 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 76820 29920 ) FS ;
- FILLER_7_167 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 82340 29920 ) FS ;
- FILLER_7_179 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 87860 29920 ) FS ;
- FILLER_7_191 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93380 29920 ) FS ;
- FILLER_7_196 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 95680 29920 ) FS ;
- FILLER_7_208 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101200 29920 ) FS ;
- FILLER_7_220 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 106720 29920 ) FS ;
- FILLER_7_232 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112240 29920 ) FS ;
- FILLER_7_244 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 117760 29920 ) FS ;
- FILLER_7_256 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 123280 29920 ) FS ;
- FILLER_7_261 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 125580 29920 ) FS ;
- FILLER_7_266 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 127880 29920 ) FS ;
- FILLER_7_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 29920 ) FS ;
- FILLER_7_270 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 129720 29920 ) FS ;
- FILLER_7_274 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 131560 29920 ) FS ;
- FILLER_7_284 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 136160 29920 ) FS ;
- FILLER_7_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 29920 ) FS ;
- FILLER_7_309 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 147660 29920 ) FS ;
- FILLER_7_323 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 154100 29920 ) FS ;
- FILLER_7_326 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 155480 29920 ) FS ;
- FILLER_7_330 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 157320 29920 ) FS ;
- FILLER_7_355 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 168820 29920 ) FS ;
- FILLER_7_362 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 172040 29920 ) FS ;
- FILLER_7_374 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177560 29920 ) FS ;
- FILLER_7_386 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 183080 29920 ) FS ;
- FILLER_7_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 29920 ) FS ;
- FILLER_7_391 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 185380 29920 ) FS ;
- FILLER_7_403 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 190900 29920 ) FS ;
- FILLER_7_415 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 196420 29920 ) FS ;
- FILLER_7_427 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 201940 29920 ) FS ;
- FILLER_7_439 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 207460 29920 ) FS ;
- FILLER_7_451 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 212980 29920 ) FS ;
- FILLER_7_456 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215280 29920 ) FS ;
- FILLER_7_468 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 220800 29920 ) FS ;
- FILLER_7_480 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 226320 29920 ) FS ;
- FILLER_7_492 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 231840 29920 ) FS ;
- FILLER_7_504 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237360 29920 ) FS ;
- FILLER_7_51 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28980 29920 ) FS ;
- FILLER_7_516 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 242880 29920 ) FS ;
- FILLER_7_521 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 245180 29920 ) FS ;
- FILLER_7_533 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 29920 ) FS ;
- FILLER_7_545 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 29920 ) FS ;
- FILLER_7_557 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 29920 ) FS ;
- FILLER_7_569 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 29920 ) FS ;
- FILLER_7_581 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 272780 29920 ) FS ;
- FILLER_7_586 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 275080 29920 ) FS ;
- FILLER_7_598 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280600 29920 ) FS ;
- FILLER_7_610 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 286120 29920 ) FS ;
- FILLER_7_622 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 291640 29920 ) FS ;
- FILLER_7_63 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 34500 29920 ) FS ;
- FILLER_7_66 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35880 29920 ) FS ;
- FILLER_7_78 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 41400 29920 ) FS ;
- FILLER_7_90 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46920 29920 ) FS ;
- FILLER_80_110 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 56120 228480 ) N ;
- FILLER_80_122 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61640 228480 ) N ;
- FILLER_80_134 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 67160 228480 ) N ;
- FILLER_80_146 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 72680 228480 ) N ;
- FILLER_80_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 228480 ) N ;
- FILLER_80_158 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 78200 228480 ) N ;
- FILLER_80_163 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 80500 228480 ) N ;
- FILLER_80_175 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86020 228480 ) N ;
- FILLER_80_187 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 91540 228480 ) N ;
- FILLER_80_199 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 97060 228480 ) N ;
- FILLER_80_211 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 102580 228480 ) N ;
- FILLER_80_223 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 108100 228480 ) N ;
- FILLER_80_228 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 110400 228480 ) N ;
- FILLER_80_240 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115920 228480 ) N ;
- FILLER_80_252 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121440 228480 ) N ;
- FILLER_80_264 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 126960 228480 ) N ;
- FILLER_80_27 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 17940 228480 ) N ;
- FILLER_80_276 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132480 228480 ) N ;
- FILLER_80_288 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138000 228480 ) N ;
- FILLER_80_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 228480 ) N ;
- FILLER_80_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 228480 ) N ;
- FILLER_80_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 228480 ) N ;
- FILLER_80_31 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 19780 228480 ) N ;
- FILLER_80_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 228480 ) N ;
- FILLER_80_329 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 156860 228480 ) N ;
- FILLER_80_33 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20700 228480 ) N ;
- FILLER_80_341 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 162380 228480 ) N ;
- FILLER_80_353 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 167900 228480 ) N ;
- FILLER_80_358 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 170200 228480 ) N ;
- FILLER_80_370 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 175720 228480 ) N ;
- FILLER_80_382 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 181240 228480 ) N ;
- FILLER_80_394 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186760 228480 ) N ;
- FILLER_80_406 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 192280 228480 ) N ;
- FILLER_80_418 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 197800 228480 ) N ;
- FILLER_80_423 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 200100 228480 ) N ;
- FILLER_80_435 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 205620 228480 ) N ;
- FILLER_80_447 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 211140 228480 ) N ;
- FILLER_80_45 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 26220 228480 ) N ;
- FILLER_80_459 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 216660 228480 ) N ;
- FILLER_80_471 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 222180 228480 ) N ;
- FILLER_80_483 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 227700 228480 ) N ;
- FILLER_80_488 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230000 228480 ) N ;
- FILLER_80_500 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235520 228480 ) N ;
- FILLER_80_512 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241040 228480 ) N ;
- FILLER_80_524 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 246560 228480 ) N ;
- FILLER_80_536 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 252080 228480 ) N ;
- FILLER_80_548 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 257600 228480 ) N ;
- FILLER_80_553 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 259900 228480 ) N ;
- FILLER_80_565 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 265420 228480 ) N ;
- FILLER_80_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 228480 ) N ;
- FILLER_80_577 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 270940 228480 ) N ;
- FILLER_80_589 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 228480 ) N ;
- FILLER_80_601 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 228480 ) N ;
- FILLER_80_613 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 287500 228480 ) N ;
- FILLER_80_618 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 289800 228480 ) N ;
- FILLER_80_624 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 292560 228480 ) N ;
- FILLER_80_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 228480 ) N ;
- FILLER_80_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 228480 ) N ;
- FILLER_80_93 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 48300 228480 ) N ;
- FILLER_80_98 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50600 228480 ) N ;
- FILLER_81_102 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 52440 231200 ) FS ;
- FILLER_81_114 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57960 231200 ) FS ;
- FILLER_81_126 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 63480 231200 ) FS ;
- FILLER_81_131 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 65780 231200 ) FS ;
- FILLER_81_143 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 71300 231200 ) FS ;
- FILLER_81_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 231200 ) FS ;
- FILLER_81_155 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 76820 231200 ) FS ;
- FILLER_81_167 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 82340 231200 ) FS ;
- FILLER_81_179 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 87860 231200 ) FS ;
- FILLER_81_191 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93380 231200 ) FS ;
- FILLER_81_196 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 95680 231200 ) FS ;
- FILLER_81_208 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101200 231200 ) FS ;
- FILLER_81_220 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 106720 231200 ) FS ;
- FILLER_81_232 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112240 231200 ) FS ;
- FILLER_81_244 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 117760 231200 ) FS ;
- FILLER_81_256 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 123280 231200 ) FS ;
- FILLER_81_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 231200 ) FS ;
- FILLER_81_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 231200 ) FS ;
- FILLER_81_273 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 131100 231200 ) FS ;
- FILLER_81_285 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 136620 231200 ) FS ;
- FILLER_81_297 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 142140 231200 ) FS ;
- FILLER_81_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 231200 ) FS ;
- FILLER_81_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 231200 ) FS ;
- FILLER_81_321 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 153180 231200 ) FS ;
- FILLER_81_326 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 155480 231200 ) FS ;
- FILLER_81_338 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 161000 231200 ) FS ;
- FILLER_81_350 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166520 231200 ) FS ;
- FILLER_81_362 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 172040 231200 ) FS ;
- FILLER_81_374 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177560 231200 ) FS ;
- FILLER_81_386 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 183080 231200 ) FS ;
- FILLER_81_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 231200 ) FS ;
- FILLER_81_391 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 185380 231200 ) FS ;
- FILLER_81_403 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 190900 231200 ) FS ;
- FILLER_81_415 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 196420 231200 ) FS ;
- FILLER_81_427 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 201940 231200 ) FS ;
- FILLER_81_439 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 207460 231200 ) FS ;
- FILLER_81_451 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 212980 231200 ) FS ;
- FILLER_81_456 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215280 231200 ) FS ;
- FILLER_81_468 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 220800 231200 ) FS ;
- FILLER_81_480 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 226320 231200 ) FS ;
- FILLER_81_492 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 231840 231200 ) FS ;
- FILLER_81_504 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237360 231200 ) FS ;
- FILLER_81_51 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28980 231200 ) FS ;
- FILLER_81_516 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 242880 231200 ) FS ;
- FILLER_81_521 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 245180 231200 ) FS ;
- FILLER_81_533 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 231200 ) FS ;
- FILLER_81_545 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 231200 ) FS ;
- FILLER_81_557 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 231200 ) FS ;
- FILLER_81_569 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 231200 ) FS ;
- FILLER_81_581 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 272780 231200 ) FS ;
- FILLER_81_586 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 275080 231200 ) FS ;
- FILLER_81_598 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280600 231200 ) FS ;
- FILLER_81_610 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 286120 231200 ) FS ;
- FILLER_81_622 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 291640 231200 ) FS ;
- FILLER_81_63 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 34500 231200 ) FS ;
- FILLER_81_66 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35880 231200 ) FS ;
- FILLER_81_78 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 41400 231200 ) FS ;
- FILLER_81_90 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46920 231200 ) FS ;
- FILLER_82_110 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 56120 233920 ) N ;
- FILLER_82_122 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61640 233920 ) N ;
- FILLER_82_134 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 67160 233920 ) N ;
- FILLER_82_146 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 72680 233920 ) N ;
- FILLER_82_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 233920 ) N ;
- FILLER_82_158 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 78200 233920 ) N ;
- FILLER_82_163 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 80500 233920 ) N ;
- FILLER_82_175 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86020 233920 ) N ;
- FILLER_82_187 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 91540 233920 ) N ;
- FILLER_82_199 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 97060 233920 ) N ;
- FILLER_82_211 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 102580 233920 ) N ;
- FILLER_82_223 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 108100 233920 ) N ;
- FILLER_82_228 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 110400 233920 ) N ;
- FILLER_82_240 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115920 233920 ) N ;
- FILLER_82_252 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121440 233920 ) N ;
- FILLER_82_264 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 126960 233920 ) N ;
- FILLER_82_27 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 17940 233920 ) N ;
- FILLER_82_276 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132480 233920 ) N ;
- FILLER_82_288 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138000 233920 ) N ;
- FILLER_82_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 233920 ) N ;
- FILLER_82_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 233920 ) N ;
- FILLER_82_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 233920 ) N ;
- FILLER_82_31 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 19780 233920 ) N ;
- FILLER_82_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 233920 ) N ;
- FILLER_82_329 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 156860 233920 ) N ;
- FILLER_82_33 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20700 233920 ) N ;
- FILLER_82_341 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 162380 233920 ) N ;
- FILLER_82_353 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 167900 233920 ) N ;
- FILLER_82_358 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 170200 233920 ) N ;
- FILLER_82_370 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 175720 233920 ) N ;
- FILLER_82_382 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 181240 233920 ) N ;
- FILLER_82_394 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186760 233920 ) N ;
- FILLER_82_406 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 192280 233920 ) N ;
- FILLER_82_418 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 197800 233920 ) N ;
- FILLER_82_423 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 200100 233920 ) N ;
- FILLER_82_435 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 205620 233920 ) N ;
- FILLER_82_447 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 211140 233920 ) N ;
- FILLER_82_45 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 26220 233920 ) N ;
- FILLER_82_459 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 216660 233920 ) N ;
- FILLER_82_471 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 222180 233920 ) N ;
- FILLER_82_483 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 227700 233920 ) N ;
- FILLER_82_488 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230000 233920 ) N ;
- FILLER_82_500 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235520 233920 ) N ;
- FILLER_82_512 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241040 233920 ) N ;
- FILLER_82_524 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 246560 233920 ) N ;
- FILLER_82_536 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 252080 233920 ) N ;
- FILLER_82_548 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 257600 233920 ) N ;
- FILLER_82_553 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 259900 233920 ) N ;
- FILLER_82_565 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 265420 233920 ) N ;
- FILLER_82_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 233920 ) N ;
- FILLER_82_577 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 270940 233920 ) N ;
- FILLER_82_589 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 233920 ) N ;
- FILLER_82_601 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 233920 ) N ;
- FILLER_82_613 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 287500 233920 ) N ;
- FILLER_82_618 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 289800 233920 ) N ;
- FILLER_82_624 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 292560 233920 ) N ;
- FILLER_82_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 233920 ) N ;
- FILLER_82_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 233920 ) N ;
- FILLER_82_93 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 48300 233920 ) N ;
- FILLER_82_98 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50600 233920 ) N ;
- FILLER_83_102 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 52440 236640 ) FS ;
- FILLER_83_114 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57960 236640 ) FS ;
- FILLER_83_126 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 63480 236640 ) FS ;
- FILLER_83_131 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 65780 236640 ) FS ;
- FILLER_83_143 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 71300 236640 ) FS ;
- FILLER_83_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 236640 ) FS ;
- FILLER_83_155 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 76820 236640 ) FS ;
- FILLER_83_167 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 82340 236640 ) FS ;
- FILLER_83_179 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 87860 236640 ) FS ;
- FILLER_83_191 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93380 236640 ) FS ;
- FILLER_83_196 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 95680 236640 ) FS ;
- FILLER_83_208 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101200 236640 ) FS ;
- FILLER_83_220 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 106720 236640 ) FS ;
- FILLER_83_232 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112240 236640 ) FS ;
- FILLER_83_244 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 117760 236640 ) FS ;
- FILLER_83_256 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 123280 236640 ) FS ;
- FILLER_83_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 236640 ) FS ;
- FILLER_83_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 236640 ) FS ;
- FILLER_83_273 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 131100 236640 ) FS ;
- FILLER_83_285 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 136620 236640 ) FS ;
- FILLER_83_297 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 142140 236640 ) FS ;
- FILLER_83_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 236640 ) FS ;
- FILLER_83_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 236640 ) FS ;
- FILLER_83_321 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 153180 236640 ) FS ;
- FILLER_83_326 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 155480 236640 ) FS ;
- FILLER_83_338 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 161000 236640 ) FS ;
- FILLER_83_350 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166520 236640 ) FS ;
- FILLER_83_362 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 172040 236640 ) FS ;
- FILLER_83_374 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177560 236640 ) FS ;
- FILLER_83_386 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 183080 236640 ) FS ;
- FILLER_83_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 236640 ) FS ;
- FILLER_83_391 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 185380 236640 ) FS ;
- FILLER_83_403 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 190900 236640 ) FS ;
- FILLER_83_415 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 196420 236640 ) FS ;
- FILLER_83_427 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 201940 236640 ) FS ;
- FILLER_83_439 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 207460 236640 ) FS ;
- FILLER_83_451 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 212980 236640 ) FS ;
- FILLER_83_456 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215280 236640 ) FS ;
- FILLER_83_468 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 220800 236640 ) FS ;
- FILLER_83_480 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 226320 236640 ) FS ;
- FILLER_83_492 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 231840 236640 ) FS ;
- FILLER_83_504 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237360 236640 ) FS ;
- FILLER_83_51 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28980 236640 ) FS ;
- FILLER_83_516 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 242880 236640 ) FS ;
- FILLER_83_521 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 245180 236640 ) FS ;
- FILLER_83_533 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 236640 ) FS ;
- FILLER_83_545 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 236640 ) FS ;
- FILLER_83_557 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 236640 ) FS ;
- FILLER_83_569 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 236640 ) FS ;
- FILLER_83_581 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 272780 236640 ) FS ;
- FILLER_83_586 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 275080 236640 ) FS ;
- FILLER_83_598 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280600 236640 ) FS ;
- FILLER_83_610 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 286120 236640 ) FS ;
- FILLER_83_622 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 291640 236640 ) FS ;
- FILLER_83_63 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 34500 236640 ) FS ;
- FILLER_83_66 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35880 236640 ) FS ;
- FILLER_83_78 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 41400 236640 ) FS ;
- FILLER_83_90 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46920 236640 ) FS ;
- FILLER_84_110 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 56120 239360 ) N ;
- FILLER_84_122 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61640 239360 ) N ;
- FILLER_84_134 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 67160 239360 ) N ;
- FILLER_84_146 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 72680 239360 ) N ;
- FILLER_84_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 239360 ) N ;
- FILLER_84_158 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 78200 239360 ) N ;
- FILLER_84_163 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 80500 239360 ) N ;
- FILLER_84_175 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86020 239360 ) N ;
- FILLER_84_187 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 91540 239360 ) N ;
- FILLER_84_199 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 97060 239360 ) N ;
- FILLER_84_211 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 102580 239360 ) N ;
- FILLER_84_223 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 108100 239360 ) N ;
- FILLER_84_228 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 110400 239360 ) N ;
- FILLER_84_240 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115920 239360 ) N ;
- FILLER_84_252 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121440 239360 ) N ;
- FILLER_84_264 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 126960 239360 ) N ;
- FILLER_84_27 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 17940 239360 ) N ;
- FILLER_84_276 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132480 239360 ) N ;
- FILLER_84_288 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138000 239360 ) N ;
- FILLER_84_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 239360 ) N ;
- FILLER_84_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 239360 ) N ;
- FILLER_84_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 239360 ) N ;
- FILLER_84_31 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 19780 239360 ) N ;
- FILLER_84_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 239360 ) N ;
- FILLER_84_329 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 156860 239360 ) N ;
- FILLER_84_33 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20700 239360 ) N ;
- FILLER_84_341 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 162380 239360 ) N ;
- FILLER_84_353 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 167900 239360 ) N ;
- FILLER_84_358 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 170200 239360 ) N ;
- FILLER_84_370 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 175720 239360 ) N ;
- FILLER_84_382 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 181240 239360 ) N ;
- FILLER_84_394 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186760 239360 ) N ;
- FILLER_84_406 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 192280 239360 ) N ;
- FILLER_84_418 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 197800 239360 ) N ;
- FILLER_84_423 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 200100 239360 ) N ;
- FILLER_84_435 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 205620 239360 ) N ;
- FILLER_84_447 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 211140 239360 ) N ;
- FILLER_84_45 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 26220 239360 ) N ;
- FILLER_84_459 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 216660 239360 ) N ;
- FILLER_84_471 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 222180 239360 ) N ;
- FILLER_84_483 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 227700 239360 ) N ;
- FILLER_84_488 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230000 239360 ) N ;
- FILLER_84_500 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235520 239360 ) N ;
- FILLER_84_512 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241040 239360 ) N ;
- FILLER_84_524 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 246560 239360 ) N ;
- FILLER_84_536 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 252080 239360 ) N ;
- FILLER_84_548 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 257600 239360 ) N ;
- FILLER_84_553 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 259900 239360 ) N ;
- FILLER_84_565 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 265420 239360 ) N ;
- FILLER_84_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 239360 ) N ;
- FILLER_84_577 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 270940 239360 ) N ;
- FILLER_84_589 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 239360 ) N ;
- FILLER_84_601 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 239360 ) N ;
- FILLER_84_613 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 287500 239360 ) N ;
- FILLER_84_618 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 289800 239360 ) N ;
- FILLER_84_624 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 292560 239360 ) N ;
- FILLER_84_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 239360 ) N ;
- FILLER_84_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 239360 ) N ;
- FILLER_84_93 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 48300 239360 ) N ;
- FILLER_84_98 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50600 239360 ) N ;
- FILLER_85_102 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 52440 242080 ) FS ;
- FILLER_85_114 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57960 242080 ) FS ;
- FILLER_85_126 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 63480 242080 ) FS ;
- FILLER_85_131 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 65780 242080 ) FS ;
- FILLER_85_143 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 71300 242080 ) FS ;
- FILLER_85_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 242080 ) FS ;
- FILLER_85_155 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 76820 242080 ) FS ;
- FILLER_85_167 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 82340 242080 ) FS ;
- FILLER_85_179 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 87860 242080 ) FS ;
- FILLER_85_191 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93380 242080 ) FS ;
- FILLER_85_196 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 95680 242080 ) FS ;
- FILLER_85_208 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101200 242080 ) FS ;
- FILLER_85_220 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 106720 242080 ) FS ;
- FILLER_85_232 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112240 242080 ) FS ;
- FILLER_85_244 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 117760 242080 ) FS ;
- FILLER_85_256 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 123280 242080 ) FS ;
- FILLER_85_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 242080 ) FS ;
- FILLER_85_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 242080 ) FS ;
- FILLER_85_273 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 131100 242080 ) FS ;
- FILLER_85_285 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 136620 242080 ) FS ;
- FILLER_85_297 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 142140 242080 ) FS ;
- FILLER_85_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 242080 ) FS ;
- FILLER_85_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 242080 ) FS ;
- FILLER_85_321 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 153180 242080 ) FS ;
- FILLER_85_326 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 155480 242080 ) FS ;
- FILLER_85_338 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 161000 242080 ) FS ;
- FILLER_85_350 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166520 242080 ) FS ;
- FILLER_85_362 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 172040 242080 ) FS ;
- FILLER_85_374 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177560 242080 ) FS ;
- FILLER_85_386 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 183080 242080 ) FS ;
- FILLER_85_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 242080 ) FS ;
- FILLER_85_391 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 185380 242080 ) FS ;
- FILLER_85_403 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 190900 242080 ) FS ;
- FILLER_85_415 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 196420 242080 ) FS ;
- FILLER_85_427 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 201940 242080 ) FS ;
- FILLER_85_439 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 207460 242080 ) FS ;
- FILLER_85_451 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 212980 242080 ) FS ;
- FILLER_85_456 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215280 242080 ) FS ;
- FILLER_85_468 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 220800 242080 ) FS ;
- FILLER_85_480 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 226320 242080 ) FS ;
- FILLER_85_492 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 231840 242080 ) FS ;
- FILLER_85_504 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237360 242080 ) FS ;
- FILLER_85_51 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28980 242080 ) FS ;
- FILLER_85_516 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 242880 242080 ) FS ;
- FILLER_85_521 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 245180 242080 ) FS ;
- FILLER_85_533 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 242080 ) FS ;
- FILLER_85_545 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 242080 ) FS ;
- FILLER_85_557 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 242080 ) FS ;
- FILLER_85_569 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 242080 ) FS ;
- FILLER_85_581 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 272780 242080 ) FS ;
- FILLER_85_586 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 275080 242080 ) FS ;
- FILLER_85_598 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280600 242080 ) FS ;
- FILLER_85_610 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 286120 242080 ) FS ;
- FILLER_85_622 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 291640 242080 ) FS ;
- FILLER_85_63 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 34500 242080 ) FS ;
- FILLER_85_66 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35880 242080 ) FS ;
- FILLER_85_78 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 41400 242080 ) FS ;
- FILLER_85_90 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46920 242080 ) FS ;
- FILLER_86_110 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 56120 244800 ) N ;
- FILLER_86_122 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61640 244800 ) N ;
- FILLER_86_134 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 67160 244800 ) N ;
- FILLER_86_146 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 72680 244800 ) N ;
- FILLER_86_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 244800 ) N ;
- FILLER_86_158 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 78200 244800 ) N ;
- FILLER_86_163 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 80500 244800 ) N ;
- FILLER_86_175 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86020 244800 ) N ;
- FILLER_86_187 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 91540 244800 ) N ;
- FILLER_86_199 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 97060 244800 ) N ;
- FILLER_86_211 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 102580 244800 ) N ;
- FILLER_86_223 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 108100 244800 ) N ;
- FILLER_86_228 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 110400 244800 ) N ;
- FILLER_86_240 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115920 244800 ) N ;
- FILLER_86_252 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121440 244800 ) N ;
- FILLER_86_264 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 126960 244800 ) N ;
- FILLER_86_27 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 17940 244800 ) N ;
- FILLER_86_276 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132480 244800 ) N ;
- FILLER_86_288 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138000 244800 ) N ;
- FILLER_86_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 244800 ) N ;
- FILLER_86_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 244800 ) N ;
- FILLER_86_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 244800 ) N ;
- FILLER_86_31 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 19780 244800 ) N ;
- FILLER_86_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 244800 ) N ;
- FILLER_86_329 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 156860 244800 ) N ;
- FILLER_86_33 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20700 244800 ) N ;
- FILLER_86_341 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 162380 244800 ) N ;
- FILLER_86_353 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 167900 244800 ) N ;
- FILLER_86_358 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 170200 244800 ) N ;
- FILLER_86_370 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 175720 244800 ) N ;
- FILLER_86_382 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 181240 244800 ) N ;
- FILLER_86_394 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186760 244800 ) N ;
- FILLER_86_406 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 192280 244800 ) N ;
- FILLER_86_418 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 197800 244800 ) N ;
- FILLER_86_423 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 200100 244800 ) N ;
- FILLER_86_435 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 205620 244800 ) N ;
- FILLER_86_447 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 211140 244800 ) N ;
- FILLER_86_45 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 26220 244800 ) N ;
- FILLER_86_459 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 216660 244800 ) N ;
- FILLER_86_471 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 222180 244800 ) N ;
- FILLER_86_483 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 227700 244800 ) N ;
- FILLER_86_488 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230000 244800 ) N ;
- FILLER_86_500 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235520 244800 ) N ;
- FILLER_86_512 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241040 244800 ) N ;
- FILLER_86_524 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 246560 244800 ) N ;
- FILLER_86_536 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 252080 244800 ) N ;
- FILLER_86_548 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 257600 244800 ) N ;
- FILLER_86_553 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 259900 244800 ) N ;
- FILLER_86_565 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 265420 244800 ) N ;
- FILLER_86_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 244800 ) N ;
- FILLER_86_577 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 270940 244800 ) N ;
- FILLER_86_589 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 244800 ) N ;
- FILLER_86_601 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 244800 ) N ;
- FILLER_86_613 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 287500 244800 ) N ;
- FILLER_86_618 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 289800 244800 ) N ;
- FILLER_86_624 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 292560 244800 ) N ;
- FILLER_86_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 244800 ) N ;
- FILLER_86_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 244800 ) N ;
- FILLER_86_93 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 48300 244800 ) N ;
- FILLER_86_98 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50600 244800 ) N ;
- FILLER_87_102 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 52440 247520 ) FS ;
- FILLER_87_114 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57960 247520 ) FS ;
- FILLER_87_126 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 63480 247520 ) FS ;
- FILLER_87_131 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 65780 247520 ) FS ;
- FILLER_87_143 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 71300 247520 ) FS ;
- FILLER_87_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 247520 ) FS ;
- FILLER_87_155 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 76820 247520 ) FS ;
- FILLER_87_167 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 82340 247520 ) FS ;
- FILLER_87_179 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 87860 247520 ) FS ;
- FILLER_87_191 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93380 247520 ) FS ;
- FILLER_87_196 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 95680 247520 ) FS ;
- FILLER_87_208 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101200 247520 ) FS ;
- FILLER_87_220 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 106720 247520 ) FS ;
- FILLER_87_232 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112240 247520 ) FS ;
- FILLER_87_244 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 117760 247520 ) FS ;
- FILLER_87_256 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 123280 247520 ) FS ;
- FILLER_87_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 247520 ) FS ;
- FILLER_87_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 247520 ) FS ;
- FILLER_87_273 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 131100 247520 ) FS ;
- FILLER_87_285 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 136620 247520 ) FS ;
- FILLER_87_297 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 142140 247520 ) FS ;
- FILLER_87_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 247520 ) FS ;
- FILLER_87_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 247520 ) FS ;
- FILLER_87_321 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 153180 247520 ) FS ;
- FILLER_87_326 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 155480 247520 ) FS ;
- FILLER_87_338 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 161000 247520 ) FS ;
- FILLER_87_350 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166520 247520 ) FS ;
- FILLER_87_362 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 172040 247520 ) FS ;
- FILLER_87_374 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177560 247520 ) FS ;
- FILLER_87_386 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 183080 247520 ) FS ;
- FILLER_87_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 247520 ) FS ;
- FILLER_87_391 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 185380 247520 ) FS ;
- FILLER_87_403 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 190900 247520 ) FS ;
- FILLER_87_415 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 196420 247520 ) FS ;
- FILLER_87_427 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 201940 247520 ) FS ;
- FILLER_87_439 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 207460 247520 ) FS ;
- FILLER_87_451 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 212980 247520 ) FS ;
- FILLER_87_456 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215280 247520 ) FS ;
- FILLER_87_468 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 220800 247520 ) FS ;
- FILLER_87_480 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 226320 247520 ) FS ;
- FILLER_87_492 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 231840 247520 ) FS ;
- FILLER_87_504 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237360 247520 ) FS ;
- FILLER_87_51 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28980 247520 ) FS ;
- FILLER_87_516 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 242880 247520 ) FS ;
- FILLER_87_521 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 245180 247520 ) FS ;
- FILLER_87_533 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 247520 ) FS ;
- FILLER_87_545 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 247520 ) FS ;
- FILLER_87_557 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 247520 ) FS ;
- FILLER_87_569 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 247520 ) FS ;
- FILLER_87_581 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 272780 247520 ) FS ;
- FILLER_87_586 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 275080 247520 ) FS ;
- FILLER_87_598 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280600 247520 ) FS ;
- FILLER_87_610 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 286120 247520 ) FS ;
- FILLER_87_622 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 291640 247520 ) FS ;
- FILLER_87_63 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 34500 247520 ) FS ;
- FILLER_87_66 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35880 247520 ) FS ;
- FILLER_87_78 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 41400 247520 ) FS ;
- FILLER_87_90 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46920 247520 ) FS ;
- FILLER_88_110 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 56120 250240 ) N ;
- FILLER_88_122 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61640 250240 ) N ;
- FILLER_88_134 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 67160 250240 ) N ;
- FILLER_88_146 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 72680 250240 ) N ;
- FILLER_88_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 250240 ) N ;
- FILLER_88_158 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 78200 250240 ) N ;
- FILLER_88_163 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 80500 250240 ) N ;
- FILLER_88_175 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86020 250240 ) N ;
- FILLER_88_187 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 91540 250240 ) N ;
- FILLER_88_199 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 97060 250240 ) N ;
- FILLER_88_211 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 102580 250240 ) N ;
- FILLER_88_223 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 108100 250240 ) N ;
- FILLER_88_228 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 110400 250240 ) N ;
- FILLER_88_240 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115920 250240 ) N ;
- FILLER_88_252 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121440 250240 ) N ;
- FILLER_88_264 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 126960 250240 ) N ;
- FILLER_88_27 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 17940 250240 ) N ;
- FILLER_88_276 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132480 250240 ) N ;
- FILLER_88_288 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138000 250240 ) N ;
- FILLER_88_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 250240 ) N ;
- FILLER_88_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 250240 ) N ;
- FILLER_88_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 250240 ) N ;
- FILLER_88_31 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 19780 250240 ) N ;
- FILLER_88_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 250240 ) N ;
- FILLER_88_329 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 156860 250240 ) N ;
- FILLER_88_33 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20700 250240 ) N ;
- FILLER_88_341 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 162380 250240 ) N ;
- FILLER_88_353 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 167900 250240 ) N ;
- FILLER_88_358 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 170200 250240 ) N ;
- FILLER_88_370 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 175720 250240 ) N ;
- FILLER_88_382 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 181240 250240 ) N ;
- FILLER_88_394 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186760 250240 ) N ;
- FILLER_88_406 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 192280 250240 ) N ;
- FILLER_88_418 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 197800 250240 ) N ;
- FILLER_88_423 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 200100 250240 ) N ;
- FILLER_88_435 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 205620 250240 ) N ;
- FILLER_88_447 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 211140 250240 ) N ;
- FILLER_88_45 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 26220 250240 ) N ;
- FILLER_88_459 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 216660 250240 ) N ;
- FILLER_88_471 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 222180 250240 ) N ;
- FILLER_88_483 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 227700 250240 ) N ;
- FILLER_88_488 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230000 250240 ) N ;
- FILLER_88_500 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235520 250240 ) N ;
- FILLER_88_512 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241040 250240 ) N ;
- FILLER_88_524 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 246560 250240 ) N ;
- FILLER_88_536 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 252080 250240 ) N ;
- FILLER_88_548 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 257600 250240 ) N ;
- FILLER_88_553 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 259900 250240 ) N ;
- FILLER_88_565 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 265420 250240 ) N ;
- FILLER_88_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 250240 ) N ;
- FILLER_88_577 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 270940 250240 ) N ;
- FILLER_88_589 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 250240 ) N ;
- FILLER_88_601 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 250240 ) N ;
- FILLER_88_613 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 287500 250240 ) N ;
- FILLER_88_618 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 289800 250240 ) N ;
- FILLER_88_624 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 292560 250240 ) N ;
- FILLER_88_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 250240 ) N ;
- FILLER_88_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 250240 ) N ;
- FILLER_88_93 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 48300 250240 ) N ;
- FILLER_88_98 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50600 250240 ) N ;
- FILLER_89_102 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 52440 252960 ) FS ;
- FILLER_89_114 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57960 252960 ) FS ;
- FILLER_89_126 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 63480 252960 ) FS ;
- FILLER_89_131 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 65780 252960 ) FS ;
- FILLER_89_143 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 71300 252960 ) FS ;
- FILLER_89_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 252960 ) FS ;
- FILLER_89_155 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 76820 252960 ) FS ;
- FILLER_89_167 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 82340 252960 ) FS ;
- FILLER_89_179 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 87860 252960 ) FS ;
- FILLER_89_191 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93380 252960 ) FS ;
- FILLER_89_196 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 95680 252960 ) FS ;
- FILLER_89_208 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101200 252960 ) FS ;
- FILLER_89_220 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 106720 252960 ) FS ;
- FILLER_89_232 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112240 252960 ) FS ;
- FILLER_89_244 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 117760 252960 ) FS ;
- FILLER_89_256 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 123280 252960 ) FS ;
- FILLER_89_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 252960 ) FS ;
- FILLER_89_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 252960 ) FS ;
- FILLER_89_273 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 131100 252960 ) FS ;
- FILLER_89_285 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 136620 252960 ) FS ;
- FILLER_89_297 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 142140 252960 ) FS ;
- FILLER_89_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 252960 ) FS ;
- FILLER_89_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 252960 ) FS ;
- FILLER_89_321 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 153180 252960 ) FS ;
- FILLER_89_326 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 155480 252960 ) FS ;
- FILLER_89_338 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 161000 252960 ) FS ;
- FILLER_89_350 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166520 252960 ) FS ;
- FILLER_89_362 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 172040 252960 ) FS ;
- FILLER_89_374 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177560 252960 ) FS ;
- FILLER_89_386 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 183080 252960 ) FS ;
- FILLER_89_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 252960 ) FS ;
- FILLER_89_391 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 185380 252960 ) FS ;
- FILLER_89_403 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 190900 252960 ) FS ;
- FILLER_89_415 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 196420 252960 ) FS ;
- FILLER_89_427 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 201940 252960 ) FS ;
- FILLER_89_439 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 207460 252960 ) FS ;
- FILLER_89_451 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 212980 252960 ) FS ;
- FILLER_89_456 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215280 252960 ) FS ;
- FILLER_89_468 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 220800 252960 ) FS ;
- FILLER_89_480 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 226320 252960 ) FS ;
- FILLER_89_492 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 231840 252960 ) FS ;
- FILLER_89_504 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237360 252960 ) FS ;
- FILLER_89_51 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28980 252960 ) FS ;
- FILLER_89_516 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 242880 252960 ) FS ;
- FILLER_89_521 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 245180 252960 ) FS ;
- FILLER_89_533 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 252960 ) FS ;
- FILLER_89_545 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 252960 ) FS ;
- FILLER_89_557 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 252960 ) FS ;
- FILLER_89_569 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 252960 ) FS ;
- FILLER_89_581 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 272780 252960 ) FS ;
- FILLER_89_586 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 275080 252960 ) FS ;
- FILLER_89_598 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280600 252960 ) FS ;
- FILLER_89_610 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 286120 252960 ) FS ;
- FILLER_89_622 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 291640 252960 ) FS ;
- FILLER_89_63 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 34500 252960 ) FS ;
- FILLER_89_66 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35880 252960 ) FS ;
- FILLER_89_78 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 41400 252960 ) FS ;
- FILLER_89_90 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46920 252960 ) FS ;
- FILLER_8_110 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 56120 32640 ) N ;
- FILLER_8_122 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61640 32640 ) N ;
- FILLER_8_134 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 67160 32640 ) N ;
- FILLER_8_146 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 72680 32640 ) N ;
- FILLER_8_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 32640 ) N ;
- FILLER_8_158 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 78200 32640 ) N ;
- FILLER_8_163 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 80500 32640 ) N ;
- FILLER_8_175 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86020 32640 ) N ;
- FILLER_8_187 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 91540 32640 ) N ;
- FILLER_8_199 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 97060 32640 ) N ;
- FILLER_8_211 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 102580 32640 ) N ;
- FILLER_8_223 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 108100 32640 ) N ;
- FILLER_8_228 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 110400 32640 ) N ;
- FILLER_8_240 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115920 32640 ) N ;
- FILLER_8_252 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121440 32640 ) N ;
- FILLER_8_264 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 126960 32640 ) N ;
- FILLER_8_27 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 17940 32640 ) N ;
- FILLER_8_272 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 130640 32640 ) N ;
- FILLER_8_276 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 132480 32640 ) N ;
- FILLER_8_283 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 135700 32640 ) N ;
- FILLER_8_290 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 138920 32640 ) N ;
- FILLER_8_293 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 140300 32640 ) N ;
- FILLER_8_299 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 143060 32640 ) N ;
- FILLER_8_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 32640 ) N ;
- FILLER_8_31 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 19780 32640 ) N ;
- FILLER_8_321 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 153180 32640 ) N ;
- FILLER_8_33 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20700 32640 ) N ;
- FILLER_8_346 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 164680 32640 ) N ;
- FILLER_8_354 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 168360 32640 ) N ;
- FILLER_8_358 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 170200 32640 ) N ;
- FILLER_8_363 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 172500 32640 ) N ;
- FILLER_8_375 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178020 32640 ) N ;
- FILLER_8_387 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 183540 32640 ) N ;
- FILLER_8_399 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 189060 32640 ) N ;
- FILLER_8_411 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 194580 32640 ) N ;
- FILLER_8_419 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 198260 32640 ) N ;
- FILLER_8_423 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 200100 32640 ) N ;
- FILLER_8_435 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 205620 32640 ) N ;
- FILLER_8_447 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 211140 32640 ) N ;
- FILLER_8_45 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 26220 32640 ) N ;
- FILLER_8_459 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 216660 32640 ) N ;
- FILLER_8_471 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 222180 32640 ) N ;
- FILLER_8_483 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 227700 32640 ) N ;
- FILLER_8_488 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230000 32640 ) N ;
- FILLER_8_500 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235520 32640 ) N ;
- FILLER_8_512 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241040 32640 ) N ;
- FILLER_8_524 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 246560 32640 ) N ;
- FILLER_8_536 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 252080 32640 ) N ;
- FILLER_8_548 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 257600 32640 ) N ;
- FILLER_8_553 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 259900 32640 ) N ;
- FILLER_8_565 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 265420 32640 ) N ;
- FILLER_8_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 32640 ) N ;
- FILLER_8_577 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 270940 32640 ) N ;
- FILLER_8_589 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 32640 ) N ;
- FILLER_8_601 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 32640 ) N ;
- FILLER_8_613 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 287500 32640 ) N ;
- FILLER_8_618 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 289800 32640 ) N ;
- FILLER_8_624 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 292560 32640 ) N ;
- FILLER_8_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 32640 ) N ;
- FILLER_8_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 32640 ) N ;
- FILLER_8_93 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 48300 32640 ) N ;
- FILLER_8_98 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50600 32640 ) N ;
- FILLER_90_110 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 56120 255680 ) N ;
- FILLER_90_122 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61640 255680 ) N ;
- FILLER_90_134 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 67160 255680 ) N ;
- FILLER_90_146 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 72680 255680 ) N ;
- FILLER_90_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 255680 ) N ;
- FILLER_90_158 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 78200 255680 ) N ;
- FILLER_90_163 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 80500 255680 ) N ;
- FILLER_90_175 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86020 255680 ) N ;
- FILLER_90_187 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 91540 255680 ) N ;
- FILLER_90_199 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 97060 255680 ) N ;
- FILLER_90_211 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 102580 255680 ) N ;
- FILLER_90_223 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 108100 255680 ) N ;
- FILLER_90_228 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 110400 255680 ) N ;
- FILLER_90_240 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115920 255680 ) N ;
- FILLER_90_252 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121440 255680 ) N ;
- FILLER_90_264 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 126960 255680 ) N ;
- FILLER_90_27 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 17940 255680 ) N ;
- FILLER_90_276 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132480 255680 ) N ;
- FILLER_90_288 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138000 255680 ) N ;
- FILLER_90_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 255680 ) N ;
- FILLER_90_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 255680 ) N ;
- FILLER_90_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 255680 ) N ;
- FILLER_90_31 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 19780 255680 ) N ;
- FILLER_90_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 255680 ) N ;
- FILLER_90_329 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 156860 255680 ) N ;
- FILLER_90_33 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20700 255680 ) N ;
- FILLER_90_341 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 162380 255680 ) N ;
- FILLER_90_353 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 167900 255680 ) N ;
- FILLER_90_358 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 170200 255680 ) N ;
- FILLER_90_363 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 172500 255680 ) N ;
- FILLER_90_375 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178020 255680 ) N ;
- FILLER_90_387 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 183540 255680 ) N ;
- FILLER_90_399 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 189060 255680 ) N ;
- FILLER_90_411 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 194580 255680 ) N ;
- FILLER_90_419 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 198260 255680 ) N ;
- FILLER_90_423 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 200100 255680 ) N ;
- FILLER_90_435 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 205620 255680 ) N ;
- FILLER_90_447 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 211140 255680 ) N ;
- FILLER_90_45 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 26220 255680 ) N ;
- FILLER_90_459 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 216660 255680 ) N ;
- FILLER_90_471 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 222180 255680 ) N ;
- FILLER_90_483 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 227700 255680 ) N ;
- FILLER_90_488 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230000 255680 ) N ;
- FILLER_90_500 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235520 255680 ) N ;
- FILLER_90_512 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241040 255680 ) N ;
- FILLER_90_524 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 246560 255680 ) N ;
- FILLER_90_536 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 252080 255680 ) N ;
- FILLER_90_548 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 257600 255680 ) N ;
- FILLER_90_553 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 259900 255680 ) N ;
- FILLER_90_565 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 265420 255680 ) N ;
- FILLER_90_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 255680 ) N ;
- FILLER_90_577 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 270940 255680 ) N ;
- FILLER_90_589 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 255680 ) N ;
- FILLER_90_601 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 255680 ) N ;
- FILLER_90_613 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 287500 255680 ) N ;
- FILLER_90_618 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 289800 255680 ) N ;
- FILLER_90_624 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 292560 255680 ) N ;
- FILLER_90_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 255680 ) N ;
- FILLER_90_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 255680 ) N ;
- FILLER_90_93 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 48300 255680 ) N ;
- FILLER_90_98 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50600 255680 ) N ;
- FILLER_91_102 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 52440 258400 ) FS ;
- FILLER_91_114 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57960 258400 ) FS ;
- FILLER_91_126 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 63480 258400 ) FS ;
- FILLER_91_131 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 65780 258400 ) FS ;
- FILLER_91_143 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 71300 258400 ) FS ;
- FILLER_91_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 258400 ) FS ;
- FILLER_91_155 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 76820 258400 ) FS ;
- FILLER_91_167 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 82340 258400 ) FS ;
- FILLER_91_179 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 87860 258400 ) FS ;
- FILLER_91_191 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93380 258400 ) FS ;
- FILLER_91_196 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 95680 258400 ) FS ;
- FILLER_91_208 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101200 258400 ) FS ;
- FILLER_91_220 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 106720 258400 ) FS ;
- FILLER_91_232 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112240 258400 ) FS ;
- FILLER_91_244 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 117760 258400 ) FS ;
- FILLER_91_256 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 123280 258400 ) FS ;
- FILLER_91_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 258400 ) FS ;
- FILLER_91_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 258400 ) FS ;
- FILLER_91_273 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 131100 258400 ) FS ;
- FILLER_91_285 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 136620 258400 ) FS ;
- FILLER_91_297 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 142140 258400 ) FS ;
- FILLER_91_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 258400 ) FS ;
- FILLER_91_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 258400 ) FS ;
- FILLER_91_321 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 153180 258400 ) FS ;
- FILLER_91_326 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 155480 258400 ) FS ;
- FILLER_91_338 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 161000 258400 ) FS ;
- FILLER_91_350 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 166520 258400 ) FS ;
- FILLER_91_379 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 179860 258400 ) FS ;
- FILLER_91_387 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 183540 258400 ) FS ;
- FILLER_91_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 258400 ) FS ;
- FILLER_91_391 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 185380 258400 ) FS ;
- FILLER_91_403 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 190900 258400 ) FS ;
- FILLER_91_415 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 196420 258400 ) FS ;
- FILLER_91_427 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 201940 258400 ) FS ;
- FILLER_91_439 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 207460 258400 ) FS ;
- FILLER_91_451 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 212980 258400 ) FS ;
- FILLER_91_456 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215280 258400 ) FS ;
- FILLER_91_468 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 220800 258400 ) FS ;
- FILLER_91_480 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 226320 258400 ) FS ;
- FILLER_91_492 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 231840 258400 ) FS ;
- FILLER_91_504 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237360 258400 ) FS ;
- FILLER_91_51 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28980 258400 ) FS ;
- FILLER_91_516 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 242880 258400 ) FS ;
- FILLER_91_521 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 245180 258400 ) FS ;
- FILLER_91_533 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 258400 ) FS ;
- FILLER_91_545 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 258400 ) FS ;
- FILLER_91_557 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 258400 ) FS ;
- FILLER_91_569 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 258400 ) FS ;
- FILLER_91_581 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 272780 258400 ) FS ;
- FILLER_91_586 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 275080 258400 ) FS ;
- FILLER_91_598 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280600 258400 ) FS ;
- FILLER_91_610 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 286120 258400 ) FS ;
- FILLER_91_622 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 291640 258400 ) FS ;
- FILLER_91_63 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 34500 258400 ) FS ;
- FILLER_91_66 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35880 258400 ) FS ;
- FILLER_91_78 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 41400 258400 ) FS ;
- FILLER_91_90 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46920 258400 ) FS ;
- FILLER_92_110 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 56120 261120 ) N ;
- FILLER_92_122 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61640 261120 ) N ;
- FILLER_92_134 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 67160 261120 ) N ;
- FILLER_92_146 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 72680 261120 ) N ;
- FILLER_92_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 261120 ) N ;
- FILLER_92_158 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 78200 261120 ) N ;
- FILLER_92_163 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 80500 261120 ) N ;
- FILLER_92_175 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86020 261120 ) N ;
- FILLER_92_187 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 91540 261120 ) N ;
- FILLER_92_199 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 97060 261120 ) N ;
- FILLER_92_211 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 102580 261120 ) N ;
- FILLER_92_223 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 108100 261120 ) N ;
- FILLER_92_228 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 110400 261120 ) N ;
- FILLER_92_240 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115920 261120 ) N ;
- FILLER_92_252 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121440 261120 ) N ;
- FILLER_92_264 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 126960 261120 ) N ;
- FILLER_92_27 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 17940 261120 ) N ;
- FILLER_92_276 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132480 261120 ) N ;
- FILLER_92_288 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138000 261120 ) N ;
- FILLER_92_293 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 261120 ) N ;
- FILLER_92_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 261120 ) N ;
- FILLER_92_305 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 261120 ) N ;
- FILLER_92_31 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 19780 261120 ) N ;
- FILLER_92_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 261120 ) N ;
- FILLER_92_329 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 156860 261120 ) N ;
- FILLER_92_33 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20700 261120 ) N ;
- FILLER_92_341 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 162380 261120 ) N ;
- FILLER_92_353 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 167900 261120 ) N ;
- FILLER_92_358 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 170200 261120 ) N ;
- FILLER_92_370 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 175720 261120 ) N ;
- FILLER_92_382 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 181240 261120 ) N ;
- FILLER_92_394 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186760 261120 ) N ;
- FILLER_92_406 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 192280 261120 ) N ;
- FILLER_92_418 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 197800 261120 ) N ;
- FILLER_92_423 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 200100 261120 ) N ;
- FILLER_92_435 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 205620 261120 ) N ;
- FILLER_92_447 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 211140 261120 ) N ;
- FILLER_92_45 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 26220 261120 ) N ;
- FILLER_92_459 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 216660 261120 ) N ;
- FILLER_92_471 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 222180 261120 ) N ;
- FILLER_92_483 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 227700 261120 ) N ;
- FILLER_92_488 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230000 261120 ) N ;
- FILLER_92_500 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235520 261120 ) N ;
- FILLER_92_512 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241040 261120 ) N ;
- FILLER_92_524 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 246560 261120 ) N ;
- FILLER_92_536 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 252080 261120 ) N ;
- FILLER_92_548 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 257600 261120 ) N ;
- FILLER_92_553 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 259900 261120 ) N ;
- FILLER_92_565 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 265420 261120 ) N ;
- FILLER_92_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 261120 ) N ;
- FILLER_92_577 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 270940 261120 ) N ;
- FILLER_92_589 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 261120 ) N ;
- FILLER_92_601 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 261120 ) N ;
- FILLER_92_613 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 287500 261120 ) N ;
- FILLER_92_618 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 289800 261120 ) N ;
- FILLER_92_624 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 292560 261120 ) N ;
- FILLER_92_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 261120 ) N ;
- FILLER_92_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 261120 ) N ;
- FILLER_92_93 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 48300 261120 ) N ;
- FILLER_92_98 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50600 261120 ) N ;
- FILLER_93_102 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 52440 263840 ) FS ;
- FILLER_93_114 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57960 263840 ) FS ;
- FILLER_93_126 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 63480 263840 ) FS ;
- FILLER_93_131 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 65780 263840 ) FS ;
- FILLER_93_143 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 71300 263840 ) FS ;
- FILLER_93_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 263840 ) FS ;
- FILLER_93_155 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 76820 263840 ) FS ;
- FILLER_93_167 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 82340 263840 ) FS ;
- FILLER_93_179 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 87860 263840 ) FS ;
- FILLER_93_191 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93380 263840 ) FS ;
- FILLER_93_196 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 95680 263840 ) FS ;
- FILLER_93_208 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101200 263840 ) FS ;
- FILLER_93_220 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 106720 263840 ) FS ;
- FILLER_93_232 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112240 263840 ) FS ;
- FILLER_93_244 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 117760 263840 ) FS ;
- FILLER_93_256 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 123280 263840 ) FS ;
- FILLER_93_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 263840 ) FS ;
- FILLER_93_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 263840 ) FS ;
- FILLER_93_273 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 131100 263840 ) FS ;
- FILLER_93_285 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 136620 263840 ) FS ;
- FILLER_93_297 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 142140 263840 ) FS ;
- FILLER_93_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 263840 ) FS ;
- FILLER_93_309 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 263840 ) FS ;
- FILLER_93_321 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 153180 263840 ) FS ;
- FILLER_93_326 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 155480 263840 ) FS ;
- FILLER_93_338 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 161000 263840 ) FS ;
- FILLER_93_343 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 163300 263840 ) FS ;
- FILLER_93_347 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 165140 263840 ) FS ;
- FILLER_93_350 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 166520 263840 ) FS ;
- FILLER_93_356 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 169280 263840 ) FS ;
- FILLER_93_362 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 172040 263840 ) FS ;
- FILLER_93_368 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 174800 263840 ) FS ;
- FILLER_93_374 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177560 263840 ) FS ;
- FILLER_93_386 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 183080 263840 ) FS ;
- FILLER_93_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 263840 ) FS ;
- FILLER_93_391 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 185380 263840 ) FS ;
- FILLER_93_403 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 190900 263840 ) FS ;
- FILLER_93_415 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 196420 263840 ) FS ;
- FILLER_93_427 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 201940 263840 ) FS ;
- FILLER_93_432 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 204240 263840 ) FS ;
- FILLER_93_444 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 209760 263840 ) FS ;
- FILLER_93_452 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 213440 263840 ) FS ;
- FILLER_93_456 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215280 263840 ) FS ;
- FILLER_93_468 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 220800 263840 ) FS ;
- FILLER_93_480 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 226320 263840 ) FS ;
- FILLER_93_492 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 231840 263840 ) FS ;
- FILLER_93_504 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237360 263840 ) FS ;
- FILLER_93_51 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28980 263840 ) FS ;
- FILLER_93_516 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 242880 263840 ) FS ;
- FILLER_93_521 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 245180 263840 ) FS ;
- FILLER_93_533 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 263840 ) FS ;
- FILLER_93_545 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 263840 ) FS ;
- FILLER_93_557 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 263840 ) FS ;
- FILLER_93_569 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 263840 ) FS ;
- FILLER_93_581 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 272780 263840 ) FS ;
- FILLER_93_586 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 275080 263840 ) FS ;
- FILLER_93_598 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280600 263840 ) FS ;
- FILLER_93_610 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 286120 263840 ) FS ;
- FILLER_93_622 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 291640 263840 ) FS ;
- FILLER_93_63 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 34500 263840 ) FS ;
- FILLER_93_66 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35880 263840 ) FS ;
- FILLER_93_78 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 41400 263840 ) FS ;
- FILLER_93_90 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46920 263840 ) FS ;
- FILLER_94_110 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 56120 266560 ) N ;
- FILLER_94_122 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61640 266560 ) N ;
- FILLER_94_134 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 67160 266560 ) N ;
- FILLER_94_146 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 72680 266560 ) N ;
- FILLER_94_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 266560 ) N ;
- FILLER_94_158 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 78200 266560 ) N ;
- FILLER_94_163 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 80500 266560 ) N ;
- FILLER_94_175 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86020 266560 ) N ;
- FILLER_94_187 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 91540 266560 ) N ;
- FILLER_94_199 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 97060 266560 ) N ;
- FILLER_94_211 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 102580 266560 ) N ;
- FILLER_94_223 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 108100 266560 ) N ;
- FILLER_94_228 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 110400 266560 ) N ;
- FILLER_94_240 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115920 266560 ) N ;
- FILLER_94_252 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121440 266560 ) N ;
- FILLER_94_264 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 126960 266560 ) N ;
- FILLER_94_27 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 17940 266560 ) N ;
- FILLER_94_276 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 132480 266560 ) N ;
- FILLER_94_284 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 136160 266560 ) N ;
- FILLER_94_288 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138000 266560 ) N ;
- FILLER_94_293 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 140300 266560 ) N ;
- FILLER_94_297 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 142140 266560 ) N ;
- FILLER_94_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 266560 ) N ;
- FILLER_94_300 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 143520 266560 ) N ;
- FILLER_94_306 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 146280 266560 ) N ;
- FILLER_94_31 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 19780 266560 ) N ;
- FILLER_94_317 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 266560 ) N ;
- FILLER_94_329 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 156860 266560 ) N ;
- FILLER_94_33 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20700 266560 ) N ;
- FILLER_94_337 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 160540 266560 ) N ;
- FILLER_94_346 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 164680 266560 ) N ;
- FILLER_94_355 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 168820 266560 ) N ;
- FILLER_94_358 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 170200 266560 ) N ;
- FILLER_94_362 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 172040 266560 ) N ;
- FILLER_94_368 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 174800 266560 ) N ;
- FILLER_94_374 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 177560 266560 ) N ;
- FILLER_94_380 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 180320 266560 ) N ;
- FILLER_94_392 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 185840 266560 ) N ;
- FILLER_94_404 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 191360 266560 ) N ;
- FILLER_94_416 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 196880 266560 ) N ;
- FILLER_94_423 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 200100 266560 ) N ;
- FILLER_94_427 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 201940 266560 ) N ;
- FILLER_94_433 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 204700 266560 ) N ;
- FILLER_94_439 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 207460 266560 ) N ;
- FILLER_94_448 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 211600 266560 ) N ;
- FILLER_94_45 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 26220 266560 ) N ;
- FILLER_94_460 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 217120 266560 ) N ;
- FILLER_94_472 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 222640 266560 ) N ;
- FILLER_94_484 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 228160 266560 ) N ;
- FILLER_94_488 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230000 266560 ) N ;
- FILLER_94_500 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235520 266560 ) N ;
- FILLER_94_512 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241040 266560 ) N ;
- FILLER_94_524 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 246560 266560 ) N ;
- FILLER_94_536 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 252080 266560 ) N ;
- FILLER_94_548 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 257600 266560 ) N ;
- FILLER_94_553 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 259900 266560 ) N ;
- FILLER_94_565 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 265420 266560 ) N ;
- FILLER_94_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 266560 ) N ;
- FILLER_94_577 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 270940 266560 ) N ;
- FILLER_94_589 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 266560 ) N ;
- FILLER_94_601 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 266560 ) N ;
- FILLER_94_613 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 287500 266560 ) N ;
- FILLER_94_618 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 289800 266560 ) N ;
- FILLER_94_624 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 292560 266560 ) N ;
- FILLER_94_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 266560 ) N ;
- FILLER_94_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 266560 ) N ;
- FILLER_94_93 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 48300 266560 ) N ;
- FILLER_94_98 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50600 266560 ) N ;
- FILLER_95_102 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 52440 269280 ) FS ;
- FILLER_95_114 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57960 269280 ) FS ;
- FILLER_95_126 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 63480 269280 ) FS ;
- FILLER_95_131 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 65780 269280 ) FS ;
- FILLER_95_143 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 71300 269280 ) FS ;
- FILLER_95_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 269280 ) FS ;
- FILLER_95_155 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 76820 269280 ) FS ;
- FILLER_95_167 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 82340 269280 ) FS ;
- FILLER_95_179 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 87860 269280 ) FS ;
- FILLER_95_191 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93380 269280 ) FS ;
- FILLER_95_196 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 95680 269280 ) FS ;
- FILLER_95_208 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101200 269280 ) FS ;
- FILLER_95_220 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 106720 269280 ) FS ;
- FILLER_95_232 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112240 269280 ) FS ;
- FILLER_95_244 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 117760 269280 ) FS ;
- FILLER_95_256 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 123280 269280 ) FS ;
- FILLER_95_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 269280 ) FS ;
- FILLER_95_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 269280 ) FS ;
- FILLER_95_273 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 131100 269280 ) FS ;
- FILLER_95_278 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 133400 269280 ) FS ;
- FILLER_95_286 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 137080 269280 ) FS ;
- FILLER_95_292 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 139840 269280 ) FS ;
- FILLER_95_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 269280 ) FS ;
- FILLER_95_303 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 144900 269280 ) FS ;
- FILLER_95_312 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 149040 269280 ) FS ;
- FILLER_95_323 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 154100 269280 ) FS ;
- FILLER_95_326 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 155480 269280 ) FS ;
- FILLER_95_330 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 157320 269280 ) FS ;
- FILLER_95_345 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 164220 269280 ) FS ;
- FILLER_95_361 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 171580 269280 ) FS ;
- FILLER_95_372 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 176640 269280 ) FS ;
- FILLER_95_382 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 181240 269280 ) FS ;
- FILLER_95_388 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 184000 269280 ) FS ;
- FILLER_95_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 269280 ) FS ;
- FILLER_95_391 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 185380 269280 ) FS ;
- FILLER_95_395 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 187220 269280 ) FS ;
- FILLER_95_403 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 190900 269280 ) FS ;
- FILLER_95_407 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 192740 269280 ) FS ;
- FILLER_95_414 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 195960 269280 ) FS ;
- FILLER_95_420 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 198720 269280 ) FS ;
- FILLER_95_427 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 201940 269280 ) FS ;
- FILLER_95_440 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 207920 269280 ) FS ;
- FILLER_95_450 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 212520 269280 ) FS ;
- FILLER_95_454 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 214360 269280 ) FS ;
- FILLER_95_456 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 215280 269280 ) FS ;
- FILLER_95_464 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 218960 269280 ) FS ;
- FILLER_95_476 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 224480 269280 ) FS ;
- FILLER_95_488 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230000 269280 ) FS ;
- FILLER_95_500 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235520 269280 ) FS ;
- FILLER_95_51 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28980 269280 ) FS ;
- FILLER_95_512 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 241040 269280 ) FS ;
- FILLER_95_521 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 245180 269280 ) FS ;
- FILLER_95_533 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 269280 ) FS ;
- FILLER_95_545 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 269280 ) FS ;
- FILLER_95_557 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 269280 ) FS ;
- FILLER_95_569 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 269280 ) FS ;
- FILLER_95_581 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 272780 269280 ) FS ;
- FILLER_95_586 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 275080 269280 ) FS ;
- FILLER_95_598 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280600 269280 ) FS ;
- FILLER_95_610 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 286120 269280 ) FS ;
- FILLER_95_622 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 291640 269280 ) FS ;
- FILLER_95_63 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 34500 269280 ) FS ;
- FILLER_95_66 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35880 269280 ) FS ;
- FILLER_95_78 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 41400 269280 ) FS ;
- FILLER_95_90 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46920 269280 ) FS ;
- FILLER_96_110 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 56120 272000 ) N ;
- FILLER_96_122 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61640 272000 ) N ;
- FILLER_96_134 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 67160 272000 ) N ;
- FILLER_96_146 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 72680 272000 ) N ;
- FILLER_96_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 272000 ) N ;
- FILLER_96_158 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 78200 272000 ) N ;
- FILLER_96_163 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 80500 272000 ) N ;
- FILLER_96_175 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86020 272000 ) N ;
- FILLER_96_187 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 91540 272000 ) N ;
- FILLER_96_199 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 97060 272000 ) N ;
- FILLER_96_211 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 102580 272000 ) N ;
- FILLER_96_223 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 108100 272000 ) N ;
- FILLER_96_228 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 110400 272000 ) N ;
- FILLER_96_240 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115920 272000 ) N ;
- FILLER_96_254 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 122360 272000 ) N ;
- FILLER_96_260 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 125120 272000 ) N ;
- FILLER_96_263 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 126500 272000 ) N ;
- FILLER_96_269 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 129260 272000 ) N ;
- FILLER_96_27 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 17940 272000 ) N ;
- FILLER_96_275 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 132020 272000 ) N ;
- FILLER_96_282 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 135240 272000 ) N ;
- FILLER_96_286 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 137080 272000 ) N ;
- FILLER_96_290 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 138920 272000 ) N ;
- FILLER_96_293 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 140300 272000 ) N ;
- FILLER_96_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 272000 ) N ;
- FILLER_96_300 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 143520 272000 ) N ;
- FILLER_96_31 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 19780 272000 ) N ;
- FILLER_96_311 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 148580 272000 ) N ;
- FILLER_96_318 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 151800 272000 ) N ;
- FILLER_96_326 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 155480 272000 ) N ;
- FILLER_96_33 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20700 272000 ) N ;
- FILLER_96_334 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159160 272000 ) N ;
- FILLER_96_341 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 162380 272000 ) N ;
- FILLER_96_351 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 166980 272000 ) N ;
- FILLER_96_358 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 170200 272000 ) N ;
- FILLER_96_366 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 173880 272000 ) N ;
- FILLER_96_373 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 177100 272000 ) N ;
- FILLER_96_380 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 180320 272000 ) N ;
- FILLER_96_387 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 183540 272000 ) N ;
- FILLER_96_393 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 186300 272000 ) N ;
- FILLER_96_402 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 190440 272000 ) N ;
- FILLER_96_408 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 193200 272000 ) N ;
- FILLER_96_419 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 198260 272000 ) N ;
- FILLER_96_423 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 200100 272000 ) N ;
- FILLER_96_427 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 201940 272000 ) N ;
- FILLER_96_437 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 206540 272000 ) N ;
- FILLER_96_444 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 209760 272000 ) N ;
- FILLER_96_448 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 211600 272000 ) N ;
- FILLER_96_45 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 26220 272000 ) N ;
- FILLER_96_457 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 215740 272000 ) N ;
- FILLER_96_468 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 220800 272000 ) N ;
- FILLER_96_478 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 225400 272000 ) N ;
- FILLER_96_486 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 229080 272000 ) N ;
- FILLER_96_488 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230000 272000 ) N ;
- FILLER_96_500 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235520 272000 ) N ;
- FILLER_96_512 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241040 272000 ) N ;
- FILLER_96_524 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 246560 272000 ) N ;
- FILLER_96_536 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 252080 272000 ) N ;
- FILLER_96_548 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 257600 272000 ) N ;
- FILLER_96_553 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 259900 272000 ) N ;
- FILLER_96_565 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 265420 272000 ) N ;
- FILLER_96_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 272000 ) N ;
- FILLER_96_577 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 270940 272000 ) N ;
- FILLER_96_589 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 272000 ) N ;
- FILLER_96_601 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 272000 ) N ;
- FILLER_96_613 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 287500 272000 ) N ;
- FILLER_96_618 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 289800 272000 ) N ;
- FILLER_96_624 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 292560 272000 ) N ;
- FILLER_96_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 272000 ) N ;
- FILLER_96_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 272000 ) N ;
- FILLER_96_93 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 48300 272000 ) N ;
- FILLER_96_98 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50600 272000 ) N ;
- FILLER_97_102 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 52440 274720 ) FS ;
- FILLER_97_114 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57960 274720 ) FS ;
- FILLER_97_126 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 63480 274720 ) FS ;
- FILLER_97_131 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 65780 274720 ) FS ;
- FILLER_97_143 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 71300 274720 ) FS ;
- FILLER_97_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 274720 ) FS ;
- FILLER_97_155 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 76820 274720 ) FS ;
- FILLER_97_167 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 82340 274720 ) FS ;
- FILLER_97_179 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 87860 274720 ) FS ;
- FILLER_97_191 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93380 274720 ) FS ;
- FILLER_97_196 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 95680 274720 ) FS ;
- FILLER_97_208 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101200 274720 ) FS ;
- FILLER_97_220 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 106720 274720 ) FS ;
- FILLER_97_232 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112240 274720 ) FS ;
- FILLER_97_244 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 117760 274720 ) FS ;
- FILLER_97_250 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 120520 274720 ) FS ;
- FILLER_97_258 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 124200 274720 ) FS ;
- FILLER_97_261 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 125580 274720 ) FS ;
- FILLER_97_268 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 128800 274720 ) FS ;
- FILLER_97_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 274720 ) FS ;
- FILLER_97_272 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 130640 274720 ) FS ;
- FILLER_97_276 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 132480 274720 ) FS ;
- FILLER_97_283 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 135700 274720 ) FS ;
- FILLER_97_291 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 139380 274720 ) FS ;
- FILLER_97_297 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 142140 274720 ) FS ;
- FILLER_97_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 274720 ) FS ;
- FILLER_97_304 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 145360 274720 ) FS ;
- FILLER_97_308 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 147200 274720 ) FS ;
- FILLER_97_314 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 149960 274720 ) FS ;
- FILLER_97_323 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 154100 274720 ) FS ;
- FILLER_97_326 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 155480 274720 ) FS ;
- FILLER_97_332 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 158240 274720 ) FS ;
- FILLER_97_339 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 161460 274720 ) FS ;
- FILLER_97_348 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 165600 274720 ) FS ;
- FILLER_97_355 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 168820 274720 ) FS ;
- FILLER_97_359 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 170660 274720 ) FS ;
- FILLER_97_364 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 172960 274720 ) FS ;
- FILLER_97_375 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 178020 274720 ) FS ;
- FILLER_97_384 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182160 274720 ) FS ;
- FILLER_97_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 274720 ) FS ;
- FILLER_97_391 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 185380 274720 ) FS ;
- FILLER_97_396 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 187680 274720 ) FS ;
- FILLER_97_408 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 193200 274720 ) FS ;
- FILLER_97_417 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 197340 274720 ) FS ;
- FILLER_97_425 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 201020 274720 ) FS ;
- FILLER_97_429 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 202860 274720 ) FS ;
- FILLER_97_436 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 206080 274720 ) FS ;
- FILLER_97_446 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 210680 274720 ) FS ;
- FILLER_97_453 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 213900 274720 ) FS ;
- FILLER_97_456 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 215280 274720 ) FS ;
- FILLER_97_461 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 217580 274720 ) FS ;
- FILLER_97_467 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 220340 274720 ) FS ;
- FILLER_97_473 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 223100 274720 ) FS ;
- FILLER_97_479 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 225860 274720 ) FS ;
- FILLER_97_485 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 228620 274720 ) FS ;
- FILLER_97_497 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 234140 274720 ) FS ;
- FILLER_97_509 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 239660 274720 ) FS ;
- FILLER_97_51 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28980 274720 ) FS ;
- FILLER_97_517 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 243340 274720 ) FS ;
- FILLER_97_521 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 245180 274720 ) FS ;
- FILLER_97_533 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 274720 ) FS ;
- FILLER_97_545 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 274720 ) FS ;
- FILLER_97_557 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 274720 ) FS ;
- FILLER_97_569 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 274720 ) FS ;
- FILLER_97_581 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 272780 274720 ) FS ;
- FILLER_97_586 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 275080 274720 ) FS ;
- FILLER_97_598 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280600 274720 ) FS ;
- FILLER_97_610 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 286120 274720 ) FS ;
- FILLER_97_622 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 291640 274720 ) FS ;
- FILLER_97_63 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 34500 274720 ) FS ;
- FILLER_97_66 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35880 274720 ) FS ;
- FILLER_97_78 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 41400 274720 ) FS ;
- FILLER_97_90 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46920 274720 ) FS ;
- FILLER_98_110 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 56120 277440 ) N ;
- FILLER_98_122 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61640 277440 ) N ;
- FILLER_98_134 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 67160 277440 ) N ;
- FILLER_98_146 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 72680 277440 ) N ;
- FILLER_98_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 277440 ) N ;
- FILLER_98_158 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 78200 277440 ) N ;
- FILLER_98_163 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 80500 277440 ) N ;
- FILLER_98_175 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86020 277440 ) N ;
- FILLER_98_187 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 91540 277440 ) N ;
- FILLER_98_199 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 97060 277440 ) N ;
- FILLER_98_211 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 102580 277440 ) N ;
- FILLER_98_223 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 108100 277440 ) N ;
- FILLER_98_228 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 110400 277440 ) N ;
- FILLER_98_236 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 114080 277440 ) N ;
- FILLER_98_241 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 116380 277440 ) N ;
- FILLER_98_250 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 120520 277440 ) N ;
- FILLER_98_254 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 122360 277440 ) N ;
- FILLER_98_258 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 124200 277440 ) N ;
- FILLER_98_267 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 128340 277440 ) N ;
- FILLER_98_27 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 17940 277440 ) N ;
- FILLER_98_274 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 131560 277440 ) N ;
- FILLER_98_283 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 135700 277440 ) N ;
- FILLER_98_290 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 138920 277440 ) N ;
- FILLER_98_293 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 140300 277440 ) N ;
- FILLER_98_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 277440 ) N ;
- FILLER_98_304 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 145360 277440 ) N ;
- FILLER_98_31 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 19780 277440 ) N ;
- FILLER_98_313 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 149500 277440 ) N ;
- FILLER_98_319 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 152260 277440 ) N ;
- FILLER_98_33 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20700 277440 ) N ;
- FILLER_98_331 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 157780 277440 ) N ;
- FILLER_98_341 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 162380 277440 ) N ;
- FILLER_98_351 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 166980 277440 ) N ;
- FILLER_98_358 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 170200 277440 ) N ;
- FILLER_98_364 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172960 277440 ) N ;
- FILLER_98_372 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 176640 277440 ) N ;
- FILLER_98_379 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 179860 277440 ) N ;
- FILLER_98_390 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 184920 277440 ) N ;
- FILLER_98_398 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 188600 277440 ) N ;
- FILLER_98_409 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 193660 277440 ) N ;
- FILLER_98_418 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 197800 277440 ) N ;
- FILLER_98_423 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 200100 277440 ) N ;
- FILLER_98_430 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 203320 277440 ) N ;
- FILLER_98_439 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 207460 277440 ) N ;
- FILLER_98_447 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 211140 277440 ) N ;
- FILLER_98_45 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 26220 277440 ) N ;
- FILLER_98_454 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 214360 277440 ) N ;
- FILLER_98_461 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 217580 277440 ) N ;
- FILLER_98_469 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 221260 277440 ) N ;
- FILLER_98_480 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 226320 277440 ) N ;
- FILLER_98_486 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 229080 277440 ) N ;
- FILLER_98_488 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 230000 277440 ) N ;
- FILLER_98_492 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 231840 277440 ) N ;
- FILLER_98_498 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 234600 277440 ) N ;
- FILLER_98_504 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237360 277440 ) N ;
- FILLER_98_516 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 242880 277440 ) N ;
- FILLER_98_528 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 248400 277440 ) N ;
- FILLER_98_540 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 253920 277440 ) N ;
- FILLER_98_553 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 259900 277440 ) N ;
- FILLER_98_565 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 265420 277440 ) N ;
- FILLER_98_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 277440 ) N ;
- FILLER_98_577 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 270940 277440 ) N ;
- FILLER_98_589 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 277440 ) N ;
- FILLER_98_601 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 277440 ) N ;
- FILLER_98_613 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 287500 277440 ) N ;
- FILLER_98_618 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 289800 277440 ) N ;
- FILLER_98_624 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 292560 277440 ) N ;
- FILLER_98_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 277440 ) N ;
- FILLER_98_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 277440 ) N ;
- FILLER_98_93 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 48300 277440 ) N ;
- FILLER_98_98 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50600 277440 ) N ;
- FILLER_99_102 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 52440 280160 ) FS ;
- FILLER_99_114 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57960 280160 ) FS ;
- FILLER_99_126 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 63480 280160 ) FS ;
- FILLER_99_131 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 65780 280160 ) FS ;
- FILLER_99_143 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 71300 280160 ) FS ;
- FILLER_99_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 280160 ) FS ;
- FILLER_99_155 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 76820 280160 ) FS ;
- FILLER_99_167 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 82340 280160 ) FS ;
- FILLER_99_179 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 87860 280160 ) FS ;
- FILLER_99_191 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93380 280160 ) FS ;
- FILLER_99_196 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 95680 280160 ) FS ;
- FILLER_99_208 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101200 280160 ) FS ;
- FILLER_99_220 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 106720 280160 ) FS ;
- FILLER_99_230 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 111320 280160 ) FS ;
- FILLER_99_237 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 114540 280160 ) FS ;
- FILLER_99_244 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 117760 280160 ) FS ;
- FILLER_99_254 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 122360 280160 ) FS ;
- FILLER_99_261 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 125580 280160 ) FS ;
- FILLER_99_268 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 128800 280160 ) FS ;
- FILLER_99_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 280160 ) FS ;
- FILLER_99_272 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 130640 280160 ) FS ;
- FILLER_99_281 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 134780 280160 ) FS ;
- FILLER_99_288 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 138000 280160 ) FS ;
- FILLER_99_297 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 142140 280160 ) FS ;
- FILLER_99_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 280160 ) FS ;
- FILLER_99_301 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 143980 280160 ) FS ;
- FILLER_99_310 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 148120 280160 ) FS ;
- FILLER_99_317 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 151340 280160 ) FS ;
- FILLER_99_323 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 154100 280160 ) FS ;
- FILLER_99_326 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 155480 280160 ) FS ;
- FILLER_99_336 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 160080 280160 ) FS ;
- FILLER_99_343 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 163300 280160 ) FS ;
- FILLER_99_347 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 165140 280160 ) FS ;
- FILLER_99_355 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 168820 280160 ) FS ;
- FILLER_99_365 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 173420 280160 ) FS ;
- FILLER_99_371 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 176180 280160 ) FS ;
- FILLER_99_377 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 178940 280160 ) FS ;
- FILLER_99_381 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 180780 280160 ) FS ;
- FILLER_99_388 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 184000 280160 ) FS ;
- FILLER_99_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 280160 ) FS ;
- FILLER_99_391 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 185380 280160 ) FS ;
- FILLER_99_403 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 190900 280160 ) FS ;
- FILLER_99_412 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 195040 280160 ) FS ;
- FILLER_99_420 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 198720 280160 ) FS ;
- FILLER_99_429 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 202860 280160 ) FS ;
- FILLER_99_433 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 204700 280160 ) FS ;
- FILLER_99_440 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 207920 280160 ) FS ;
- FILLER_99_451 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 212980 280160 ) FS ;
- FILLER_99_456 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 215280 280160 ) FS ;
- FILLER_99_464 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 218960 280160 ) FS ;
- FILLER_99_468 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 220800 280160 ) FS ;
- FILLER_99_476 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 224480 280160 ) FS ;
- FILLER_99_485 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 228620 280160 ) FS ;
- FILLER_99_492 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 231840 280160 ) FS ;
- FILLER_99_499 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 235060 280160 ) FS ;
- FILLER_99_506 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 238280 280160 ) FS ;
- FILLER_99_51 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28980 280160 ) FS ;
- FILLER_99_512 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 241040 280160 ) FS ;
- FILLER_99_515 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 242420 280160 ) FS ;
- FILLER_99_519 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 244260 280160 ) FS ;
- FILLER_99_521 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 245180 280160 ) FS ;
- FILLER_99_529 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 248860 280160 ) FS ;
- FILLER_99_541 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 254380 280160 ) FS ;
- FILLER_99_547 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 257140 280160 ) FS ;
- FILLER_99_555 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 260820 280160 ) FS ;
- FILLER_99_559 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 262660 280160 ) FS ;
- FILLER_99_571 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 268180 280160 ) FS ;
- FILLER_99_579 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 271860 280160 ) FS ;
- FILLER_99_586 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 275080 280160 ) FS ;
- FILLER_99_598 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280600 280160 ) FS ;
- FILLER_99_610 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 286120 280160 ) FS ;
- FILLER_99_622 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 291640 280160 ) FS ;
- FILLER_99_63 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 34500 280160 ) FS ;
- FILLER_99_66 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35880 280160 ) FS ;
- FILLER_99_78 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 41400 280160 ) FS ;
- FILLER_99_90 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46920 280160 ) FS ;
- FILLER_9_102 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 52440 35360 ) FS ;
- FILLER_9_114 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57960 35360 ) FS ;
- FILLER_9_126 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 63480 35360 ) FS ;
- FILLER_9_131 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 65780 35360 ) FS ;
- FILLER_9_143 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 71300 35360 ) FS ;
- FILLER_9_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 35360 ) FS ;
- FILLER_9_155 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 76820 35360 ) FS ;
- FILLER_9_167 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 82340 35360 ) FS ;
- FILLER_9_179 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 87860 35360 ) FS ;
- FILLER_9_191 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93380 35360 ) FS ;
- FILLER_9_196 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 95680 35360 ) FS ;
- FILLER_9_208 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101200 35360 ) FS ;
- FILLER_9_220 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 106720 35360 ) FS ;
- FILLER_9_232 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112240 35360 ) FS ;
- FILLER_9_244 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 117760 35360 ) FS ;
- FILLER_9_256 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 123280 35360 ) FS ;
- FILLER_9_261 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 35360 ) FS ;
- FILLER_9_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 35360 ) FS ;
- FILLER_9_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 35360 ) FS ;
- FILLER_9_282 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 135240 35360 ) FS ;
- FILLER_9_288 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 138000 35360 ) FS ;
- FILLER_9_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 35360 ) FS ;
- FILLER_9_310 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 148120 35360 ) FS ;
- FILLER_9_320 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 152720 35360 ) FS ;
- FILLER_9_324 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 154560 35360 ) FS ;
- FILLER_9_326 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 155480 35360 ) FS ;
- FILLER_9_331 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 157780 35360 ) FS ;
- FILLER_9_340 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 161920 35360 ) FS ;
- FILLER_9_352 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 167440 35360 ) FS ;
- FILLER_9_364 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 172960 35360 ) FS ;
- FILLER_9_376 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178480 35360 ) FS ;
- FILLER_9_388 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 184000 35360 ) FS ;
- FILLER_9_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 35360 ) FS ;
- FILLER_9_391 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 185380 35360 ) FS ;
- FILLER_9_403 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 190900 35360 ) FS ;
- FILLER_9_415 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 196420 35360 ) FS ;
- FILLER_9_427 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 201940 35360 ) FS ;
- FILLER_9_439 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 207460 35360 ) FS ;
- FILLER_9_451 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 212980 35360 ) FS ;
- FILLER_9_456 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215280 35360 ) FS ;
- FILLER_9_468 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 220800 35360 ) FS ;
- FILLER_9_480 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 226320 35360 ) FS ;
- FILLER_9_492 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 231840 35360 ) FS ;
- FILLER_9_504 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237360 35360 ) FS ;
- FILLER_9_51 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28980 35360 ) FS ;
- FILLER_9_516 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 242880 35360 ) FS ;
- FILLER_9_521 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 245180 35360 ) FS ;
- FILLER_9_533 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 35360 ) FS ;
- FILLER_9_545 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 35360 ) FS ;
- FILLER_9_557 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 35360 ) FS ;
- FILLER_9_569 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 35360 ) FS ;
- FILLER_9_581 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 272780 35360 ) FS ;
- FILLER_9_586 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 275080 35360 ) FS ;
- FILLER_9_598 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280600 35360 ) FS ;
- FILLER_9_610 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 286120 35360 ) FS ;
- FILLER_9_622 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 291640 35360 ) FS ;
- FILLER_9_63 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 34500 35360 ) FS ;
- FILLER_9_66 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35880 35360 ) FS ;
- FILLER_9_78 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 41400 35360 ) FS ;
- FILLER_9_90 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46920 35360 ) FS ;
- PHY_0 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 10880 ) N ;
- PHY_1 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 10880 ) FN ;
- PHY_10 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 24480 ) FS ;
- PHY_100 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 146880 ) N ;
- PHY_101 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 146880 ) FN ;
- PHY_102 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 149600 ) FS ;
- PHY_103 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 149600 ) S ;
- PHY_104 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 152320 ) N ;
- PHY_105 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 152320 ) FN ;
- PHY_106 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 155040 ) FS ;
- PHY_107 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 155040 ) S ;
- PHY_108 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 157760 ) N ;
- PHY_109 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 157760 ) FN ;
- PHY_11 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 24480 ) S ;
- PHY_110 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 160480 ) FS ;
- PHY_111 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 160480 ) S ;
- PHY_112 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 163200 ) N ;
- PHY_113 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 163200 ) FN ;
- PHY_114 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 165920 ) FS ;
- PHY_115 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 165920 ) S ;
- PHY_116 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 168640 ) N ;
- PHY_117 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 168640 ) FN ;
- PHY_118 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 171360 ) FS ;
- PHY_119 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 171360 ) S ;
- PHY_12 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 27200 ) N ;
- PHY_120 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 174080 ) N ;
- PHY_121 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 174080 ) FN ;
- PHY_122 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 176800 ) FS ;
- PHY_123 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 176800 ) S ;
- PHY_124 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 179520 ) N ;
- PHY_125 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 179520 ) FN ;
- PHY_126 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 182240 ) FS ;
- PHY_127 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 182240 ) S ;
- PHY_128 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 184960 ) N ;
- PHY_129 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 184960 ) FN ;
- PHY_13 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 27200 ) FN ;
- PHY_130 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 187680 ) FS ;
- PHY_131 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 187680 ) S ;
- PHY_132 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 190400 ) N ;
- PHY_133 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 190400 ) FN ;
- PHY_134 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 193120 ) FS ;
- PHY_135 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 193120 ) S ;
- PHY_136 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 195840 ) N ;
- PHY_137 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 195840 ) FN ;
- PHY_138 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 198560 ) FS ;
- PHY_139 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 198560 ) S ;
- PHY_14 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 29920 ) FS ;
- PHY_140 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 201280 ) N ;
- PHY_141 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 201280 ) FN ;
- PHY_142 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 204000 ) FS ;
- PHY_143 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 204000 ) S ;
- PHY_144 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 206720 ) N ;
- PHY_145 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 206720 ) FN ;
- PHY_146 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 209440 ) FS ;
- PHY_147 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 209440 ) S ;
- PHY_148 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 212160 ) N ;
- PHY_149 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 212160 ) FN ;
- PHY_15 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 29920 ) S ;
- PHY_150 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 214880 ) FS ;
- PHY_151 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 214880 ) S ;
- PHY_152 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 217600 ) N ;
- PHY_153 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 217600 ) FN ;
- PHY_154 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 220320 ) FS ;
- PHY_155 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 220320 ) S ;
- PHY_156 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 223040 ) N ;
- PHY_157 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 223040 ) FN ;
- PHY_158 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 225760 ) FS ;
- PHY_159 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 225760 ) S ;
- PHY_16 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 32640 ) N ;
- PHY_160 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 228480 ) N ;
- PHY_161 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 228480 ) FN ;
- PHY_162 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 231200 ) FS ;
- PHY_163 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 231200 ) S ;
- PHY_164 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 233920 ) N ;
- PHY_165 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 233920 ) FN ;
- PHY_166 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 236640 ) FS ;
- PHY_167 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 236640 ) S ;
- PHY_168 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 239360 ) N ;
- PHY_169 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 239360 ) FN ;
- PHY_17 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 32640 ) FN ;
- PHY_170 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 242080 ) FS ;
- PHY_171 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 242080 ) S ;
- PHY_172 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 244800 ) N ;
- PHY_173 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 244800 ) FN ;
- PHY_174 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 247520 ) FS ;
- PHY_175 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 247520 ) S ;
- PHY_176 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 250240 ) N ;
- PHY_177 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 250240 ) FN ;
- PHY_178 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 252960 ) FS ;
- PHY_179 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 252960 ) S ;
- PHY_18 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 35360 ) FS ;
- PHY_180 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 255680 ) N ;
- PHY_181 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 255680 ) FN ;
- PHY_182 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 258400 ) FS ;
- PHY_183 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 258400 ) S ;
- PHY_184 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 261120 ) N ;
- PHY_185 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 261120 ) FN ;
- PHY_186 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 263840 ) FS ;
- PHY_187 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 263840 ) S ;
- PHY_188 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 266560 ) N ;
- PHY_189 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 266560 ) FN ;
- PHY_19 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 35360 ) S ;
- PHY_190 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 269280 ) FS ;
- PHY_191 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 269280 ) S ;
- PHY_192 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 272000 ) N ;
- PHY_193 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 272000 ) FN ;
- PHY_194 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 274720 ) FS ;
- PHY_195 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 274720 ) S ;
- PHY_196 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 277440 ) N ;
- PHY_197 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 277440 ) FN ;
- PHY_198 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 280160 ) FS ;
- PHY_199 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 280160 ) S ;
- PHY_2 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 13600 ) FS ;
- PHY_20 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 38080 ) N ;
- PHY_200 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 282880 ) N ;
- PHY_201 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 282880 ) FN ;
- PHY_202 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 285600 ) FS ;
- PHY_203 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 285600 ) S ;
- PHY_21 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 38080 ) FN ;
- PHY_22 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 40800 ) FS ;
- PHY_23 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 40800 ) S ;
- PHY_24 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 43520 ) N ;
- PHY_25 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 43520 ) FN ;
- PHY_26 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 46240 ) FS ;
- PHY_27 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 46240 ) S ;
- PHY_28 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 48960 ) N ;
- PHY_29 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 48960 ) FN ;
- PHY_3 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 13600 ) S ;
- PHY_30 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 51680 ) FS ;
- PHY_31 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 51680 ) S ;
- PHY_32 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 54400 ) N ;
- PHY_33 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 54400 ) FN ;
- PHY_34 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 57120 ) FS ;
- PHY_35 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 57120 ) S ;
- PHY_36 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 59840 ) N ;
- PHY_37 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 59840 ) FN ;
- PHY_38 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 62560 ) FS ;
- PHY_39 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 62560 ) S ;
- PHY_4 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 16320 ) N ;
- PHY_40 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 65280 ) N ;
- PHY_41 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 65280 ) FN ;
- PHY_42 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 68000 ) FS ;
- PHY_43 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 68000 ) S ;
- PHY_44 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 70720 ) N ;
- PHY_45 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 70720 ) FN ;
- PHY_46 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 73440 ) FS ;
- PHY_47 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 73440 ) S ;
- PHY_48 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 76160 ) N ;
- PHY_49 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 76160 ) FN ;
- PHY_5 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 16320 ) FN ;
- PHY_50 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 78880 ) FS ;
- PHY_51 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 78880 ) S ;
- PHY_52 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 81600 ) N ;
- PHY_53 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 81600 ) FN ;
- PHY_54 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 84320 ) FS ;
- PHY_55 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 84320 ) S ;
- PHY_56 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 87040 ) N ;
- PHY_57 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 87040 ) FN ;
- PHY_58 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 89760 ) FS ;
- PHY_59 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 89760 ) S ;
- PHY_6 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 19040 ) FS ;
- PHY_60 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 92480 ) N ;
- PHY_61 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 92480 ) FN ;
- PHY_62 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 95200 ) FS ;
- PHY_63 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 95200 ) S ;
- PHY_64 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 97920 ) N ;
- PHY_65 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 97920 ) FN ;
- PHY_66 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 100640 ) FS ;
- PHY_67 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 100640 ) S ;
- PHY_68 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 103360 ) N ;
- PHY_69 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 103360 ) FN ;
- PHY_7 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 19040 ) S ;
- PHY_70 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 106080 ) FS ;
- PHY_71 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 106080 ) S ;
- PHY_72 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 108800 ) N ;
- PHY_73 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 108800 ) FN ;
- PHY_74 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 111520 ) FS ;
- PHY_75 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 111520 ) S ;
- PHY_76 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 114240 ) N ;
- PHY_77 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 114240 ) FN ;
- PHY_78 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 116960 ) FS ;
- PHY_79 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 116960 ) S ;
- PHY_8 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 21760 ) N ;
- PHY_80 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 119680 ) N ;
- PHY_81 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 119680 ) FN ;
- PHY_82 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 122400 ) FS ;
- PHY_83 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 122400 ) S ;
- PHY_84 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 125120 ) N ;
- PHY_85 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 125120 ) FN ;
- PHY_86 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 127840 ) FS ;
- PHY_87 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 127840 ) S ;
- PHY_88 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 130560 ) N ;
- PHY_89 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 130560 ) FN ;
- PHY_9 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 21760 ) FN ;
- PHY_90 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 133280 ) FS ;
- PHY_91 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 133280 ) S ;
- PHY_92 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 136000 ) N ;
- PHY_93 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 136000 ) FN ;
- PHY_94 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 138720 ) FS ;
- PHY_95 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 138720 ) S ;
- PHY_96 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 141440 ) N ;
- PHY_97 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 141440 ) FN ;
- PHY_98 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 144160 ) FS ;
- PHY_99 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 144160 ) S ;
- TAP_1000 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 259440 233920 ) N ;
- TAP_1001 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 289340 233920 ) N ;
- TAP_1002 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 35420 236640 ) FS ;
- TAP_1003 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 65320 236640 ) FS ;
- TAP_1004 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95220 236640 ) FS ;
- TAP_1005 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 125120 236640 ) FS ;
- TAP_1006 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 155020 236640 ) FS ;
- TAP_1007 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 184920 236640 ) FS ;
- TAP_1008 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 214820 236640 ) FS ;
- TAP_1009 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 244720 236640 ) FS ;
- TAP_1010 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 274620 236640 ) FS ;
- TAP_1011 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 20240 239360 ) N ;
- TAP_1012 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 50140 239360 ) N ;
- TAP_1013 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 80040 239360 ) N ;
- TAP_1014 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109940 239360 ) N ;
- TAP_1015 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 139840 239360 ) N ;
- TAP_1016 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 169740 239360 ) N ;
- TAP_1017 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 199640 239360 ) N ;
- TAP_1018 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 229540 239360 ) N ;
- TAP_1019 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 259440 239360 ) N ;
- TAP_1020 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 289340 239360 ) N ;
- TAP_1021 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 35420 242080 ) FS ;
- TAP_1022 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 65320 242080 ) FS ;
- TAP_1023 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95220 242080 ) FS ;
- TAP_1024 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 125120 242080 ) FS ;
- TAP_1025 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 155020 242080 ) FS ;
- TAP_1026 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 184920 242080 ) FS ;
- TAP_1027 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 214820 242080 ) FS ;
- TAP_1028 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 244720 242080 ) FS ;
- TAP_1029 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 274620 242080 ) FS ;
- TAP_1030 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 20240 244800 ) N ;
- TAP_1031 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 50140 244800 ) N ;
- TAP_1032 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 80040 244800 ) N ;
- TAP_1033 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109940 244800 ) N ;
- TAP_1034 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 139840 244800 ) N ;
- TAP_1035 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 169740 244800 ) N ;
- TAP_1036 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 199640 244800 ) N ;
- TAP_1037 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 229540 244800 ) N ;
- TAP_1038 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 259440 244800 ) N ;
- TAP_1039 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 289340 244800 ) N ;
- TAP_1040 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 35420 247520 ) FS ;
- TAP_1041 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 65320 247520 ) FS ;
- TAP_1042 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95220 247520 ) FS ;
- TAP_1043 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 125120 247520 ) FS ;
- TAP_1044 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 155020 247520 ) FS ;
- TAP_1045 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 184920 247520 ) FS ;
- TAP_1046 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 214820 247520 ) FS ;
- TAP_1047 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 244720 247520 ) FS ;
- TAP_1048 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 274620 247520 ) FS ;
- TAP_1049 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 20240 250240 ) N ;
- TAP_1050 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 50140 250240 ) N ;
- TAP_1051 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 80040 250240 ) N ;
- TAP_1052 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109940 250240 ) N ;
- TAP_1053 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 139840 250240 ) N ;
- TAP_1054 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 169740 250240 ) N ;
- TAP_1055 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 199640 250240 ) N ;
- TAP_1056 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 229540 250240 ) N ;
- TAP_1057 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 259440 250240 ) N ;
- TAP_1058 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 289340 250240 ) N ;
- TAP_1059 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 35420 252960 ) FS ;
- TAP_1060 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 65320 252960 ) FS ;
- TAP_1061 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95220 252960 ) FS ;
- TAP_1062 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 125120 252960 ) FS ;
- TAP_1063 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 155020 252960 ) FS ;
- TAP_1064 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 184920 252960 ) FS ;
- TAP_1065 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 214820 252960 ) FS ;
- TAP_1066 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 244720 252960 ) FS ;
- TAP_1067 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 274620 252960 ) FS ;
- TAP_1068 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 20240 255680 ) N ;
- TAP_1069 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 50140 255680 ) N ;
- TAP_1070 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 80040 255680 ) N ;
- TAP_1071 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109940 255680 ) N ;
- TAP_1072 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 139840 255680 ) N ;
- TAP_1073 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 169740 255680 ) N ;
- TAP_1074 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 199640 255680 ) N ;
- TAP_1075 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 229540 255680 ) N ;
- TAP_1076 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 259440 255680 ) N ;
- TAP_1077 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 289340 255680 ) N ;
- TAP_1078 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 35420 258400 ) FS ;
- TAP_1079 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 65320 258400 ) FS ;
- TAP_1080 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95220 258400 ) FS ;
- TAP_1081 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 125120 258400 ) FS ;
- TAP_1082 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 155020 258400 ) FS ;
- TAP_1083 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 184920 258400 ) FS ;
- TAP_1084 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 214820 258400 ) FS ;
- TAP_1085 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 244720 258400 ) FS ;
- TAP_1086 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 274620 258400 ) FS ;
- TAP_1087 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 20240 261120 ) N ;
- TAP_1088 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 50140 261120 ) N ;
- TAP_1089 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 80040 261120 ) N ;
- TAP_1090 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109940 261120 ) N ;
- TAP_1091 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 139840 261120 ) N ;
- TAP_1092 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 169740 261120 ) N ;
- TAP_1093 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 199640 261120 ) N ;
- TAP_1094 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 229540 261120 ) N ;
- TAP_1095 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 259440 261120 ) N ;
- TAP_1096 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 289340 261120 ) N ;
- TAP_1097 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 35420 263840 ) FS ;
- TAP_1098 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 65320 263840 ) FS ;
- TAP_1099 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95220 263840 ) FS ;
- TAP_1100 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 125120 263840 ) FS ;
- TAP_1101 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 155020 263840 ) FS ;
- TAP_1102 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 184920 263840 ) FS ;
- TAP_1103 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 214820 263840 ) FS ;
- TAP_1104 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 244720 263840 ) FS ;
- TAP_1105 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 274620 263840 ) FS ;
- TAP_1106 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 20240 266560 ) N ;
- TAP_1107 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 50140 266560 ) N ;
- TAP_1108 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 80040 266560 ) N ;
- TAP_1109 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109940 266560 ) N ;
- TAP_1110 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 139840 266560 ) N ;
- TAP_1111 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 169740 266560 ) N ;
- TAP_1112 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 199640 266560 ) N ;
- TAP_1113 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 229540 266560 ) N ;
- TAP_1114 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 259440 266560 ) N ;
- TAP_1115 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 289340 266560 ) N ;
- TAP_1116 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 35420 269280 ) FS ;
- TAP_1117 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 65320 269280 ) FS ;
- TAP_1118 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95220 269280 ) FS ;
- TAP_1119 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 125120 269280 ) FS ;
- TAP_1120 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 155020 269280 ) FS ;
- TAP_1121 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 184920 269280 ) FS ;
- TAP_1122 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 214820 269280 ) FS ;
- TAP_1123 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 244720 269280 ) FS ;
- TAP_1124 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 274620 269280 ) FS ;
- TAP_1125 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 20240 272000 ) N ;
- TAP_1126 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 50140 272000 ) N ;
- TAP_1127 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 80040 272000 ) N ;
- TAP_1128 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109940 272000 ) N ;
- TAP_1129 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 139840 272000 ) N ;
- TAP_1130 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 169740 272000 ) N ;
- TAP_1131 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 199640 272000 ) N ;
- TAP_1132 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 229540 272000 ) N ;
- TAP_1133 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 259440 272000 ) N ;
- TAP_1134 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 289340 272000 ) N ;
- TAP_1135 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 35420 274720 ) FS ;
- TAP_1136 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 65320 274720 ) FS ;
- TAP_1137 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95220 274720 ) FS ;
- TAP_1138 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 125120 274720 ) FS ;
- TAP_1139 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 155020 274720 ) FS ;
- TAP_1140 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 184920 274720 ) FS ;
- TAP_1141 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 214820 274720 ) FS ;
- TAP_1142 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 244720 274720 ) FS ;
- TAP_1143 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 274620 274720 ) FS ;
- TAP_1144 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 20240 277440 ) N ;
- TAP_1145 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 50140 277440 ) N ;
- TAP_1146 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 80040 277440 ) N ;
- TAP_1147 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109940 277440 ) N ;
- TAP_1148 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 139840 277440 ) N ;
- TAP_1149 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 169740 277440 ) N ;
- TAP_1150 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 199640 277440 ) N ;
- TAP_1151 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 229540 277440 ) N ;
- TAP_1152 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 259440 277440 ) N ;
- TAP_1153 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 289340 277440 ) N ;
- TAP_1154 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 35420 280160 ) FS ;
- TAP_1155 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 65320 280160 ) FS ;
- TAP_1156 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95220 280160 ) FS ;
- TAP_1157 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 125120 280160 ) FS ;
- TAP_1158 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 155020 280160 ) FS ;
- TAP_1159 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 184920 280160 ) FS ;
- TAP_1160 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 214820 280160 ) FS ;
- TAP_1161 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 244720 280160 ) FS ;
- TAP_1162 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 274620 280160 ) FS ;
- TAP_1163 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 20240 282880 ) N ;
- TAP_1164 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 50140 282880 ) N ;
- TAP_1165 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 80040 282880 ) N ;
- TAP_1166 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109940 282880 ) N ;
- TAP_1167 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 139840 282880 ) N ;
- TAP_1168 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 169740 282880 ) N ;
- TAP_1169 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 199640 282880 ) N ;
- TAP_1170 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 229540 282880 ) N ;
- TAP_1171 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 259440 282880 ) N ;
- TAP_1172 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 289340 282880 ) N ;
- TAP_1173 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 20240 285600 ) FS ;
- TAP_1174 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 34960 285600 ) FS ;
- TAP_1175 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 49680 285600 ) FS ;
- TAP_1176 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 64400 285600 ) FS ;
- TAP_1177 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 79120 285600 ) FS ;
- TAP_1178 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 93840 285600 ) FS ;
- TAP_1179 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 285600 ) FS ;
- TAP_1180 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 123280 285600 ) FS ;
- TAP_1181 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 138000 285600 ) FS ;
- TAP_1182 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 152720 285600 ) FS ;
- TAP_1183 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 167440 285600 ) FS ;
- TAP_1184 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 182160 285600 ) FS ;
- TAP_1185 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 196880 285600 ) FS ;
- TAP_1186 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 285600 ) FS ;
- TAP_1187 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 226320 285600 ) FS ;
- TAP_1188 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 241040 285600 ) FS ;
- TAP_1189 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 255760 285600 ) FS ;
- TAP_1190 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 270480 285600 ) FS ;
- TAP_1191 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 285200 285600 ) FS ;
- TAP_204 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 20240 10880 ) N ;
- TAP_205 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 34960 10880 ) N ;
- TAP_206 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 49680 10880 ) N ;
- TAP_207 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 64400 10880 ) N ;
- TAP_208 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 79120 10880 ) N ;
- TAP_209 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 93840 10880 ) N ;
- TAP_210 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 10880 ) N ;
- TAP_211 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 123280 10880 ) N ;
- TAP_212 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 138000 10880 ) N ;
- TAP_213 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 152720 10880 ) N ;
- TAP_214 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 167440 10880 ) N ;
- TAP_215 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 182160 10880 ) N ;
- TAP_216 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 196880 10880 ) N ;
- TAP_217 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 10880 ) N ;
- TAP_218 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 226320 10880 ) N ;
- TAP_219 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 241040 10880 ) N ;
- TAP_220 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 255760 10880 ) N ;
- TAP_221 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 270480 10880 ) N ;
- TAP_222 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 285200 10880 ) N ;
- TAP_223 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 35420 13600 ) FS ;
- TAP_224 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 65320 13600 ) FS ;
- TAP_225 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95220 13600 ) FS ;
- TAP_226 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 125120 13600 ) FS ;
- TAP_227 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 155020 13600 ) FS ;
- TAP_228 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 184920 13600 ) FS ;
- TAP_229 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 214820 13600 ) FS ;
- TAP_230 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 244720 13600 ) FS ;
- TAP_231 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 274620 13600 ) FS ;
- TAP_232 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 20240 16320 ) N ;
- TAP_233 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 50140 16320 ) N ;
- TAP_234 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 80040 16320 ) N ;
- TAP_235 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109940 16320 ) N ;
- TAP_236 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 139840 16320 ) N ;
- TAP_237 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 169740 16320 ) N ;
- TAP_238 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 199640 16320 ) N ;
- TAP_239 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 229540 16320 ) N ;
- TAP_240 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 259440 16320 ) N ;
- TAP_241 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 289340 16320 ) N ;
- TAP_242 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 35420 19040 ) FS ;
- TAP_243 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 65320 19040 ) FS ;
- TAP_244 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95220 19040 ) FS ;
- TAP_245 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 125120 19040 ) FS ;
- TAP_246 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 155020 19040 ) FS ;
- TAP_247 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 184920 19040 ) FS ;
- TAP_248 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 214820 19040 ) FS ;
- TAP_249 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 244720 19040 ) FS ;
- TAP_250 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 274620 19040 ) FS ;
- TAP_251 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 20240 21760 ) N ;
- TAP_252 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 50140 21760 ) N ;
- TAP_253 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 80040 21760 ) N ;
- TAP_254 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109940 21760 ) N ;
- TAP_255 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 139840 21760 ) N ;
- TAP_256 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 169740 21760 ) N ;
- TAP_257 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 199640 21760 ) N ;
- TAP_258 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 229540 21760 ) N ;
- TAP_259 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 259440 21760 ) N ;
- TAP_260 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 289340 21760 ) N ;
- TAP_261 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 35420 24480 ) FS ;
- TAP_262 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 65320 24480 ) FS ;
- TAP_263 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95220 24480 ) FS ;
- TAP_264 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 125120 24480 ) FS ;
- TAP_265 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 155020 24480 ) FS ;
- TAP_266 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 184920 24480 ) FS ;
- TAP_267 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 214820 24480 ) FS ;
- TAP_268 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 244720 24480 ) FS ;
- TAP_269 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 274620 24480 ) FS ;
- TAP_270 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 20240 27200 ) N ;
- TAP_271 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 50140 27200 ) N ;
- TAP_272 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 80040 27200 ) N ;
- TAP_273 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109940 27200 ) N ;
- TAP_274 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 139840 27200 ) N ;
- TAP_275 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 169740 27200 ) N ;
- TAP_276 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 199640 27200 ) N ;
- TAP_277 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 229540 27200 ) N ;
- TAP_278 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 259440 27200 ) N ;
- TAP_279 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 289340 27200 ) N ;
- TAP_280 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 35420 29920 ) FS ;
- TAP_281 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 65320 29920 ) FS ;
- TAP_282 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95220 29920 ) FS ;
- TAP_283 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 125120 29920 ) FS ;
- TAP_284 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 155020 29920 ) FS ;
- TAP_285 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 184920 29920 ) FS ;
- TAP_286 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 214820 29920 ) FS ;
- TAP_287 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 244720 29920 ) FS ;
- TAP_288 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 274620 29920 ) FS ;
- TAP_289 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 20240 32640 ) N ;
- TAP_290 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 50140 32640 ) N ;
- TAP_291 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 80040 32640 ) N ;
- TAP_292 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109940 32640 ) N ;
- TAP_293 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 139840 32640 ) N ;
- TAP_294 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 169740 32640 ) N ;
- TAP_295 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 199640 32640 ) N ;
- TAP_296 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 229540 32640 ) N ;
- TAP_297 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 259440 32640 ) N ;
- TAP_298 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 289340 32640 ) N ;
- TAP_299 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 35420 35360 ) FS ;
- TAP_300 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 65320 35360 ) FS ;
- TAP_301 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95220 35360 ) FS ;
- TAP_302 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 125120 35360 ) FS ;
- TAP_303 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 155020 35360 ) FS ;
- TAP_304 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 184920 35360 ) FS ;
- TAP_305 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 214820 35360 ) FS ;
- TAP_306 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 244720 35360 ) FS ;
- TAP_307 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 274620 35360 ) FS ;
- TAP_308 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 20240 38080 ) N ;
- TAP_309 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 50140 38080 ) N ;
- TAP_310 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 80040 38080 ) N ;
- TAP_311 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109940 38080 ) N ;
- TAP_312 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 139840 38080 ) N ;
- TAP_313 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 169740 38080 ) N ;
- TAP_314 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 199640 38080 ) N ;
- TAP_315 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 229540 38080 ) N ;
- TAP_316 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 259440 38080 ) N ;
- TAP_317 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 289340 38080 ) N ;
- TAP_318 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 35420 40800 ) FS ;
- TAP_319 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 65320 40800 ) FS ;
- TAP_320 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95220 40800 ) FS ;
- TAP_321 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 125120 40800 ) FS ;
- TAP_322 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 155020 40800 ) FS ;
- TAP_323 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 184920 40800 ) FS ;
- TAP_324 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 214820 40800 ) FS ;
- TAP_325 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 244720 40800 ) FS ;
- TAP_326 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 274620 40800 ) FS ;
- TAP_327 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 20240 43520 ) N ;
- TAP_328 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 50140 43520 ) N ;
- TAP_329 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 80040 43520 ) N ;
- TAP_330 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109940 43520 ) N ;
- TAP_331 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 139840 43520 ) N ;
- TAP_332 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 169740 43520 ) N ;
- TAP_333 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 199640 43520 ) N ;
- TAP_334 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 229540 43520 ) N ;
- TAP_335 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 259440 43520 ) N ;
- TAP_336 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 289340 43520 ) N ;
- TAP_337 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 35420 46240 ) FS ;
- TAP_338 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 65320 46240 ) FS ;
- TAP_339 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95220 46240 ) FS ;
- TAP_340 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 125120 46240 ) FS ;
- TAP_341 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 155020 46240 ) FS ;
- TAP_342 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 184920 46240 ) FS ;
- TAP_343 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 214820 46240 ) FS ;
- TAP_344 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 244720 46240 ) FS ;
- TAP_345 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 274620 46240 ) FS ;
- TAP_346 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 20240 48960 ) N ;
- TAP_347 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 50140 48960 ) N ;
- TAP_348 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 80040 48960 ) N ;
- TAP_349 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109940 48960 ) N ;
- TAP_350 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 139840 48960 ) N ;
- TAP_351 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 169740 48960 ) N ;
- TAP_352 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 199640 48960 ) N ;
- TAP_353 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 229540 48960 ) N ;
- TAP_354 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 259440 48960 ) N ;
- TAP_355 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 289340 48960 ) N ;
- TAP_356 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 35420 51680 ) FS ;
- TAP_357 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 65320 51680 ) FS ;
- TAP_358 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95220 51680 ) FS ;
- TAP_359 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 125120 51680 ) FS ;
- TAP_360 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 155020 51680 ) FS ;
- TAP_361 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 184920 51680 ) FS ;
- TAP_362 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 214820 51680 ) FS ;
- TAP_363 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 244720 51680 ) FS ;
- TAP_364 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 274620 51680 ) FS ;
- TAP_365 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 20240 54400 ) N ;
- TAP_366 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 50140 54400 ) N ;
- TAP_367 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 80040 54400 ) N ;
- TAP_368 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109940 54400 ) N ;
- TAP_369 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 139840 54400 ) N ;
- TAP_370 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 169740 54400 ) N ;
- TAP_371 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 199640 54400 ) N ;
- TAP_372 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 229540 54400 ) N ;
- TAP_373 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 259440 54400 ) N ;
- TAP_374 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 289340 54400 ) N ;
- TAP_375 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 35420 57120 ) FS ;
- TAP_376 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 65320 57120 ) FS ;
- TAP_377 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95220 57120 ) FS ;
- TAP_378 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 125120 57120 ) FS ;
- TAP_379 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 155020 57120 ) FS ;
- TAP_380 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 184920 57120 ) FS ;
- TAP_381 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 214820 57120 ) FS ;
- TAP_382 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 244720 57120 ) FS ;
- TAP_383 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 274620 57120 ) FS ;
- TAP_384 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 20240 59840 ) N ;
- TAP_385 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 50140 59840 ) N ;
- TAP_386 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 80040 59840 ) N ;
- TAP_387 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109940 59840 ) N ;
- TAP_388 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 139840 59840 ) N ;
- TAP_389 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 169740 59840 ) N ;
- TAP_390 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 199640 59840 ) N ;
- TAP_391 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 229540 59840 ) N ;
- TAP_392 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 259440 59840 ) N ;
- TAP_393 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 289340 59840 ) N ;
- TAP_394 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 35420 62560 ) FS ;
- TAP_395 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 65320 62560 ) FS ;
- TAP_396 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95220 62560 ) FS ;
- TAP_397 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 125120 62560 ) FS ;
- TAP_398 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 155020 62560 ) FS ;
- TAP_399 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 184920 62560 ) FS ;
- TAP_400 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 214820 62560 ) FS ;
- TAP_401 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 244720 62560 ) FS ;
- TAP_402 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 274620 62560 ) FS ;
- TAP_403 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 20240 65280 ) N ;
- TAP_404 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 50140 65280 ) N ;
- TAP_405 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 80040 65280 ) N ;
- TAP_406 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109940 65280 ) N ;
- TAP_407 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 139840 65280 ) N ;
- TAP_408 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 169740 65280 ) N ;
- TAP_409 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 199640 65280 ) N ;
- TAP_410 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 229540 65280 ) N ;
- TAP_411 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 259440 65280 ) N ;
- TAP_412 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 289340 65280 ) N ;
- TAP_413 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 35420 68000 ) FS ;
- TAP_414 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 65320 68000 ) FS ;
- TAP_415 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95220 68000 ) FS ;
- TAP_416 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 125120 68000 ) FS ;
- TAP_417 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 155020 68000 ) FS ;
- TAP_418 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 184920 68000 ) FS ;
- TAP_419 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 214820 68000 ) FS ;
- TAP_420 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 244720 68000 ) FS ;
- TAP_421 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 274620 68000 ) FS ;
- TAP_422 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 20240 70720 ) N ;
- TAP_423 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 50140 70720 ) N ;
- TAP_424 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 80040 70720 ) N ;
- TAP_425 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109940 70720 ) N ;
- TAP_426 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 139840 70720 ) N ;
- TAP_427 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 169740 70720 ) N ;
- TAP_428 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 199640 70720 ) N ;
- TAP_429 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 229540 70720 ) N ;
- TAP_430 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 259440 70720 ) N ;
- TAP_431 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 289340 70720 ) N ;
- TAP_432 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 35420 73440 ) FS ;
- TAP_433 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 65320 73440 ) FS ;
- TAP_434 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95220 73440 ) FS ;
- TAP_435 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 125120 73440 ) FS ;
- TAP_436 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 155020 73440 ) FS ;
- TAP_437 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 184920 73440 ) FS ;
- TAP_438 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 214820 73440 ) FS ;
- TAP_439 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 244720 73440 ) FS ;
- TAP_440 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 274620 73440 ) FS ;
- TAP_441 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 20240 76160 ) N ;
- TAP_442 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 50140 76160 ) N ;
- TAP_443 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 80040 76160 ) N ;
- TAP_444 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109940 76160 ) N ;
- TAP_445 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 139840 76160 ) N ;
- TAP_446 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 169740 76160 ) N ;
- TAP_447 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 199640 76160 ) N ;
- TAP_448 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 229540 76160 ) N ;
- TAP_449 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 259440 76160 ) N ;
- TAP_450 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 289340 76160 ) N ;
- TAP_451 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 35420 78880 ) FS ;
- TAP_452 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 65320 78880 ) FS ;
- TAP_453 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95220 78880 ) FS ;
- TAP_454 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 125120 78880 ) FS ;
- TAP_455 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 155020 78880 ) FS ;
- TAP_456 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 184920 78880 ) FS ;
- TAP_457 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 214820 78880 ) FS ;
- TAP_458 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 244720 78880 ) FS ;
- TAP_459 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 274620 78880 ) FS ;
- TAP_460 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 20240 81600 ) N ;
- TAP_461 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 50140 81600 ) N ;
- TAP_462 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 80040 81600 ) N ;
- TAP_463 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109940 81600 ) N ;
- TAP_464 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 139840 81600 ) N ;
- TAP_465 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 169740 81600 ) N ;
- TAP_466 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 199640 81600 ) N ;
- TAP_467 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 229540 81600 ) N ;
- TAP_468 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 259440 81600 ) N ;
- TAP_469 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 289340 81600 ) N ;
- TAP_470 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 35420 84320 ) FS ;
- TAP_471 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 65320 84320 ) FS ;
- TAP_472 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95220 84320 ) FS ;
- TAP_473 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 125120 84320 ) FS ;
- TAP_474 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 155020 84320 ) FS ;
- TAP_475 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 184920 84320 ) FS ;
- TAP_476 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 214820 84320 ) FS ;
- TAP_477 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 244720 84320 ) FS ;
- TAP_478 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 274620 84320 ) FS ;
- TAP_479 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 20240 87040 ) N ;
- TAP_480 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 50140 87040 ) N ;
- TAP_481 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 80040 87040 ) N ;
- TAP_482 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109940 87040 ) N ;
- TAP_483 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 139840 87040 ) N ;
- TAP_484 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 169740 87040 ) N ;
- TAP_485 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 199640 87040 ) N ;
- TAP_486 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 229540 87040 ) N ;
- TAP_487 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 259440 87040 ) N ;
- TAP_488 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 289340 87040 ) N ;
- TAP_489 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 35420 89760 ) FS ;
- TAP_490 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 65320 89760 ) FS ;
- TAP_491 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95220 89760 ) FS ;
- TAP_492 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 125120 89760 ) FS ;
- TAP_493 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 155020 89760 ) FS ;
- TAP_494 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 184920 89760 ) FS ;
- TAP_495 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 214820 89760 ) FS ;
- TAP_496 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 244720 89760 ) FS ;
- TAP_497 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 274620 89760 ) FS ;
- TAP_498 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 20240 92480 ) N ;
- TAP_499 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 50140 92480 ) N ;
- TAP_500 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 80040 92480 ) N ;
- TAP_501 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109940 92480 ) N ;
- TAP_502 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 139840 92480 ) N ;
- TAP_503 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 169740 92480 ) N ;
- TAP_504 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 199640 92480 ) N ;
- TAP_505 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 229540 92480 ) N ;
- TAP_506 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 259440 92480 ) N ;
- TAP_507 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 289340 92480 ) N ;
- TAP_508 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 35420 95200 ) FS ;
- TAP_509 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 65320 95200 ) FS ;
- TAP_510 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95220 95200 ) FS ;
- TAP_511 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 125120 95200 ) FS ;
- TAP_512 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 155020 95200 ) FS ;
- TAP_513 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 184920 95200 ) FS ;
- TAP_514 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 214820 95200 ) FS ;
- TAP_515 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 244720 95200 ) FS ;
- TAP_516 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 274620 95200 ) FS ;
- TAP_517 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 20240 97920 ) N ;
- TAP_518 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 50140 97920 ) N ;
- TAP_519 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 80040 97920 ) N ;
- TAP_520 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109940 97920 ) N ;
- TAP_521 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 139840 97920 ) N ;
- TAP_522 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 169740 97920 ) N ;
- TAP_523 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 199640 97920 ) N ;
- TAP_524 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 229540 97920 ) N ;
- TAP_525 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 259440 97920 ) N ;
- TAP_526 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 289340 97920 ) N ;
- TAP_527 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 35420 100640 ) FS ;
- TAP_528 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 65320 100640 ) FS ;
- TAP_529 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95220 100640 ) FS ;
- TAP_530 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 125120 100640 ) FS ;
- TAP_531 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 155020 100640 ) FS ;
- TAP_532 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 184920 100640 ) FS ;
- TAP_533 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 214820 100640 ) FS ;
- TAP_534 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 244720 100640 ) FS ;
- TAP_535 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 274620 100640 ) FS ;
- TAP_536 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 20240 103360 ) N ;
- TAP_537 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 50140 103360 ) N ;
- TAP_538 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 80040 103360 ) N ;
- TAP_539 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109940 103360 ) N ;
- TAP_540 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 139840 103360 ) N ;
- TAP_541 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 169740 103360 ) N ;
- TAP_542 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 199640 103360 ) N ;
- TAP_543 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 229540 103360 ) N ;
- TAP_544 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 259440 103360 ) N ;
- TAP_545 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 289340 103360 ) N ;
- TAP_546 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 35420 106080 ) FS ;
- TAP_547 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 65320 106080 ) FS ;
- TAP_548 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95220 106080 ) FS ;
- TAP_549 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 125120 106080 ) FS ;
- TAP_550 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 155020 106080 ) FS ;
- TAP_551 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 184920 106080 ) FS ;
- TAP_552 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 214820 106080 ) FS ;
- TAP_553 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 244720 106080 ) FS ;
- TAP_554 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 274620 106080 ) FS ;
- TAP_555 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 20240 108800 ) N ;
- TAP_556 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 50140 108800 ) N ;
- TAP_557 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 80040 108800 ) N ;
- TAP_558 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109940 108800 ) N ;
- TAP_559 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 139840 108800 ) N ;
- TAP_560 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 169740 108800 ) N ;
- TAP_561 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 199640 108800 ) N ;
- TAP_562 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 229540 108800 ) N ;
- TAP_563 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 259440 108800 ) N ;
- TAP_564 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 289340 108800 ) N ;
- TAP_565 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 35420 111520 ) FS ;
- TAP_566 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 65320 111520 ) FS ;
- TAP_567 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95220 111520 ) FS ;
- TAP_568 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 125120 111520 ) FS ;
- TAP_569 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 155020 111520 ) FS ;
- TAP_570 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 184920 111520 ) FS ;
- TAP_571 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 214820 111520 ) FS ;
- TAP_572 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 244720 111520 ) FS ;
- TAP_573 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 274620 111520 ) FS ;
- TAP_574 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 20240 114240 ) N ;
- TAP_575 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 50140 114240 ) N ;
- TAP_576 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 80040 114240 ) N ;
- TAP_577 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109940 114240 ) N ;
- TAP_578 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 139840 114240 ) N ;
- TAP_579 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 169740 114240 ) N ;
- TAP_580 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 199640 114240 ) N ;
- TAP_581 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 229540 114240 ) N ;
- TAP_582 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 259440 114240 ) N ;
- TAP_583 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 289340 114240 ) N ;
- TAP_584 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 35420 116960 ) FS ;
- TAP_585 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 65320 116960 ) FS ;
- TAP_586 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95220 116960 ) FS ;
- TAP_587 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 125120 116960 ) FS ;
- TAP_588 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 155020 116960 ) FS ;
- TAP_589 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 184920 116960 ) FS ;
- TAP_590 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 214820 116960 ) FS ;
- TAP_591 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 244720 116960 ) FS ;
- TAP_592 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 274620 116960 ) FS ;
- TAP_593 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 20240 119680 ) N ;
- TAP_594 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 50140 119680 ) N ;
- TAP_595 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 80040 119680 ) N ;
- TAP_596 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109940 119680 ) N ;
- TAP_597 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 139840 119680 ) N ;
- TAP_598 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 169740 119680 ) N ;
- TAP_599 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 199640 119680 ) N ;
- TAP_600 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 229540 119680 ) N ;
- TAP_601 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 259440 119680 ) N ;
- TAP_602 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 289340 119680 ) N ;
- TAP_603 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 35420 122400 ) FS ;
- TAP_604 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 65320 122400 ) FS ;
- TAP_605 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95220 122400 ) FS ;
- TAP_606 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 125120 122400 ) FS ;
- TAP_607 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 155020 122400 ) FS ;
- TAP_608 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 184920 122400 ) FS ;
- TAP_609 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 214820 122400 ) FS ;
- TAP_610 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 244720 122400 ) FS ;
- TAP_611 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 274620 122400 ) FS ;
- TAP_612 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 20240 125120 ) N ;
- TAP_613 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 50140 125120 ) N ;
- TAP_614 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 80040 125120 ) N ;
- TAP_615 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109940 125120 ) N ;
- TAP_616 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 139840 125120 ) N ;
- TAP_617 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 169740 125120 ) N ;
- TAP_618 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 199640 125120 ) N ;
- TAP_619 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 229540 125120 ) N ;
- TAP_620 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 259440 125120 ) N ;
- TAP_621 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 289340 125120 ) N ;
- TAP_622 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 35420 127840 ) FS ;
- TAP_623 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 65320 127840 ) FS ;
- TAP_624 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95220 127840 ) FS ;
- TAP_625 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 125120 127840 ) FS ;
- TAP_626 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 155020 127840 ) FS ;
- TAP_627 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 184920 127840 ) FS ;
- TAP_628 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 214820 127840 ) FS ;
- TAP_629 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 244720 127840 ) FS ;
- TAP_630 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 274620 127840 ) FS ;
- TAP_631 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 20240 130560 ) N ;
- TAP_632 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 50140 130560 ) N ;
- TAP_633 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 80040 130560 ) N ;
- TAP_634 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109940 130560 ) N ;
- TAP_635 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 139840 130560 ) N ;
- TAP_636 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 169740 130560 ) N ;
- TAP_637 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 199640 130560 ) N ;
- TAP_638 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 229540 130560 ) N ;
- TAP_639 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 259440 130560 ) N ;
- TAP_640 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 289340 130560 ) N ;
- TAP_641 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 35420 133280 ) FS ;
- TAP_642 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 65320 133280 ) FS ;
- TAP_643 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95220 133280 ) FS ;
- TAP_644 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 125120 133280 ) FS ;
- TAP_645 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 155020 133280 ) FS ;
- TAP_646 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 184920 133280 ) FS ;
- TAP_647 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 214820 133280 ) FS ;
- TAP_648 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 244720 133280 ) FS ;
- TAP_649 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 274620 133280 ) FS ;
- TAP_650 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 20240 136000 ) N ;
- TAP_651 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 50140 136000 ) N ;
- TAP_652 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 80040 136000 ) N ;
- TAP_653 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109940 136000 ) N ;
- TAP_654 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 139840 136000 ) N ;
- TAP_655 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 169740 136000 ) N ;
- TAP_656 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 199640 136000 ) N ;
- TAP_657 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 229540 136000 ) N ;
- TAP_658 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 259440 136000 ) N ;
- TAP_659 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 289340 136000 ) N ;
- TAP_660 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 35420 138720 ) FS ;
- TAP_661 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 65320 138720 ) FS ;
- TAP_662 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95220 138720 ) FS ;
- TAP_663 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 125120 138720 ) FS ;
- TAP_664 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 155020 138720 ) FS ;
- TAP_665 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 184920 138720 ) FS ;
- TAP_666 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 214820 138720 ) FS ;
- TAP_667 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 244720 138720 ) FS ;
- TAP_668 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 274620 138720 ) FS ;
- TAP_669 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 20240 141440 ) N ;
- TAP_670 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 50140 141440 ) N ;
- TAP_671 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 80040 141440 ) N ;
- TAP_672 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109940 141440 ) N ;
- TAP_673 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 139840 141440 ) N ;
- TAP_674 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 169740 141440 ) N ;
- TAP_675 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 199640 141440 ) N ;
- TAP_676 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 229540 141440 ) N ;
- TAP_677 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 259440 141440 ) N ;
- TAP_678 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 289340 141440 ) N ;
- TAP_679 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 35420 144160 ) FS ;
- TAP_680 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 65320 144160 ) FS ;
- TAP_681 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95220 144160 ) FS ;
- TAP_682 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 125120 144160 ) FS ;
- TAP_683 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 155020 144160 ) FS ;
- TAP_684 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 184920 144160 ) FS ;
- TAP_685 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 214820 144160 ) FS ;
- TAP_686 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 244720 144160 ) FS ;
- TAP_687 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 274620 144160 ) FS ;
- TAP_688 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 20240 146880 ) N ;
- TAP_689 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 50140 146880 ) N ;
- TAP_690 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 80040 146880 ) N ;
- TAP_691 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109940 146880 ) N ;
- TAP_692 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 139840 146880 ) N ;
- TAP_693 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 169740 146880 ) N ;
- TAP_694 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 199640 146880 ) N ;
- TAP_695 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 229540 146880 ) N ;
- TAP_696 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 259440 146880 ) N ;
- TAP_697 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 289340 146880 ) N ;
- TAP_698 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 35420 149600 ) FS ;
- TAP_699 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 65320 149600 ) FS ;
- TAP_700 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95220 149600 ) FS ;
- TAP_701 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 125120 149600 ) FS ;
- TAP_702 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 155020 149600 ) FS ;
- TAP_703 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 184920 149600 ) FS ;
- TAP_704 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 214820 149600 ) FS ;
- TAP_705 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 244720 149600 ) FS ;
- TAP_706 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 274620 149600 ) FS ;
- TAP_707 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 20240 152320 ) N ;
- TAP_708 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 50140 152320 ) N ;
- TAP_709 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 80040 152320 ) N ;
- TAP_710 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109940 152320 ) N ;
- TAP_711 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 139840 152320 ) N ;
- TAP_712 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 169740 152320 ) N ;
- TAP_713 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 199640 152320 ) N ;
- TAP_714 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 229540 152320 ) N ;
- TAP_715 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 259440 152320 ) N ;
- TAP_716 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 289340 152320 ) N ;
- TAP_717 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 35420 155040 ) FS ;
- TAP_718 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 65320 155040 ) FS ;
- TAP_719 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95220 155040 ) FS ;
- TAP_720 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 125120 155040 ) FS ;
- TAP_721 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 155020 155040 ) FS ;
- TAP_722 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 184920 155040 ) FS ;
- TAP_723 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 214820 155040 ) FS ;
- TAP_724 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 244720 155040 ) FS ;
- TAP_725 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 274620 155040 ) FS ;
- TAP_726 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 20240 157760 ) N ;
- TAP_727 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 50140 157760 ) N ;
- TAP_728 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 80040 157760 ) N ;
- TAP_729 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109940 157760 ) N ;
- TAP_730 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 139840 157760 ) N ;
- TAP_731 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 169740 157760 ) N ;
- TAP_732 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 199640 157760 ) N ;
- TAP_733 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 229540 157760 ) N ;
- TAP_734 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 259440 157760 ) N ;
- TAP_735 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 289340 157760 ) N ;
- TAP_736 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 35420 160480 ) FS ;
- TAP_737 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 65320 160480 ) FS ;
- TAP_738 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95220 160480 ) FS ;
- TAP_739 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 125120 160480 ) FS ;
- TAP_740 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 155020 160480 ) FS ;
- TAP_741 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 184920 160480 ) FS ;
- TAP_742 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 214820 160480 ) FS ;
- TAP_743 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 244720 160480 ) FS ;
- TAP_744 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 274620 160480 ) FS ;
- TAP_745 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 20240 163200 ) N ;
- TAP_746 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 50140 163200 ) N ;
- TAP_747 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 80040 163200 ) N ;
- TAP_748 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109940 163200 ) N ;
- TAP_749 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 139840 163200 ) N ;
- TAP_750 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 169740 163200 ) N ;
- TAP_751 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 199640 163200 ) N ;
- TAP_752 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 229540 163200 ) N ;
- TAP_753 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 259440 163200 ) N ;
- TAP_754 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 289340 163200 ) N ;
- TAP_755 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 35420 165920 ) FS ;
- TAP_756 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 65320 165920 ) FS ;
- TAP_757 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95220 165920 ) FS ;
- TAP_758 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 125120 165920 ) FS ;
- TAP_759 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 155020 165920 ) FS ;
- TAP_760 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 184920 165920 ) FS ;
- TAP_761 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 214820 165920 ) FS ;
- TAP_762 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 244720 165920 ) FS ;
- TAP_763 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 274620 165920 ) FS ;
- TAP_764 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 20240 168640 ) N ;
- TAP_765 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 50140 168640 ) N ;
- TAP_766 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 80040 168640 ) N ;
- TAP_767 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109940 168640 ) N ;
- TAP_768 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 139840 168640 ) N ;
- TAP_769 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 169740 168640 ) N ;
- TAP_770 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 199640 168640 ) N ;
- TAP_771 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 229540 168640 ) N ;
- TAP_772 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 259440 168640 ) N ;
- TAP_773 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 289340 168640 ) N ;
- TAP_774 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 35420 171360 ) FS ;
- TAP_775 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 65320 171360 ) FS ;
- TAP_776 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95220 171360 ) FS ;
- TAP_777 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 125120 171360 ) FS ;
- TAP_778 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 155020 171360 ) FS ;
- TAP_779 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 184920 171360 ) FS ;
- TAP_780 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 214820 171360 ) FS ;
- TAP_781 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 244720 171360 ) FS ;
- TAP_782 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 274620 171360 ) FS ;
- TAP_783 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 20240 174080 ) N ;
- TAP_784 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 50140 174080 ) N ;
- TAP_785 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 80040 174080 ) N ;
- TAP_786 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109940 174080 ) N ;
- TAP_787 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 139840 174080 ) N ;
- TAP_788 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 169740 174080 ) N ;
- TAP_789 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 199640 174080 ) N ;
- TAP_790 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 229540 174080 ) N ;
- TAP_791 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 259440 174080 ) N ;
- TAP_792 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 289340 174080 ) N ;
- TAP_793 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 35420 176800 ) FS ;
- TAP_794 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 65320 176800 ) FS ;
- TAP_795 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95220 176800 ) FS ;
- TAP_796 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 125120 176800 ) FS ;
- TAP_797 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 155020 176800 ) FS ;
- TAP_798 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 184920 176800 ) FS ;
- TAP_799 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 214820 176800 ) FS ;
- TAP_800 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 244720 176800 ) FS ;
- TAP_801 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 274620 176800 ) FS ;
- TAP_802 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 20240 179520 ) N ;
- TAP_803 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 50140 179520 ) N ;
- TAP_804 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 80040 179520 ) N ;
- TAP_805 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109940 179520 ) N ;
- TAP_806 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 139840 179520 ) N ;
- TAP_807 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 169740 179520 ) N ;
- TAP_808 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 199640 179520 ) N ;
- TAP_809 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 229540 179520 ) N ;
- TAP_810 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 259440 179520 ) N ;
- TAP_811 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 289340 179520 ) N ;
- TAP_812 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 35420 182240 ) FS ;
- TAP_813 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 65320 182240 ) FS ;
- TAP_814 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95220 182240 ) FS ;
- TAP_815 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 125120 182240 ) FS ;
- TAP_816 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 155020 182240 ) FS ;
- TAP_817 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 184920 182240 ) FS ;
- TAP_818 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 214820 182240 ) FS ;
- TAP_819 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 244720 182240 ) FS ;
- TAP_820 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 274620 182240 ) FS ;
- TAP_821 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 20240 184960 ) N ;
- TAP_822 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 50140 184960 ) N ;
- TAP_823 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 80040 184960 ) N ;
- TAP_824 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109940 184960 ) N ;
- TAP_825 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 139840 184960 ) N ;
- TAP_826 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 169740 184960 ) N ;
- TAP_827 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 199640 184960 ) N ;
- TAP_828 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 229540 184960 ) N ;
- TAP_829 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 259440 184960 ) N ;
- TAP_830 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 289340 184960 ) N ;
- TAP_831 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 35420 187680 ) FS ;
- TAP_832 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 65320 187680 ) FS ;
- TAP_833 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95220 187680 ) FS ;
- TAP_834 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 125120 187680 ) FS ;
- TAP_835 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 155020 187680 ) FS ;
- TAP_836 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 184920 187680 ) FS ;
- TAP_837 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 214820 187680 ) FS ;
- TAP_838 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 244720 187680 ) FS ;
- TAP_839 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 274620 187680 ) FS ;
- TAP_840 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 20240 190400 ) N ;
- TAP_841 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 50140 190400 ) N ;
- TAP_842 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 80040 190400 ) N ;
- TAP_843 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109940 190400 ) N ;
- TAP_844 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 139840 190400 ) N ;
- TAP_845 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 169740 190400 ) N ;
- TAP_846 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 199640 190400 ) N ;
- TAP_847 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 229540 190400 ) N ;
- TAP_848 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 259440 190400 ) N ;
- TAP_849 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 289340 190400 ) N ;
- TAP_850 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 35420 193120 ) FS ;
- TAP_851 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 65320 193120 ) FS ;
- TAP_852 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95220 193120 ) FS ;
- TAP_853 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 125120 193120 ) FS ;
- TAP_854 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 155020 193120 ) FS ;
- TAP_855 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 184920 193120 ) FS ;
- TAP_856 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 214820 193120 ) FS ;
- TAP_857 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 244720 193120 ) FS ;
- TAP_858 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 274620 193120 ) FS ;
- TAP_859 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 20240 195840 ) N ;
- TAP_860 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 50140 195840 ) N ;
- TAP_861 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 80040 195840 ) N ;
- TAP_862 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109940 195840 ) N ;
- TAP_863 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 139840 195840 ) N ;
- TAP_864 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 169740 195840 ) N ;
- TAP_865 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 199640 195840 ) N ;
- TAP_866 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 229540 195840 ) N ;
- TAP_867 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 259440 195840 ) N ;
- TAP_868 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 289340 195840 ) N ;
- TAP_869 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 35420 198560 ) FS ;
- TAP_870 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 65320 198560 ) FS ;
- TAP_871 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95220 198560 ) FS ;
- TAP_872 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 125120 198560 ) FS ;
- TAP_873 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 155020 198560 ) FS ;
- TAP_874 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 184920 198560 ) FS ;
- TAP_875 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 214820 198560 ) FS ;
- TAP_876 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 244720 198560 ) FS ;
- TAP_877 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 274620 198560 ) FS ;
- TAP_878 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 20240 201280 ) N ;
- TAP_879 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 50140 201280 ) N ;
- TAP_880 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 80040 201280 ) N ;
- TAP_881 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109940 201280 ) N ;
- TAP_882 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 139840 201280 ) N ;
- TAP_883 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 169740 201280 ) N ;
- TAP_884 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 199640 201280 ) N ;
- TAP_885 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 229540 201280 ) N ;
- TAP_886 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 259440 201280 ) N ;
- TAP_887 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 289340 201280 ) N ;
- TAP_888 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 35420 204000 ) FS ;
- TAP_889 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 65320 204000 ) FS ;
- TAP_890 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95220 204000 ) FS ;
- TAP_891 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 125120 204000 ) FS ;
- TAP_892 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 155020 204000 ) FS ;
- TAP_893 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 184920 204000 ) FS ;
- TAP_894 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 214820 204000 ) FS ;
- TAP_895 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 244720 204000 ) FS ;
- TAP_896 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 274620 204000 ) FS ;
- TAP_897 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 20240 206720 ) N ;
- TAP_898 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 50140 206720 ) N ;
- TAP_899 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 80040 206720 ) N ;
- TAP_900 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109940 206720 ) N ;
- TAP_901 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 139840 206720 ) N ;
- TAP_902 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 169740 206720 ) N ;
- TAP_903 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 199640 206720 ) N ;
- TAP_904 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 229540 206720 ) N ;
- TAP_905 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 259440 206720 ) N ;
- TAP_906 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 289340 206720 ) N ;
- TAP_907 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 35420 209440 ) FS ;
- TAP_908 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 65320 209440 ) FS ;
- TAP_909 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95220 209440 ) FS ;
- TAP_910 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 125120 209440 ) FS ;
- TAP_911 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 155020 209440 ) FS ;
- TAP_912 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 184920 209440 ) FS ;
- TAP_913 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 214820 209440 ) FS ;
- TAP_914 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 244720 209440 ) FS ;
- TAP_915 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 274620 209440 ) FS ;
- TAP_916 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 20240 212160 ) N ;
- TAP_917 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 50140 212160 ) N ;
- TAP_918 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 80040 212160 ) N ;
- TAP_919 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109940 212160 ) N ;
- TAP_920 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 139840 212160 ) N ;
- TAP_921 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 169740 212160 ) N ;
- TAP_922 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 199640 212160 ) N ;
- TAP_923 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 229540 212160 ) N ;
- TAP_924 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 259440 212160 ) N ;
- TAP_925 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 289340 212160 ) N ;
- TAP_926 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 35420 214880 ) FS ;
- TAP_927 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 65320 214880 ) FS ;
- TAP_928 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95220 214880 ) FS ;
- TAP_929 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 125120 214880 ) FS ;
- TAP_930 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 155020 214880 ) FS ;
- TAP_931 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 184920 214880 ) FS ;
- TAP_932 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 214820 214880 ) FS ;
- TAP_933 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 244720 214880 ) FS ;
- TAP_934 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 274620 214880 ) FS ;
- TAP_935 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 20240 217600 ) N ;
- TAP_936 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 50140 217600 ) N ;
- TAP_937 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 80040 217600 ) N ;
- TAP_938 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109940 217600 ) N ;
- TAP_939 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 139840 217600 ) N ;
- TAP_940 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 169740 217600 ) N ;
- TAP_941 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 199640 217600 ) N ;
- TAP_942 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 229540 217600 ) N ;
- TAP_943 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 259440 217600 ) N ;
- TAP_944 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 289340 217600 ) N ;
- TAP_945 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 35420 220320 ) FS ;
- TAP_946 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 65320 220320 ) FS ;
- TAP_947 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95220 220320 ) FS ;
- TAP_948 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 125120 220320 ) FS ;
- TAP_949 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 155020 220320 ) FS ;
- TAP_950 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 184920 220320 ) FS ;
- TAP_951 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 214820 220320 ) FS ;
- TAP_952 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 244720 220320 ) FS ;
- TAP_953 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 274620 220320 ) FS ;
- TAP_954 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 20240 223040 ) N ;
- TAP_955 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 50140 223040 ) N ;
- TAP_956 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 80040 223040 ) N ;
- TAP_957 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109940 223040 ) N ;
- TAP_958 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 139840 223040 ) N ;
- TAP_959 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 169740 223040 ) N ;
- TAP_960 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 199640 223040 ) N ;
- TAP_961 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 229540 223040 ) N ;
- TAP_962 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 259440 223040 ) N ;
- TAP_963 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 289340 223040 ) N ;
- TAP_964 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 35420 225760 ) FS ;
- TAP_965 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 65320 225760 ) FS ;
- TAP_966 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95220 225760 ) FS ;
- TAP_967 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 125120 225760 ) FS ;
- TAP_968 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 155020 225760 ) FS ;
- TAP_969 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 184920 225760 ) FS ;
- TAP_970 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 214820 225760 ) FS ;
- TAP_971 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 244720 225760 ) FS ;
- TAP_972 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 274620 225760 ) FS ;
- TAP_973 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 20240 228480 ) N ;
- TAP_974 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 50140 228480 ) N ;
- TAP_975 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 80040 228480 ) N ;
- TAP_976 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109940 228480 ) N ;
- TAP_977 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 139840 228480 ) N ;
- TAP_978 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 169740 228480 ) N ;
- TAP_979 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 199640 228480 ) N ;
- TAP_980 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 229540 228480 ) N ;
- TAP_981 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 259440 228480 ) N ;
- TAP_982 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 289340 228480 ) N ;
- TAP_983 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 35420 231200 ) FS ;
- TAP_984 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 65320 231200 ) FS ;
- TAP_985 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95220 231200 ) FS ;
- TAP_986 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 125120 231200 ) FS ;
- TAP_987 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 155020 231200 ) FS ;
- TAP_988 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 184920 231200 ) FS ;
- TAP_989 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 214820 231200 ) FS ;
- TAP_990 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 244720 231200 ) FS ;
- TAP_991 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 274620 231200 ) FS ;
- TAP_992 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 20240 233920 ) N ;
- TAP_993 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 50140 233920 ) N ;
- TAP_994 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 80040 233920 ) N ;
- TAP_995 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109940 233920 ) N ;
- TAP_996 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 139840 233920 ) N ;
- TAP_997 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 169740 233920 ) N ;
- TAP_998 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 199640 233920 ) N ;
- TAP_999 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 229540 233920 ) N ;
- _157_ sky130_fd_sc_hd__inv_2 + PLACED ( 134320 274720 ) FS ;
- _158_ sky130_fd_sc_hd__buf_4 + PLACED ( 119600 280160 ) FS ;
- _159_ sky130_fd_sc_hd__buf_4 + PLACED ( 151340 29920 ) FS ;
- _160_ sky130_fd_sc_hd__inv_2 + PLACED ( 186760 10880 ) N ;
- _161_ sky130_fd_sc_hd__inv_2 + PLACED ( 182620 21760 ) FN ;
- _162_ sky130_fd_sc_hd__inv_2 + PLACED ( 171120 32640 ) N ;
- _163_ sky130_fd_sc_hd__inv_2 + PLACED ( 183540 10880 ) FN ;
- _164_ sky130_fd_sc_hd__inv_2 + PLACED ( 186300 13600 ) FS ;
- _165_ sky130_fd_sc_hd__inv_2 + PLACED ( 174800 19040 ) S ;
- _166_ sky130_fd_sc_hd__inv_2 + PLACED ( 160540 35360 ) FS ;
- _167_ sky130_fd_sc_hd__inv_2 + PLACED ( 164680 27200 ) N ;
- _168_ sky130_fd_sc_hd__inv_2 + PLACED ( 182620 16320 ) FN ;
- _169_ sky130_fd_sc_hd__inv_2 + PLACED ( 152720 13600 ) FS ;
- _170_ sky130_fd_sc_hd__buf_4 + PLACED ( 149960 35360 ) FS ;
- _171_ sky130_fd_sc_hd__inv_2 + PLACED ( 154100 38080 ) N ;
- _172_ sky130_fd_sc_hd__inv_2 + PLACED ( 153640 16320 ) N ;
- _173_ sky130_fd_sc_hd__inv_2 + PLACED ( 122820 13600 ) FS ;
- _174_ sky130_fd_sc_hd__inv_2 + PLACED ( 144900 21760 ) N ;
- _175_ sky130_fd_sc_hd__inv_2 + PLACED ( 149960 40800 ) FS ;
- _176_ sky130_fd_sc_hd__inv_2 + PLACED ( 146740 27200 ) FN ;
- _177_ sky130_fd_sc_hd__inv_2 + PLACED ( 141220 24480 ) FS ;
- _178_ sky130_fd_sc_hd__inv_2 + PLACED ( 129720 19040 ) FS ;
- _179_ sky130_fd_sc_hd__inv_2 + PLACED ( 120980 10880 ) N ;
- _180_ sky130_fd_sc_hd__inv_2 + PLACED ( 116380 13600 ) S ;
- _181_ sky130_fd_sc_hd__buf_4 + PLACED ( 133400 29920 ) FS ;
- _182_ sky130_fd_sc_hd__inv_2 + PLACED ( 119600 19040 ) FS ;
- _183_ sky130_fd_sc_hd__inv_2 + PLACED ( 122820 19040 ) FS ;
- _184_ sky130_fd_sc_hd__inv_2 + PLACED ( 126500 19040 ) FS ;
- _185_ sky130_fd_sc_hd__inv_2 + PLACED ( 134320 32640 ) N ;
- _186_ sky130_fd_sc_hd__inv_2 + PLACED ( 138000 24480 ) FS ;
- _187_ sky130_fd_sc_hd__inv_2 + PLACED ( 133860 35360 ) S ;
- _188_ sky130_fd_sc_hd__inv_2 + PLACED ( 109940 13600 ) S ;
- _189_ sky130_fd_sc_hd__inv_2 + PLACED ( 111320 16320 ) N ;
- _190_ sky130_fd_sc_hd__inv_2 + PLACED ( 114540 16320 ) N ;
- _191_ sky130_fd_sc_hd__inv_2 + PLACED ( 119600 21760 ) N ;
- _192_ sky130_fd_sc_hd__inv_2 + PLACED ( 122820 27200 ) N ;
- _193_ sky130_fd_sc_hd__nand2b_1 + PLACED ( 179860 274720 ) S ;
- _194_ sky130_fd_sc_hd__o31a_1 + PLACED ( 174800 274720 ) FS ;
- _195_ sky130_fd_sc_hd__xnor2_1 + PLACED ( 173420 277440 ) FN ;
- _196_ sky130_fd_sc_hd__or2_1 + PLACED ( 147660 274720 ) S ;
- _197_ sky130_fd_sc_hd__o21a_1 + PLACED ( 178480 269280 ) S ;
- _198_ sky130_fd_sc_hd__xnor2_1 + PLACED ( 173420 269280 ) S ;
- _199_ sky130_fd_sc_hd__or2_1 + PLACED ( 149040 266560 ) FN ;
- _200_ sky130_fd_sc_hd__nand3b_1 + PLACED ( 171120 272000 ) FN ;
- _201_ sky130_fd_sc_hd__a21bo_1 + PLACED ( 167900 269280 ) S ;
- _202_ sky130_fd_sc_hd__a21o_1 + PLACED ( 164220 272000 ) N ;
- _203_ sky130_fd_sc_hd__or2b_1 + PLACED ( 158700 274720 ) FS ;
- _204_ sky130_fd_sc_hd__and3_1 + PLACED ( 166520 266560 ) FN ;
- _205_ sky130_fd_sc_hd__a21o_1 + PLACED ( 159620 272000 ) N ;
- _206_ sky130_fd_sc_hd__and2_1 + PLACED ( 147200 277440 ) FN ;
- _207_ sky130_fd_sc_hd__and2_1 + PLACED ( 146740 269280 ) S ;
- _208_ sky130_fd_sc_hd__a211o_1 + PLACED ( 145360 272000 ) N ;
- _209_ sky130_fd_sc_hd__a21oi_1 + PLACED ( 171120 274720 ) S ;
- _210_ sky130_fd_sc_hd__a21oi_1 + PLACED ( 141680 282880 ) N ;
- _211_ sky130_fd_sc_hd__a31o_1 + PLACED ( 142140 277440 ) N ;
- _212_ sky130_fd_sc_hd__or2_1 + PLACED ( 139840 280160 ) S ;
- _213_ sky130_fd_sc_hd__nand2b_1 + PLACED ( 226320 280160 ) S ;
- _214_ sky130_fd_sc_hd__o31a_1 + PLACED ( 223100 277440 ) N ;
- _215_ sky130_fd_sc_hd__xnor2_1 + PLACED ( 221260 280160 ) S ;
- _216_ sky130_fd_sc_hd__or2_1 + PLACED ( 201020 277440 ) FN ;
- _217_ sky130_fd_sc_hd__o21a_1 + PLACED ( 222640 272000 ) N ;
- _218_ sky130_fd_sc_hd__xnor2_1 + PLACED ( 217580 272000 ) FN ;
- _219_ sky130_fd_sc_hd__or2_1 + PLACED ( 195500 277440 ) FN ;
- _220_ sky130_fd_sc_hd__nand3b_1 + PLACED ( 216200 269280 ) S ;
- _221_ sky130_fd_sc_hd__a21bo_1 + PLACED ( 212060 272000 ) FN ;
- _222_ sky130_fd_sc_hd__a21o_1 + PLACED ( 209760 269280 ) FS ;
- _223_ sky130_fd_sc_hd__or2b_1 + PLACED ( 203320 274720 ) FS ;
- _224_ sky130_fd_sc_hd__and3_1 + PLACED ( 209300 266560 ) FN ;
- _225_ sky130_fd_sc_hd__a21o_1 + PLACED ( 203780 272000 ) N ;
- _226_ sky130_fd_sc_hd__and2_1 + PLACED ( 192740 280160 ) S ;
- _227_ sky130_fd_sc_hd__and2_1 + PLACED ( 195040 274720 ) S ;
- _228_ sky130_fd_sc_hd__a211o_1 + PLACED ( 190440 277440 ) N ;
- _229_ sky130_fd_sc_hd__a21oi_1 + PLACED ( 219420 277440 ) FN ;
- _230_ sky130_fd_sc_hd__a21oi_1 + PLACED ( 186760 277440 ) N ;
- _231_ sky130_fd_sc_hd__a31o_1 + PLACED ( 188600 282880 ) N ;
- _232_ sky130_fd_sc_hd__or2_1 + PLACED ( 176640 280160 ) S ;
- _233_ sky130_fd_sc_hd__nor3_2 + PLACED ( 174340 282880 ) N ;
- _234_ sky130_fd_sc_hd__nor3_1 + PLACED ( 137540 274720 ) S ;
- _235_ sky130_fd_sc_hd__o22a_1 + PLACED ( 135700 282880 ) N ;
- _236_ sky130_fd_sc_hd__inv_2 + PLACED ( 167440 274720 ) S ;
- _237_ sky130_fd_sc_hd__o2bb2a_1 + PLACED ( 158700 282880 ) N ;
- _238_ sky130_fd_sc_hd__o21a_1 + PLACED ( 157320 280160 ) FS ;
- _239_ sky130_fd_sc_hd__a31o_1 + PLACED ( 153640 282880 ) N ;
- _240_ sky130_fd_sc_hd__inv_2 + PLACED ( 194580 269280 ) S ;
- _241_ sky130_fd_sc_hd__o2bb2a_1 + PLACED ( 205620 282880 ) N ;
- _242_ sky130_fd_sc_hd__o21a_1 + PLACED ( 201020 282880 ) N ;
- _243_ sky130_fd_sc_hd__a31o_1 + PLACED ( 200100 285600 ) FS ;
- _244_ sky130_fd_sc_hd__and2_1 + PLACED ( 118220 277440 ) N ;
- _245_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 119140 274720 ) FS ;
- _246_ sky130_fd_sc_hd__and2_1 + PLACED ( 119140 285600 ) S ;
- _247_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 116380 280160 ) S ;
- _248_ sky130_fd_sc_hd__o2bb2a_1 + PLACED ( 116840 282880 ) FN ;
- _249_ sky130_fd_sc_hd__or2b_1 + PLACED ( 161920 266560 ) FN ;
- _250_ sky130_fd_sc_hd__xnor2_1 + PLACED ( 161000 269280 ) FS ;
- _251_ sky130_fd_sc_hd__o21a_1 + PLACED ( 170660 280160 ) FS ;
- _252_ sky130_fd_sc_hd__a211o_1 + PLACED ( 165600 280160 ) FS ;
- _253_ sky130_fd_sc_hd__o21a_1 + PLACED ( 164220 277440 ) N ;
- _254_ sky130_fd_sc_hd__or2b_1 + PLACED ( 207920 274720 ) S ;
- _255_ sky130_fd_sc_hd__xnor2_1 + PLACED ( 204700 269280 ) FS ;
- _256_ sky130_fd_sc_hd__o21a_1 + PLACED ( 211600 277440 ) N ;
- _257_ sky130_fd_sc_hd__a211o_1 + PLACED ( 209760 280160 ) FS ;
- _258_ sky130_fd_sc_hd__o21a_1 + PLACED ( 205160 280160 ) FS ;
- _259_ sky130_fd_sc_hd__and2_1 + PLACED ( 126500 280160 ) FS ;
- _260_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 127420 274720 ) FS ;
- _261_ sky130_fd_sc_hd__and2_1 + PLACED ( 126040 277440 ) FN ;
- _262_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 122820 277440 ) FN ;
- _263_ sky130_fd_sc_hd__o2bb2a_1 + PLACED ( 125120 282880 ) N ;
- _264_ sky130_fd_sc_hd__a21o_1 + PLACED ( 159620 277440 ) N ;
- _265_ sky130_fd_sc_hd__or2_1 + PLACED ( 163300 274720 ) FS ;
- _266_ sky130_fd_sc_hd__xor2_1 + PLACED ( 150880 269280 ) FS ;
- _267_ sky130_fd_sc_hd__or2_1 + PLACED ( 151800 274720 ) FS ;
- _268_ sky130_fd_sc_hd__a21oi_1 + PLACED ( 153640 272000 ) FN ;
- _269_ sky130_fd_sc_hd__a32o_1 + PLACED ( 154100 277440 ) FN ;
- _270_ sky130_fd_sc_hd__a21o_1 + PLACED ( 216200 280160 ) S ;
- _271_ sky130_fd_sc_hd__or2_1 + PLACED ( 208380 285600 ) S ;
- _272_ sky130_fd_sc_hd__xor2_1 + PLACED ( 195040 272000 ) N ;
- _273_ sky130_fd_sc_hd__or2_1 + PLACED ( 205160 277440 ) N ;
- _274_ sky130_fd_sc_hd__a21oi_1 + PLACED ( 199180 274720 ) S ;
- _275_ sky130_fd_sc_hd__a32o_1 + PLACED ( 199180 280160 ) FS ;
- _276_ sky130_fd_sc_hd__and2_1 + PLACED ( 131100 282880 ) FN ;
- _277_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 131100 274720 ) FS ;
- _278_ sky130_fd_sc_hd__and2_1 + PLACED ( 133400 277440 ) FN ;
- _279_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 130180 277440 ) FN ;
- _280_ sky130_fd_sc_hd__o2bb2a_1 + PLACED ( 131100 280160 ) S ;
- _281_ sky130_fd_sc_hd__a21oi_1 + PLACED ( 141680 272000 ) FN ;
- _282_ sky130_fd_sc_hd__and2b_1 + PLACED ( 142600 274720 ) S ;
- _283_ sky130_fd_sc_hd__xnor2_1 + PLACED ( 141680 269280 ) FS ;
- _284_ sky130_fd_sc_hd__nor2_1 + PLACED ( 135700 285600 ) S ;
- _285_ sky130_fd_sc_hd__o21a_1 + PLACED ( 164220 282880 ) N ;
- _286_ sky130_fd_sc_hd__a21o_1 + PLACED ( 168820 285600 ) FS ;
- _287_ sky130_fd_sc_hd__nor2_1 + PLACED ( 136620 280160 ) S ;
- _288_ sky130_fd_sc_hd__a21o_1 + PLACED ( 190440 274720 ) FS ;
- _289_ sky130_fd_sc_hd__and2b_1 + PLACED ( 188140 280160 ) S ;
- _290_ sky130_fd_sc_hd__xnor2_1 + PLACED ( 181700 277440 ) N ;
- _291_ sky130_fd_sc_hd__o21a_1 + PLACED ( 213900 282880 ) N ;
- _292_ sky130_fd_sc_hd__a211oi_2 + PLACED ( 218500 282880 ) N ;
- _293_ sky130_fd_sc_hd__a21o_1 + PLACED ( 181240 280160 ) FS ;
- _294_ sky130_fd_sc_hd__a211oi_2 + PLACED ( 179860 282880 ) N ;
- _295_ sky130_fd_sc_hd__o221a_1 + PLACED ( 145360 282880 ) FN ;
- _296_ sky130_fd_sc_hd__o32a_1 + PLACED ( 144440 280160 ) FS ;
- _519_ sky130_fd_sc_hd__ebufn_8 + PLACED ( 126500 24480 ) FS ;
- _519__194 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 126500 29920 ) S ;
- _520_ sky130_fd_sc_hd__ebufn_8 + PLACED ( 129260 21760 ) N ;
- _520__195 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 122820 24480 ) FS ;
- _521_ sky130_fd_sc_hd__ebufn_8 + PLACED ( 129260 16320 ) N ;
- _521__196 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 116380 19040 ) FS ;
- _522_ sky130_fd_sc_hd__ebufn_8 + PLACED ( 117760 16320 ) N ;
- _522__197 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 111320 10880 ) N ;
- _523_ sky130_fd_sc_hd__ebufn_8 + PLACED ( 127420 10880 ) N ;
- _523__198 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 114540 10880 ) N ;
- _524_ sky130_fd_sc_hd__ebufn_8 + PLACED ( 129260 27200 ) N ;
- _524__199 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 126040 27200 ) N ;
- _525_ sky130_fd_sc_hd__ebufn_8 + PLACED ( 138460 35360 ) FS ;
- _525__200 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 130180 29920 ) FS ;
- _526_ sky130_fd_sc_hd__ebufn_8 + PLACED ( 138000 29920 ) FS ;
- _526__201 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 137540 32640 ) N ;
- _527_ sky130_fd_sc_hd__ebufn_8 + PLACED ( 141220 16320 ) N ;
- _527__202 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 126040 21760 ) N ;
- _528_ sky130_fd_sc_hd__ebufn_8 + PLACED ( 139380 13600 ) FS ;
- _528__203 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 122820 21760 ) N ;
- _529_ sky130_fd_sc_hd__ebufn_8 + PLACED ( 132940 19040 ) FS ;
- _529__204 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 113160 13600 ) FS ;
- _530_ sky130_fd_sc_hd__ebufn_8 + PLACED ( 127880 13600 ) FS ;
- _530__205 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 117760 10880 ) N ;
- _531_ sky130_fd_sc_hd__ebufn_8 + PLACED ( 142140 10880 ) N ;
- _531__206 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 119600 13600 ) FS ;
- _532_ sky130_fd_sc_hd__ebufn_8 + PLACED ( 144440 19040 ) FS ;
- _532__207 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 141680 21760 ) N ;
- _533_ sky130_fd_sc_hd__ebufn_8 + PLACED ( 144440 24480 ) FS ;
- _533__208 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 143520 27200 ) N ;
- _534_ sky130_fd_sc_hd__ebufn_8 + PLACED ( 143520 32640 ) N ;
- _534__209 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 147200 38080 ) FN ;
- _535_ sky130_fd_sc_hd__ebufn_8 + PLACED ( 149960 27200 ) N ;
- _535__210 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 150420 38080 ) FN ;
- _536_ sky130_fd_sc_hd__ebufn_8 + PLACED ( 151340 21760 ) N ;
- _536__211 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 148120 21760 ) N ;
- _537_ sky130_fd_sc_hd__ebufn_8 + PLACED ( 154100 10880 ) FN ;
- _537__212 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 180780 13600 ) S ;
- _538_ sky130_fd_sc_hd__ebufn_8 + PLACED ( 156400 24480 ) FS ;
- _538__213 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 156400 19040 ) S ;
- _539_ sky130_fd_sc_hd__ebufn_8 + PLACED ( 155020 32640 ) N ;
- _539__214 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 156400 35360 ) S ;
- _540_ sky130_fd_sc_hd__ebufn_8 + PLACED ( 157320 16320 ) N ;
- _540__215 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 159620 19040 ) S ;
- _541_ sky130_fd_sc_hd__ebufn_8 + PLACED ( 157780 13600 ) S ;
- _541__216 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 178020 19040 ) S ;
- _542_ sky130_fd_sc_hd__ebufn_8 + PLACED ( 159160 29920 ) S ;
- _542__217 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 161460 27200 ) N ;
- _543_ sky130_fd_sc_hd__ebufn_8 + PLACED ( 167900 24480 ) FS ;
- _543__218 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 162840 21760 ) N ;
- _544_ sky130_fd_sc_hd__ebufn_8 + PLACED ( 163300 19040 ) S ;
- _544__219 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 166060 21760 ) N ;
- _545_ sky130_fd_sc_hd__ebufn_8 + PLACED ( 169280 13600 ) S ;
- _545__220 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 181240 19040 ) S ;
- _546_ sky130_fd_sc_hd__ebufn_8 + PLACED ( 168820 10880 ) FN ;
- _546__221 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 185840 16320 ) FN ;
- _547_ sky130_fd_sc_hd__ebufn_8 + PLACED ( 171120 27200 ) N ;
- _547__222 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 170660 29920 ) FS ;
- _548_ sky130_fd_sc_hd__ebufn_8 + PLACED ( 171120 21760 ) FN ;
- _548__223 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 179400 24480 ) FS ;
- _549_ sky130_fd_sc_hd__ebufn_8 + PLACED ( 171120 16320 ) FN ;
- _549__224 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 186300 19040 ) S ;
- _550_ sky130_fd_sc_hd__ebufn_8 + PLACED ( 170200 258400 ) FS ;
- _550__225 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 171120 255680 ) FN ;
- input1 sky130_fd_sc_hd__clkbuf_2 + SOURCE TIMING + PLACED ( 21620 285600 ) FS ;
- input10 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 200560 269280 ) S ;
- input11 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 224940 282880 ) N ;
- input12 sky130_fd_sc_hd__dlymetal6s2s_1 + SOURCE TIMING + PLACED ( 214360 285600 ) FS ;
- input13 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 234140 282880 ) N ;
- input14 sky130_fd_sc_hd__clkbuf_2 + SOURCE TIMING + PLACED ( 228160 285600 ) S ;
- input15 sky130_fd_sc_hd__dlymetal6s2s_1 + SOURCE TIMING + PLACED ( 235060 285600 ) FS ;
- input16 sky130_fd_sc_hd__dlymetal6s2s_1 + SOURCE TIMING + PLACED ( 242420 285600 ) FS ;
- input17 sky130_fd_sc_hd__dlymetal6s2s_1 + SOURCE TIMING + PLACED ( 248860 285600 ) FS ;
- input18 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 257140 285600 ) FS ;
- input19 sky130_fd_sc_hd__clkbuf_4 + SOURCE TIMING + PLACED ( 262660 285600 ) FS ;
- input2 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 137540 277440 ) FN ;
- input20 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 271860 285600 ) FS ;
- input21 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 276460 285600 ) S ;
- input3 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 147200 285600 ) S ;
- input4 sky130_fd_sc_hd__dlymetal6s2s_1 + SOURCE TIMING + PLACED ( 159160 285600 ) S ;
- input5 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 177100 285600 ) FS ;
- input6 sky130_fd_sc_hd__clkbuf_2 + SOURCE TIMING + PLACED ( 173420 285600 ) S ;
- input7 sky130_fd_sc_hd__dlymetal6s2s_1 + SOURCE TIMING + PLACED ( 183540 285600 ) FS ;
- input8 sky130_fd_sc_hd__dlymetal6s2s_1 + SOURCE TIMING + PLACED ( 189980 285600 ) FS ;
- input9 sky130_fd_sc_hd__dlymetal6s2s_1 + SOURCE TIMING + PLACED ( 193660 282880 ) N ;
- macro_15_100 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 192740 13600 ) S ;
- macro_15_101 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 189060 16320 ) FN ;
- macro_15_102 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 198260 10880 ) FN ;
- macro_15_103 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 192280 16320 ) FN ;
- macro_15_104 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 195960 13600 ) S ;
- macro_15_105 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 189520 19040 ) S ;
- macro_15_106 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 195500 16320 ) FN ;
- macro_15_107 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 201480 10880 ) FN ;
- macro_15_108 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 199180 13600 ) S ;
- macro_15_109 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 204700 10880 ) FN ;
- macro_15_110 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 193200 19040 ) S ;
- macro_15_111 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 202400 13600 ) S ;
- macro_15_112 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 207920 10880 ) FN ;
- macro_15_113 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 201020 16320 ) FN ;
- macro_15_114 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 205620 13600 ) S ;
- macro_15_115 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 204240 16320 ) FN ;
- macro_15_116 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 208840 13600 ) S ;
- macro_15_117 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 212980 10880 ) FN ;
- macro_15_118 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 207460 16320 ) FN ;
- macro_15_119 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 212060 13600 ) S ;
- macro_15_120 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 210680 16320 ) FN ;
- macro_15_121 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 216200 10880 ) FN ;
- macro_15_122 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 216200 13600 ) S ;
- macro_15_123 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 219420 10880 ) FN ;
- macro_15_124 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 213900 16320 ) FN ;
- macro_15_125 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 222640 10880 ) FN ;
- macro_15_126 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 219420 13600 ) S ;
- macro_15_127 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 217120 16320 ) FN ;
- macro_15_128 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 222640 13600 ) S ;
- macro_15_129 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 227700 10880 ) FN ;
- macro_15_130 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 220800 16320 ) FN ;
- macro_15_131 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 225860 13600 ) S ;
- macro_15_132 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 230920 10880 ) FN ;
- macro_15_133 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 229080 13600 ) S ;
- macro_15_134 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 234140 10880 ) FN ;
- macro_15_135 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 232300 13600 ) S ;
- macro_15_136 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 237360 10880 ) FN ;
- macro_15_137 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 230920 16320 ) FN ;
- macro_15_138 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 235520 13600 ) S ;
- macro_15_139 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 234140 16320 ) FN ;
- macro_15_140 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 238740 13600 ) S ;
- macro_15_141 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 242420 10880 ) FN ;
- macro_15_142 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 245640 10880 ) FN ;
- macro_15_143 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 241960 13600 ) S ;
- macro_15_144 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 248860 10880 ) FN ;
- macro_15_145 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 241500 16320 ) FN ;
- macro_15_146 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 246100 13600 ) S ;
- macro_15_147 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 252080 10880 ) FN ;
- macro_15_148 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 249320 13600 ) S ;
- macro_15_149 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 247020 16320 ) FN ;
- macro_15_150 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 252540 13600 ) S ;
- macro_15_151 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 257140 10880 ) FN ;
- macro_15_152 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 251160 16320 ) FN ;
- macro_15_153 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 255760 13600 ) S ;
- macro_15_154 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 260360 10880 ) FN ;
- macro_15_155 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 258980 13600 ) S ;
- macro_15_156 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 263580 10880 ) FN ;
- macro_15_157 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 262200 13600 ) S ;
- macro_15_158 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 266800 10880 ) FN ;
- macro_15_159 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 260820 16320 ) FN ;
- macro_15_160 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 265420 13600 ) S ;
- macro_15_161 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 38640 13600 ) S ;
- macro_15_162 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 37720 10880 ) N ;
- macro_15_163 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 43240 13600 ) S ;
- macro_15_164 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 40940 10880 ) N ;
- macro_15_165 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 46920 16320 ) FN ;
- macro_15_166 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 44160 10880 ) N ;
- macro_15_167 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 46920 13600 ) FS ;
- macro_15_168 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 47380 10880 ) N ;
- macro_15_169 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 50140 13600 ) FS ;
- macro_15_170 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 54280 16320 ) FN ;
- macro_15_171 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 53360 13600 ) FS ;
- macro_15_172 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 52440 10880 ) N ;
- macro_15_173 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 56580 13600 ) FS ;
- macro_15_174 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 55660 10880 ) N ;
- macro_15_175 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 59800 13600 ) FS ;
- macro_15_176 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 62560 16320 ) FN ;
- macro_15_177 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 58880 10880 ) N ;
- macro_15_178 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 63020 13600 ) FS ;
- macro_15_179 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 62100 10880 ) N ;
- macro_15_180 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 68080 16320 ) FN ;
- macro_15_181 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 67160 13600 ) FS ;
- macro_15_182 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 67160 10880 ) N ;
- macro_15_183 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 70380 13600 ) FS ;
- macro_15_184 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 73600 16320 ) FN ;
- macro_15_185 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 70380 10880 ) N ;
- macro_15_186 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 73600 13600 ) FS ;
- macro_15_187 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 77740 16320 ) FN ;
- macro_15_188 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 73600 10880 ) N ;
- macro_15_189 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 76820 13600 ) FS ;
- macro_15_190 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 76820 10880 ) N ;
- macro_15_191 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 83260 16320 ) FN ;
- macro_15_192 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 80040 13600 ) FS ;
- macro_15_193 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 83260 13600 ) FS ;
- macro_15_226 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 23460 282880 ) FN ;
- macro_15_227 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 29440 285600 ) S ;
- macro_15_228 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 36340 285600 ) S ;
- macro_15_229 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 43240 285600 ) S ;
- macro_15_230 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 51520 282880 ) FN ;
- macro_15_231 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 57040 285600 ) S ;
- macro_15_232 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 64860 282880 ) FN ;
- macro_15_233 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 70840 285600 ) S ;
- macro_15_234 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 81420 282880 ) FN ;
- macro_15_235 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 84640 285600 ) S ;
- macro_15_236 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 91540 285600 ) S ;
- macro_15_237 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 99360 282880 ) FN ;
- macro_15_238 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 106260 282880 ) FN ;
- macro_15_239 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 113160 280160 ) S ;
- macro_15_240 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 106260 285600 ) FS ;
- macro_15_241 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 113620 282880 ) N ;
- macro_15_242 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 133860 272000 ) FN ;
- macro_15_243 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 137540 272000 ) N ;
- macro_15_244 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 150420 272000 ) FN ;
- macro_15_245 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 154560 285600 ) S ;
- macro_15_246 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 161920 280160 ) S ;
- macro_15_247 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 178480 277440 ) FN ;
- macro_15_248 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 178940 272000 ) FN ;
- macro_15_249 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 182160 272000 ) FN ;
- macro_15_250 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 189060 272000 ) FN ;
- macro_15_251 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 220800 285600 ) S ;
- macro_15_252 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 216200 277440 ) FN ;
- macro_15_253 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 212520 274720 ) S ;
- macro_15_254 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 231840 285600 ) S ;
- macro_15_255 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 230460 280160 ) S ;
- macro_15_256 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 237360 282880 ) FN ;
- macro_15_257 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 240580 282880 ) FN ;
- macro_15_258 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 247020 282880 ) FN ;
- macro_15_37 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 253460 282880 ) FN ;
- macro_15_38 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 260820 282880 ) FN ;
- macro_15_39 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 267260 285600 ) S ;
- macro_15_40 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 271860 282880 ) FN ;
- macro_15_41 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 280140 285600 ) S ;
- macro_15_42 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 32660 285600 ) S ;
- macro_15_43 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 39560 285600 ) S ;
- macro_15_44 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 46460 285600 ) S ;
- macro_15_45 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 149960 280160 ) S ;
- macro_15_46 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 150420 285600 ) FS ;
- macro_15_47 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 171120 282880 ) FN ;
- macro_15_48 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 175720 272000 ) FN ;
- macro_15_49 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 186300 274720 ) S ;
- macro_15_50 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 205160 285600 ) S ;
- macro_15_51 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 191360 269280 ) S ;
- macro_15_52 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 224020 285600 ) S ;
- macro_15_53 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 208380 272000 ) FN ;
- macro_15_54 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 216200 274720 ) S ;
- macro_15_55 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 230920 282880 ) FN ;
- macro_15_56 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 233680 280160 ) S ;
- macro_15_57 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 236900 280160 ) S ;
- macro_15_58 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 243800 282880 ) FN ;
- macro_15_59 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 250240 282880 ) FN ;
- macro_15_60 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 256680 282880 ) FN ;
- macro_15_61 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 264040 282880 ) FN ;
- macro_15_62 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 267260 282880 ) FN ;
- macro_15_63 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 275080 282880 ) FN ;
- macro_15_64 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 281060 282880 ) FN ;
- macro_15_65 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 86940 16320 ) FN ;
- macro_15_66 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 81880 10880 ) N ;
- macro_15_67 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 86480 13600 ) FS ;
- macro_15_68 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 91080 16320 ) FN ;
- macro_15_69 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 85100 10880 ) N ;
- macro_15_70 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 89700 13600 ) FS ;
- macro_15_71 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 94760 16320 ) FN ;
- macro_15_72 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 88320 10880 ) N ;
- macro_15_73 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 92920 13600 ) FS ;
- macro_15_74 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 99360 19040 ) S ;
- macro_15_75 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 91540 10880 ) N ;
- macro_15_76 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 97980 16320 ) N ;
- macro_15_77 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 103500 19040 ) S ;
- macro_15_78 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 101200 16320 ) N ;
- macro_15_79 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 97060 13600 ) FS ;
- macro_15_80 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 107640 21760 ) FN ;
- macro_15_81 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 100280 13600 ) FS ;
- macro_15_82 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 106720 19040 ) FS ;
- macro_15_83 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 104420 16320 ) N ;
- macro_15_84 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 113160 21760 ) FN ;
- macro_15_85 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 96600 10880 ) N ;
- macro_15_86 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 115920 24480 ) S ;
- macro_15_87 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 103500 13600 ) FS ;
- macro_15_88 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 109940 19040 ) FS ;
- macro_15_89 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 116380 21760 ) N ;
- macro_15_90 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 107640 16320 ) N ;
- macro_15_91 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 99820 10880 ) N ;
- macro_15_92 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 119600 24480 ) FS ;
- macro_15_93 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 103040 10880 ) N ;
- macro_15_94 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 113160 19040 ) FS ;
- macro_15_95 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 106720 13600 ) FS ;
- macro_15_96 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 106260 10880 ) N ;
- macro_15_97 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 189980 10880 ) FN ;
- macro_15_98 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 189520 13600 ) S ;
- macro_15_99 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 193200 10880 ) FN ;
- output22 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 25760 285600 ) S ;
- output23 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 95220 285600 ) S ;
- output24 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 101660 285600 ) S ;
- output25 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 109940 285600 ) S ;
- output26 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 115460 285600 ) S ;
- output27 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 124660 285600 ) FS ;
- output28 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 129260 285600 ) FS ;
- output29 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 139380 285600 ) FS ;
- output30 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 143060 285600 ) S ;
- output31 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 53360 285600 ) S ;
- output32 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 60260 285600 ) S ;
- output33 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 67160 285600 ) S ;
- output34 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 74060 285600 ) S ;
- output35 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 80960 285600 ) S ;
- output36 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 87860 285600 ) S ;
END COMPONENTS
PINS 607 ;
- io_active + NET io_active + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 18630 298000 ) N ;
- io_in[0] + NET io_in[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 20930 298000 ) N ;
- io_in[10] + NET io_in[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 89930 298000 ) N ;
- io_in[11] + NET io_in[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 96830 298000 ) N ;
- io_in[12] + NET io_in[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 103730 298000 ) N ;
- io_in[13] + NET io_in[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 110630 298000 ) N ;
- io_in[14] + NET io_in[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 117530 298000 ) N ;
- io_in[15] + NET io_in[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 124430 298000 ) N ;
- io_in[16] + NET io_in[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 131330 298000 ) N ;
- io_in[17] + NET io_in[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 138230 298000 ) N ;
- io_in[18] + NET io_in[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 145130 298000 ) N ;
- io_in[19] + NET io_in[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 152030 298000 ) N ;
- io_in[1] + NET io_in[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 27830 298000 ) N ;
- io_in[20] + NET io_in[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 158930 298000 ) N ;
- io_in[21] + NET io_in[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 165830 298000 ) N ;
- io_in[22] + NET io_in[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 172730 298000 ) N ;
- io_in[23] + NET io_in[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 179630 298000 ) N ;
- io_in[24] + NET io_in[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 186530 298000 ) N ;
- io_in[25] + NET io_in[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 193430 298000 ) N ;
- io_in[26] + NET io_in[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 200330 298000 ) N ;
- io_in[27] + NET io_in[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 207230 298000 ) N ;
- io_in[28] + NET io_in[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 214130 298000 ) N ;
- io_in[29] + NET io_in[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 221030 298000 ) N ;
- io_in[2] + NET io_in[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 34730 298000 ) N ;
- io_in[30] + NET io_in[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 227930 298000 ) N ;
- io_in[31] + NET io_in[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 234830 298000 ) N ;
- io_in[32] + NET io_in[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 241730 298000 ) N ;
- io_in[33] + NET io_in[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 248630 298000 ) N ;
- io_in[34] + NET io_in[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 255530 298000 ) N ;
- io_in[35] + NET io_in[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 262430 298000 ) N ;
- io_in[36] + NET io_in[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 269330 298000 ) N ;
- io_in[37] + NET io_in[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 276230 298000 ) N ;
- io_in[3] + NET io_in[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 41630 298000 ) N ;
- io_in[4] + NET io_in[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 48530 298000 ) N ;
- io_in[5] + NET io_in[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 55430 298000 ) N ;
- io_in[6] + NET io_in[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 62330 298000 ) N ;
- io_in[7] + NET io_in[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 69230 298000 ) N ;
- io_in[8] + NET io_in[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 76130 298000 ) N ;
- io_in[9] + NET io_in[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 83030 298000 ) N ;
- io_oeb[0] + NET net226 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 23230 298000 ) N ;
- io_oeb[10] + NET net236 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 92230 298000 ) N ;
- io_oeb[11] + NET net237 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 99130 298000 ) N ;
- io_oeb[12] + NET net238 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 106030 298000 ) N ;
- io_oeb[13] + NET net239 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 112930 298000 ) N ;
- io_oeb[14] + NET net240 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 119830 298000 ) N ;
- io_oeb[15] + NET net241 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 126730 298000 ) N ;
- io_oeb[16] + NET net242 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 133630 298000 ) N ;
- io_oeb[17] + NET net243 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 140530 298000 ) N ;
- io_oeb[18] + NET net244 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 147430 298000 ) N ;
- io_oeb[19] + NET net245 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 154330 298000 ) N ;
- io_oeb[1] + NET net227 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 30130 298000 ) N ;
- io_oeb[20] + NET net246 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 161230 298000 ) N ;
- io_oeb[21] + NET net247 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 168130 298000 ) N ;
- io_oeb[22] + NET net248 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 175030 298000 ) N ;
- io_oeb[23] + NET net249 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 181930 298000 ) N ;
- io_oeb[24] + NET net250 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 188830 298000 ) N ;
- io_oeb[25] + NET net251 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 195730 298000 ) N ;
- io_oeb[26] + NET net252 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 202630 298000 ) N ;
- io_oeb[27] + NET net253 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 209530 298000 ) N ;
- io_oeb[28] + NET net254 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 216430 298000 ) N ;
- io_oeb[29] + NET net255 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 223330 298000 ) N ;
- io_oeb[2] + NET net228 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 37030 298000 ) N ;
- io_oeb[30] + NET net256 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 230230 298000 ) N ;
- io_oeb[31] + NET net257 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 237130 298000 ) N ;
- io_oeb[32] + NET net258 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 244030 298000 ) N ;
- io_oeb[33] + NET net37 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 250930 298000 ) N ;
- io_oeb[34] + NET net38 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 257830 298000 ) N ;
- io_oeb[35] + NET net39 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 264730 298000 ) N ;
- io_oeb[36] + NET net40 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 271630 298000 ) N ;
- io_oeb[37] + NET net41 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 278530 298000 ) N ;
- io_oeb[3] + NET net229 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 43930 298000 ) N ;
- io_oeb[4] + NET net230 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 50830 298000 ) N ;
- io_oeb[5] + NET net231 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 57730 298000 ) N ;
- io_oeb[6] + NET net232 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 64630 298000 ) N ;
- io_oeb[7] + NET net233 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 71530 298000 ) N ;
- io_oeb[8] + NET net234 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 78430 298000 ) N ;
- io_oeb[9] + NET net235 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 85330 298000 ) N ;
- io_out[0] + NET io_out[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 25530 298000 ) N ;
- io_out[10] + NET io_out[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 94530 298000 ) N ;
- io_out[11] + NET io_out[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 101430 298000 ) N ;
- io_out[12] + NET io_out[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 108330 298000 ) N ;
- io_out[13] + NET io_out[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 115230 298000 ) N ;
- io_out[14] + NET io_out[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 122130 298000 ) N ;
- io_out[15] + NET io_out[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 129030 298000 ) N ;
- io_out[16] + NET io_out[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 135930 298000 ) N ;
- io_out[17] + NET io_out[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 142830 298000 ) N ;
- io_out[18] + NET net45 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 149730 298000 ) N ;
- io_out[19] + NET net46 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 156630 298000 ) N ;
- io_out[1] + NET net42 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 32430 298000 ) N ;
- io_out[20] + NET net47 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 163530 298000 ) N ;
- io_out[21] + NET net48 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 170430 298000 ) N ;
- io_out[22] + NET net49 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 177330 298000 ) N ;
- io_out[23] + NET net50 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 184230 298000 ) N ;
- io_out[24] + NET net51 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 191130 298000 ) N ;
- io_out[25] + NET net52 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 198030 298000 ) N ;
- io_out[26] + NET net53 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 204930 298000 ) N ;
- io_out[27] + NET net54 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 211830 298000 ) N ;
- io_out[28] + NET net55 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 218730 298000 ) N ;
- io_out[29] + NET net56 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 225630 298000 ) N ;
- io_out[2] + NET net43 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 39330 298000 ) N ;
- io_out[30] + NET net57 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 232530 298000 ) N ;
- io_out[31] + NET net58 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 239430 298000 ) N ;
- io_out[32] + NET net59 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 246330 298000 ) N ;
- io_out[33] + NET net60 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 253230 298000 ) N ;
- io_out[34] + NET net61 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 260130 298000 ) N ;
- io_out[35] + NET net62 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 267030 298000 ) N ;
- io_out[36] + NET net63 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 273930 298000 ) N ;
- io_out[37] + NET net64 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 280830 298000 ) N ;
- io_out[3] + NET net44 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 46230 298000 ) N ;
- io_out[4] + NET io_out[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 53130 298000 ) N ;
- io_out[5] + NET io_out[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 60030 298000 ) N ;
- io_out[6] + NET io_out[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 66930 298000 ) N ;
- io_out[7] + NET io_out[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 73830 298000 ) N ;
- io_out[8] + NET io_out[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 80730 298000 ) N ;
- io_out[9] + NET io_out[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 87630 298000 ) N ;
- la_data_in[0] + NET la_data_in[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 86250 2000 ) N ;
- la_data_in[100] + NET la_data_in[100] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 224250 2000 ) N ;
- la_data_in[101] + NET la_data_in[101] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 225630 2000 ) N ;
- la_data_in[102] + NET la_data_in[102] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 227010 2000 ) N ;
- la_data_in[103] + NET la_data_in[103] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 228390 2000 ) N ;
- la_data_in[104] + NET la_data_in[104] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 229770 2000 ) N ;
- la_data_in[105] + NET la_data_in[105] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 231150 2000 ) N ;
- la_data_in[106] + NET la_data_in[106] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 232530 2000 ) N ;
- la_data_in[107] + NET la_data_in[107] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 233910 2000 ) N ;
- la_data_in[108] + NET la_data_in[108] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 235290 2000 ) N ;
- la_data_in[109] + NET la_data_in[109] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 236670 2000 ) N ;
- la_data_in[10] + NET la_data_in[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 100050 2000 ) N ;
- la_data_in[110] + NET la_data_in[110] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 238050 2000 ) N ;
- la_data_in[111] + NET la_data_in[111] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 239430 2000 ) N ;
- la_data_in[112] + NET la_data_in[112] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 240810 2000 ) N ;
- la_data_in[113] + NET la_data_in[113] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 242190 2000 ) N ;
- la_data_in[114] + NET la_data_in[114] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 243570 2000 ) N ;
- la_data_in[115] + NET la_data_in[115] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 244950 2000 ) N ;
- la_data_in[116] + NET la_data_in[116] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 246330 2000 ) N ;
- la_data_in[117] + NET la_data_in[117] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 247710 2000 ) N ;
- la_data_in[118] + NET la_data_in[118] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 249090 2000 ) N ;
- la_data_in[119] + NET la_data_in[119] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 250470 2000 ) N ;
- la_data_in[11] + NET la_data_in[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 101430 2000 ) N ;
- la_data_in[120] + NET la_data_in[120] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 251850 2000 ) N ;
- la_data_in[121] + NET la_data_in[121] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 253230 2000 ) N ;
- la_data_in[122] + NET la_data_in[122] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 254610 2000 ) N ;
- la_data_in[123] + NET la_data_in[123] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 255990 2000 ) N ;
- la_data_in[124] + NET la_data_in[124] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 257370 2000 ) N ;
- la_data_in[125] + NET la_data_in[125] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 258750 2000 ) N ;
- la_data_in[126] + NET la_data_in[126] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 260130 2000 ) N ;
- la_data_in[127] + NET la_data_in[127] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 261510 2000 ) N ;
- la_data_in[12] + NET la_data_in[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 102810 2000 ) N ;
- la_data_in[13] + NET la_data_in[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 104190 2000 ) N ;
- la_data_in[14] + NET la_data_in[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 105570 2000 ) N ;
- la_data_in[15] + NET la_data_in[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 106950 2000 ) N ;
- la_data_in[16] + NET la_data_in[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 108330 2000 ) N ;
- la_data_in[17] + NET la_data_in[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 109710 2000 ) N ;
- la_data_in[18] + NET la_data_in[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 111090 2000 ) N ;
- la_data_in[19] + NET la_data_in[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 112470 2000 ) N ;
- la_data_in[1] + NET la_data_in[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 87630 2000 ) N ;
- la_data_in[20] + NET la_data_in[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 113850 2000 ) N ;
- la_data_in[21] + NET la_data_in[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 115230 2000 ) N ;
- la_data_in[22] + NET la_data_in[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 116610 2000 ) N ;
- la_data_in[23] + NET la_data_in[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 117990 2000 ) N ;
- la_data_in[24] + NET la_data_in[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 119370 2000 ) N ;
- la_data_in[25] + NET la_data_in[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 120750 2000 ) N ;
- la_data_in[26] + NET la_data_in[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 122130 2000 ) N ;
- la_data_in[27] + NET la_data_in[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 123510 2000 ) N ;
- la_data_in[28] + NET la_data_in[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 124890 2000 ) N ;
- la_data_in[29] + NET la_data_in[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 126270 2000 ) N ;
- la_data_in[2] + NET la_data_in[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 89010 2000 ) N ;
- la_data_in[30] + NET la_data_in[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 127650 2000 ) N ;
- la_data_in[31] + NET la_data_in[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 129030 2000 ) N ;
- la_data_in[32] + NET la_data_in[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 130410 2000 ) N ;
- la_data_in[33] + NET la_data_in[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 131790 2000 ) N ;
- la_data_in[34] + NET la_data_in[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 133170 2000 ) N ;
- la_data_in[35] + NET la_data_in[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 134550 2000 ) N ;
- la_data_in[36] + NET la_data_in[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 135930 2000 ) N ;
- la_data_in[37] + NET la_data_in[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 137310 2000 ) N ;
- la_data_in[38] + NET la_data_in[38] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 138690 2000 ) N ;
- la_data_in[39] + NET la_data_in[39] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 140070 2000 ) N ;
- la_data_in[3] + NET la_data_in[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 90390 2000 ) N ;
- la_data_in[40] + NET la_data_in[40] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 141450 2000 ) N ;
- la_data_in[41] + NET la_data_in[41] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 142830 2000 ) N ;
- la_data_in[42] + NET la_data_in[42] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 144210 2000 ) N ;
- la_data_in[43] + NET la_data_in[43] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 145590 2000 ) N ;
- la_data_in[44] + NET la_data_in[44] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 146970 2000 ) N ;
- la_data_in[45] + NET la_data_in[45] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 148350 2000 ) N ;
- la_data_in[46] + NET la_data_in[46] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 149730 2000 ) N ;
- la_data_in[47] + NET la_data_in[47] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 151110 2000 ) N ;
- la_data_in[48] + NET la_data_in[48] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 152490 2000 ) N ;
- la_data_in[49] + NET la_data_in[49] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 153870 2000 ) N ;
- la_data_in[4] + NET la_data_in[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 91770 2000 ) N ;
- la_data_in[50] + NET la_data_in[50] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 155250 2000 ) N ;
- la_data_in[51] + NET la_data_in[51] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 156630 2000 ) N ;
- la_data_in[52] + NET la_data_in[52] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 158010 2000 ) N ;
- la_data_in[53] + NET la_data_in[53] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 159390 2000 ) N ;
- la_data_in[54] + NET la_data_in[54] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 160770 2000 ) N ;
- la_data_in[55] + NET la_data_in[55] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 162150 2000 ) N ;
- la_data_in[56] + NET la_data_in[56] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 163530 2000 ) N ;
- la_data_in[57] + NET la_data_in[57] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 164910 2000 ) N ;
- la_data_in[58] + NET la_data_in[58] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 166290 2000 ) N ;
- la_data_in[59] + NET la_data_in[59] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 167670 2000 ) N ;
- la_data_in[5] + NET la_data_in[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 93150 2000 ) N ;
- la_data_in[60] + NET la_data_in[60] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 169050 2000 ) N ;
- la_data_in[61] + NET la_data_in[61] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 170430 2000 ) N ;
- la_data_in[62] + NET la_data_in[62] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 171810 2000 ) N ;
- la_data_in[63] + NET la_data_in[63] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 173190 2000 ) N ;
- la_data_in[64] + NET la_data_in[64] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 174570 2000 ) N ;
- la_data_in[65] + NET la_data_in[65] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 175950 2000 ) N ;
- la_data_in[66] + NET la_data_in[66] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 177330 2000 ) N ;
- la_data_in[67] + NET la_data_in[67] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 178710 2000 ) N ;
- la_data_in[68] + NET la_data_in[68] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 180090 2000 ) N ;
- la_data_in[69] + NET la_data_in[69] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 181470 2000 ) N ;
- la_data_in[6] + NET la_data_in[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 94530 2000 ) N ;
- la_data_in[70] + NET la_data_in[70] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 182850 2000 ) N ;
- la_data_in[71] + NET la_data_in[71] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 184230 2000 ) N ;
- la_data_in[72] + NET la_data_in[72] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 185610 2000 ) N ;
- la_data_in[73] + NET la_data_in[73] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 186990 2000 ) N ;
- la_data_in[74] + NET la_data_in[74] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 188370 2000 ) N ;
- la_data_in[75] + NET la_data_in[75] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 189750 2000 ) N ;
- la_data_in[76] + NET la_data_in[76] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 191130 2000 ) N ;
- la_data_in[77] + NET la_data_in[77] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 192510 2000 ) N ;
- la_data_in[78] + NET la_data_in[78] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 193890 2000 ) N ;
- la_data_in[79] + NET la_data_in[79] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 195270 2000 ) N ;
- la_data_in[7] + NET la_data_in[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 95910 2000 ) N ;
- la_data_in[80] + NET la_data_in[80] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 196650 2000 ) N ;
- la_data_in[81] + NET la_data_in[81] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 198030 2000 ) N ;
- la_data_in[82] + NET la_data_in[82] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 199410 2000 ) N ;
- la_data_in[83] + NET la_data_in[83] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 200790 2000 ) N ;
- la_data_in[84] + NET la_data_in[84] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 202170 2000 ) N ;
- la_data_in[85] + NET la_data_in[85] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 203550 2000 ) N ;
- la_data_in[86] + NET la_data_in[86] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 204930 2000 ) N ;
- la_data_in[87] + NET la_data_in[87] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 206310 2000 ) N ;
- la_data_in[88] + NET la_data_in[88] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 207690 2000 ) N ;
- la_data_in[89] + NET la_data_in[89] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 209070 2000 ) N ;
- la_data_in[8] + NET la_data_in[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 97290 2000 ) N ;
- la_data_in[90] + NET la_data_in[90] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 210450 2000 ) N ;
- la_data_in[91] + NET la_data_in[91] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 211830 2000 ) N ;
- la_data_in[92] + NET la_data_in[92] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 213210 2000 ) N ;
- la_data_in[93] + NET la_data_in[93] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 214590 2000 ) N ;
- la_data_in[94] + NET la_data_in[94] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 215970 2000 ) N ;
- la_data_in[95] + NET la_data_in[95] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 217350 2000 ) N ;
- la_data_in[96] + NET la_data_in[96] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 218730 2000 ) N ;
- la_data_in[97] + NET la_data_in[97] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 220110 2000 ) N ;
- la_data_in[98] + NET la_data_in[98] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 221490 2000 ) N ;
- la_data_in[99] + NET la_data_in[99] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 222870 2000 ) N ;
- la_data_in[9] + NET la_data_in[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 98670 2000 ) N ;
- la_data_out[0] + NET net65 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 86710 2000 ) N ;
- la_data_out[100] + NET net133 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 224710 2000 ) N ;
- la_data_out[101] + NET net134 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 226090 2000 ) N ;
- la_data_out[102] + NET net135 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 227470 2000 ) N ;
- la_data_out[103] + NET net136 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 228850 2000 ) N ;
- la_data_out[104] + NET net137 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 230230 2000 ) N ;
- la_data_out[105] + NET net138 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 231610 2000 ) N ;
- la_data_out[106] + NET net139 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 232990 2000 ) N ;
- la_data_out[107] + NET net140 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 234370 2000 ) N ;
- la_data_out[108] + NET net141 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 235750 2000 ) N ;
- la_data_out[109] + NET net142 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 237130 2000 ) N ;
- la_data_out[10] + NET net75 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 100510 2000 ) N ;
- la_data_out[110] + NET net143 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 238510 2000 ) N ;
- la_data_out[111] + NET net144 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 239890 2000 ) N ;
- la_data_out[112] + NET net145 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 241270 2000 ) N ;
- la_data_out[113] + NET net146 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 242650 2000 ) N ;
- la_data_out[114] + NET net147 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 244030 2000 ) N ;
- la_data_out[115] + NET net148 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 245410 2000 ) N ;
- la_data_out[116] + NET net149 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 246790 2000 ) N ;
- la_data_out[117] + NET net150 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 248170 2000 ) N ;
- la_data_out[118] + NET net151 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 249550 2000 ) N ;
- la_data_out[119] + NET net152 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 250930 2000 ) N ;
- la_data_out[11] + NET net76 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 101890 2000 ) N ;
- la_data_out[120] + NET net153 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 252310 2000 ) N ;
- la_data_out[121] + NET net154 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 253690 2000 ) N ;
- la_data_out[122] + NET net155 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 255070 2000 ) N ;
- la_data_out[123] + NET net156 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 256450 2000 ) N ;
- la_data_out[124] + NET net157 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 257830 2000 ) N ;
- la_data_out[125] + NET net158 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 259210 2000 ) N ;
- la_data_out[126] + NET net159 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 260590 2000 ) N ;
- la_data_out[127] + NET net160 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 261970 2000 ) N ;
- la_data_out[12] + NET net77 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 103270 2000 ) N ;
- la_data_out[13] + NET net78 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 104650 2000 ) N ;
- la_data_out[14] + NET net79 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 106030 2000 ) N ;
- la_data_out[15] + NET net80 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 107410 2000 ) N ;
- la_data_out[16] + NET net81 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 108790 2000 ) N ;
- la_data_out[17] + NET net82 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 110170 2000 ) N ;
- la_data_out[18] + NET net83 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 111550 2000 ) N ;
- la_data_out[19] + NET net84 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 112930 2000 ) N ;
- la_data_out[1] + NET net66 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 88090 2000 ) N ;
- la_data_out[20] + NET net85 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 114310 2000 ) N ;
- la_data_out[21] + NET net86 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 115690 2000 ) N ;
- la_data_out[22] + NET net87 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 117070 2000 ) N ;
- la_data_out[23] + NET net88 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 118450 2000 ) N ;
- la_data_out[24] + NET net89 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 119830 2000 ) N ;
- la_data_out[25] + NET net90 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 121210 2000 ) N ;
- la_data_out[26] + NET net91 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 122590 2000 ) N ;
- la_data_out[27] + NET net92 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 123970 2000 ) N ;
- la_data_out[28] + NET net93 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 125350 2000 ) N ;
- la_data_out[29] + NET net94 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 126730 2000 ) N ;
- la_data_out[2] + NET net67 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 89470 2000 ) N ;
- la_data_out[30] + NET net95 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 128110 2000 ) N ;
- la_data_out[31] + NET net96 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 129490 2000 ) N ;
- la_data_out[32] + NET la_data_out[32] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 130870 2000 ) N ;
- la_data_out[33] + NET la_data_out[33] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 132250 2000 ) N ;
- la_data_out[34] + NET la_data_out[34] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 133630 2000 ) N ;
- la_data_out[35] + NET la_data_out[35] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 135010 2000 ) N ;
- la_data_out[36] + NET la_data_out[36] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 136390 2000 ) N ;
- la_data_out[37] + NET la_data_out[37] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 137770 2000 ) N ;
- la_data_out[38] + NET la_data_out[38] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 139150 2000 ) N ;
- la_data_out[39] + NET la_data_out[39] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 140530 2000 ) N ;
- la_data_out[3] + NET net68 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 90850 2000 ) N ;
- la_data_out[40] + NET la_data_out[40] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 141910 2000 ) N ;
- la_data_out[41] + NET la_data_out[41] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 143290 2000 ) N ;
- la_data_out[42] + NET la_data_out[42] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 144670 2000 ) N ;
- la_data_out[43] + NET la_data_out[43] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 146050 2000 ) N ;
- la_data_out[44] + NET la_data_out[44] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 147430 2000 ) N ;
- la_data_out[45] + NET la_data_out[45] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 148810 2000 ) N ;
- la_data_out[46] + NET la_data_out[46] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 150190 2000 ) N ;
- la_data_out[47] + NET la_data_out[47] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 151570 2000 ) N ;
- la_data_out[48] + NET la_data_out[48] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 152950 2000 ) N ;
- la_data_out[49] + NET la_data_out[49] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 154330 2000 ) N ;
- la_data_out[4] + NET net69 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 92230 2000 ) N ;
- la_data_out[50] + NET la_data_out[50] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 155710 2000 ) N ;
- la_data_out[51] + NET la_data_out[51] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 157090 2000 ) N ;
- la_data_out[52] + NET la_data_out[52] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 158470 2000 ) N ;
- la_data_out[53] + NET la_data_out[53] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 159850 2000 ) N ;
- la_data_out[54] + NET la_data_out[54] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 161230 2000 ) N ;
- la_data_out[55] + NET la_data_out[55] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 162610 2000 ) N ;
- la_data_out[56] + NET la_data_out[56] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 163990 2000 ) N ;
- la_data_out[57] + NET la_data_out[57] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 165370 2000 ) N ;
- la_data_out[58] + NET la_data_out[58] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 166750 2000 ) N ;
- la_data_out[59] + NET la_data_out[59] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 168130 2000 ) N ;
- la_data_out[5] + NET net70 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 93610 2000 ) N ;
- la_data_out[60] + NET la_data_out[60] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 169510 2000 ) N ;
- la_data_out[61] + NET la_data_out[61] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 170890 2000 ) N ;
- la_data_out[62] + NET la_data_out[62] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 172270 2000 ) N ;
- la_data_out[63] + NET la_data_out[63] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 173650 2000 ) N ;
- la_data_out[64] + NET net97 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 175030 2000 ) N ;
- la_data_out[65] + NET net98 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 176410 2000 ) N ;
- la_data_out[66] + NET net99 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 177790 2000 ) N ;
- la_data_out[67] + NET net100 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 179170 2000 ) N ;
- la_data_out[68] + NET net101 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 180550 2000 ) N ;
- la_data_out[69] + NET net102 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 181930 2000 ) N ;
- la_data_out[6] + NET net71 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 94990 2000 ) N ;
- la_data_out[70] + NET net103 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 183310 2000 ) N ;
- la_data_out[71] + NET net104 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 184690 2000 ) N ;
- la_data_out[72] + NET net105 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 186070 2000 ) N ;
- la_data_out[73] + NET net106 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 187450 2000 ) N ;
- la_data_out[74] + NET net107 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 188830 2000 ) N ;
- la_data_out[75] + NET net108 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 190210 2000 ) N ;
- la_data_out[76] + NET net109 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 191590 2000 ) N ;
- la_data_out[77] + NET net110 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 192970 2000 ) N ;
- la_data_out[78] + NET net111 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 194350 2000 ) N ;
- la_data_out[79] + NET net112 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 195730 2000 ) N ;
- la_data_out[7] + NET net72 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 96370 2000 ) N ;
- la_data_out[80] + NET net113 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 197110 2000 ) N ;
- la_data_out[81] + NET net114 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 198490 2000 ) N ;
- la_data_out[82] + NET net115 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 199870 2000 ) N ;
- la_data_out[83] + NET net116 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 201250 2000 ) N ;
- la_data_out[84] + NET net117 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 202630 2000 ) N ;
- la_data_out[85] + NET net118 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 204010 2000 ) N ;
- la_data_out[86] + NET net119 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 205390 2000 ) N ;
- la_data_out[87] + NET net120 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 206770 2000 ) N ;
- la_data_out[88] + NET net121 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 208150 2000 ) N ;
- la_data_out[89] + NET net122 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 209530 2000 ) N ;
- la_data_out[8] + NET net73 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 97750 2000 ) N ;
- la_data_out[90] + NET net123 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 210910 2000 ) N ;
- la_data_out[91] + NET net124 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 212290 2000 ) N ;
- la_data_out[92] + NET net125 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 213670 2000 ) N ;
- la_data_out[93] + NET net126 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 215050 2000 ) N ;
- la_data_out[94] + NET net127 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 216430 2000 ) N ;
- la_data_out[95] + NET net128 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 217810 2000 ) N ;
- la_data_out[96] + NET net129 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 219190 2000 ) N ;
- la_data_out[97] + NET net130 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 220570 2000 ) N ;
- la_data_out[98] + NET net131 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 221950 2000 ) N ;
- la_data_out[99] + NET net132 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 223330 2000 ) N ;
- la_data_out[9] + NET net74 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 99130 2000 ) N ;
- la_oenb[0] + NET la_oenb[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 87170 2000 ) N ;
- la_oenb[100] + NET la_oenb[100] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 225170 2000 ) N ;
- la_oenb[101] + NET la_oenb[101] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 226550 2000 ) N ;
- la_oenb[102] + NET la_oenb[102] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 227930 2000 ) N ;
- la_oenb[103] + NET la_oenb[103] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 229310 2000 ) N ;
- la_oenb[104] + NET la_oenb[104] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 230690 2000 ) N ;
- la_oenb[105] + NET la_oenb[105] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 232070 2000 ) N ;
- la_oenb[106] + NET la_oenb[106] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 233450 2000 ) N ;
- la_oenb[107] + NET la_oenb[107] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 234830 2000 ) N ;
- la_oenb[108] + NET la_oenb[108] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 236210 2000 ) N ;
- la_oenb[109] + NET la_oenb[109] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 237590 2000 ) N ;
- la_oenb[10] + NET la_oenb[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 100970 2000 ) N ;
- la_oenb[110] + NET la_oenb[110] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 238970 2000 ) N ;
- la_oenb[111] + NET la_oenb[111] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 240350 2000 ) N ;
- la_oenb[112] + NET la_oenb[112] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 241730 2000 ) N ;
- la_oenb[113] + NET la_oenb[113] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 243110 2000 ) N ;
- la_oenb[114] + NET la_oenb[114] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 244490 2000 ) N ;
- la_oenb[115] + NET la_oenb[115] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 245870 2000 ) N ;
- la_oenb[116] + NET la_oenb[116] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 247250 2000 ) N ;
- la_oenb[117] + NET la_oenb[117] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 248630 2000 ) N ;
- la_oenb[118] + NET la_oenb[118] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 250010 2000 ) N ;
- la_oenb[119] + NET la_oenb[119] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 251390 2000 ) N ;
- la_oenb[11] + NET la_oenb[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 102350 2000 ) N ;
- la_oenb[120] + NET la_oenb[120] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 252770 2000 ) N ;
- la_oenb[121] + NET la_oenb[121] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 254150 2000 ) N ;
- la_oenb[122] + NET la_oenb[122] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 255530 2000 ) N ;
- la_oenb[123] + NET la_oenb[123] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 256910 2000 ) N ;
- la_oenb[124] + NET la_oenb[124] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 258290 2000 ) N ;
- la_oenb[125] + NET la_oenb[125] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 259670 2000 ) N ;
- la_oenb[126] + NET la_oenb[126] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 261050 2000 ) N ;
- la_oenb[127] + NET la_oenb[127] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 262430 2000 ) N ;
- la_oenb[12] + NET la_oenb[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 103730 2000 ) N ;
- la_oenb[13] + NET la_oenb[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 105110 2000 ) N ;
- la_oenb[14] + NET la_oenb[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 106490 2000 ) N ;
- la_oenb[15] + NET la_oenb[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 107870 2000 ) N ;
- la_oenb[16] + NET la_oenb[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 109250 2000 ) N ;
- la_oenb[17] + NET la_oenb[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 110630 2000 ) N ;
- la_oenb[18] + NET la_oenb[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 112010 2000 ) N ;
- la_oenb[19] + NET la_oenb[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 113390 2000 ) N ;
- la_oenb[1] + NET la_oenb[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 88550 2000 ) N ;
- la_oenb[20] + NET la_oenb[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 114770 2000 ) N ;
- la_oenb[21] + NET la_oenb[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 116150 2000 ) N ;
- la_oenb[22] + NET la_oenb[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 117530 2000 ) N ;
- la_oenb[23] + NET la_oenb[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 118910 2000 ) N ;
- la_oenb[24] + NET la_oenb[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 120290 2000 ) N ;
- la_oenb[25] + NET la_oenb[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 121670 2000 ) N ;
- la_oenb[26] + NET la_oenb[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 123050 2000 ) N ;
- la_oenb[27] + NET la_oenb[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 124430 2000 ) N ;
- la_oenb[28] + NET la_oenb[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 125810 2000 ) N ;
- la_oenb[29] + NET la_oenb[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 127190 2000 ) N ;
- la_oenb[2] + NET la_oenb[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 89930 2000 ) N ;
- la_oenb[30] + NET la_oenb[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 128570 2000 ) N ;
- la_oenb[31] + NET la_oenb[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 129950 2000 ) N ;
- la_oenb[32] + NET la_oenb[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 131330 2000 ) N ;
- la_oenb[33] + NET la_oenb[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 132710 2000 ) N ;
- la_oenb[34] + NET la_oenb[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 134090 2000 ) N ;
- la_oenb[35] + NET la_oenb[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 135470 2000 ) N ;
- la_oenb[36] + NET la_oenb[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 136850 2000 ) N ;
- la_oenb[37] + NET la_oenb[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 138230 2000 ) N ;
- la_oenb[38] + NET la_oenb[38] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 139610 2000 ) N ;
- la_oenb[39] + NET la_oenb[39] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 140990 2000 ) N ;
- la_oenb[3] + NET la_oenb[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 91310 2000 ) N ;
- la_oenb[40] + NET la_oenb[40] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 142370 2000 ) N ;
- la_oenb[41] + NET la_oenb[41] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 143750 2000 ) N ;
- la_oenb[42] + NET la_oenb[42] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 145130 2000 ) N ;
- la_oenb[43] + NET la_oenb[43] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 146510 2000 ) N ;
- la_oenb[44] + NET la_oenb[44] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 147890 2000 ) N ;
- la_oenb[45] + NET la_oenb[45] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 149270 2000 ) N ;
- la_oenb[46] + NET la_oenb[46] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 150650 2000 ) N ;
- la_oenb[47] + NET la_oenb[47] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 152030 2000 ) N ;
- la_oenb[48] + NET la_oenb[48] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 153410 2000 ) N ;
- la_oenb[49] + NET la_oenb[49] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 154790 2000 ) N ;
- la_oenb[4] + NET la_oenb[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 92690 2000 ) N ;
- la_oenb[50] + NET la_oenb[50] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 156170 2000 ) N ;
- la_oenb[51] + NET la_oenb[51] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 157550 2000 ) N ;
- la_oenb[52] + NET la_oenb[52] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 158930 2000 ) N ;
- la_oenb[53] + NET la_oenb[53] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 160310 2000 ) N ;
- la_oenb[54] + NET la_oenb[54] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 161690 2000 ) N ;
- la_oenb[55] + NET la_oenb[55] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 163070 2000 ) N ;
- la_oenb[56] + NET la_oenb[56] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 164450 2000 ) N ;
- la_oenb[57] + NET la_oenb[57] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 165830 2000 ) N ;
- la_oenb[58] + NET la_oenb[58] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 167210 2000 ) N ;
- la_oenb[59] + NET la_oenb[59] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 168590 2000 ) N ;
- la_oenb[5] + NET la_oenb[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 94070 2000 ) N ;
- la_oenb[60] + NET la_oenb[60] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 169970 2000 ) N ;
- la_oenb[61] + NET la_oenb[61] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 171350 2000 ) N ;
- la_oenb[62] + NET la_oenb[62] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 172730 2000 ) N ;
- la_oenb[63] + NET la_oenb[63] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 174110 2000 ) N ;
- la_oenb[64] + NET la_oenb[64] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 175490 2000 ) N ;
- la_oenb[65] + NET la_oenb[65] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 176870 2000 ) N ;
- la_oenb[66] + NET la_oenb[66] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 178250 2000 ) N ;
- la_oenb[67] + NET la_oenb[67] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 179630 2000 ) N ;
- la_oenb[68] + NET la_oenb[68] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 181010 2000 ) N ;
- la_oenb[69] + NET la_oenb[69] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 182390 2000 ) N ;
- la_oenb[6] + NET la_oenb[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 95450 2000 ) N ;
- la_oenb[70] + NET la_oenb[70] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 183770 2000 ) N ;
- la_oenb[71] + NET la_oenb[71] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 185150 2000 ) N ;
- la_oenb[72] + NET la_oenb[72] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 186530 2000 ) N ;
- la_oenb[73] + NET la_oenb[73] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 187910 2000 ) N ;
- la_oenb[74] + NET la_oenb[74] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 189290 2000 ) N ;
- la_oenb[75] + NET la_oenb[75] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 190670 2000 ) N ;
- la_oenb[76] + NET la_oenb[76] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 192050 2000 ) N ;
- la_oenb[77] + NET la_oenb[77] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 193430 2000 ) N ;
- la_oenb[78] + NET la_oenb[78] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 194810 2000 ) N ;
- la_oenb[79] + NET la_oenb[79] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 196190 2000 ) N ;
- la_oenb[7] + NET la_oenb[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 96830 2000 ) N ;
- la_oenb[80] + NET la_oenb[80] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 197570 2000 ) N ;
- la_oenb[81] + NET la_oenb[81] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 198950 2000 ) N ;
- la_oenb[82] + NET la_oenb[82] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 200330 2000 ) N ;
- la_oenb[83] + NET la_oenb[83] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 201710 2000 ) N ;
- la_oenb[84] + NET la_oenb[84] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 203090 2000 ) N ;
- la_oenb[85] + NET la_oenb[85] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 204470 2000 ) N ;
- la_oenb[86] + NET la_oenb[86] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 205850 2000 ) N ;
- la_oenb[87] + NET la_oenb[87] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 207230 2000 ) N ;
- la_oenb[88] + NET la_oenb[88] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 208610 2000 ) N ;
- la_oenb[89] + NET la_oenb[89] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 209990 2000 ) N ;
- la_oenb[8] + NET la_oenb[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 98210 2000 ) N ;
- la_oenb[90] + NET la_oenb[90] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 211370 2000 ) N ;
- la_oenb[91] + NET la_oenb[91] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 212750 2000 ) N ;
- la_oenb[92] + NET la_oenb[92] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 214130 2000 ) N ;
- la_oenb[93] + NET la_oenb[93] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 215510 2000 ) N ;
- la_oenb[94] + NET la_oenb[94] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 216890 2000 ) N ;
- la_oenb[95] + NET la_oenb[95] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 218270 2000 ) N ;
- la_oenb[96] + NET la_oenb[96] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 219650 2000 ) N ;
- la_oenb[97] + NET la_oenb[97] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 221030 2000 ) N ;
- la_oenb[98] + NET la_oenb[98] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 222410 2000 ) N ;
- la_oenb[99] + NET la_oenb[99] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 223790 2000 ) N ;
- la_oenb[9] + NET la_oenb[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 99590 2000 ) N ;
- vccd1 + NET vccd1 + SPECIAL + DIRECTION INOUT + USE POWER
+ PORT
+ LAYER met4 ( -800 -138960 ) ( 800 138960 )
+ LAYER met4 ( -154400 -138960 ) ( -152800 138960 )
+ FIXED ( 175440 149600 ) N ;
- vssd1 + NET vssd1 + SPECIAL + DIRECTION INOUT + USE GROUND
+ PORT
+ LAYER met4 ( -800 -138960 ) ( 800 138960 )
+ LAYER met4 ( -154400 -138960 ) ( -152800 138960 )
+ FIXED ( 252240 149600 ) N ;
- wb_clk_i + NET wb_clk_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 37490 2000 ) N ;
- wb_rst_i + NET wb_rst_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 37950 2000 ) N ;
- wbs_ack_o + NET net161 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 38410 2000 ) N ;
- wbs_adr_i[0] + NET wbs_adr_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 40250 2000 ) N ;
- wbs_adr_i[10] + NET wbs_adr_i[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 55890 2000 ) N ;
- wbs_adr_i[11] + NET wbs_adr_i[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 57270 2000 ) N ;
- wbs_adr_i[12] + NET wbs_adr_i[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 58650 2000 ) N ;
- wbs_adr_i[13] + NET wbs_adr_i[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 60030 2000 ) N ;
- wbs_adr_i[14] + NET wbs_adr_i[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 61410 2000 ) N ;
- wbs_adr_i[15] + NET wbs_adr_i[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 62790 2000 ) N ;
- wbs_adr_i[16] + NET wbs_adr_i[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 64170 2000 ) N ;
- wbs_adr_i[17] + NET wbs_adr_i[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 65550 2000 ) N ;
- wbs_adr_i[18] + NET wbs_adr_i[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 66930 2000 ) N ;
- wbs_adr_i[19] + NET wbs_adr_i[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 68310 2000 ) N ;
- wbs_adr_i[1] + NET wbs_adr_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 42090 2000 ) N ;
- wbs_adr_i[20] + NET wbs_adr_i[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 69690 2000 ) N ;
- wbs_adr_i[21] + NET wbs_adr_i[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 71070 2000 ) N ;
- wbs_adr_i[22] + NET wbs_adr_i[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 72450 2000 ) N ;
- wbs_adr_i[23] + NET wbs_adr_i[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 73830 2000 ) N ;
- wbs_adr_i[24] + NET wbs_adr_i[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 75210 2000 ) N ;
- wbs_adr_i[25] + NET wbs_adr_i[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 76590 2000 ) N ;
- wbs_adr_i[26] + NET wbs_adr_i[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 77970 2000 ) N ;
- wbs_adr_i[27] + NET wbs_adr_i[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 79350 2000 ) N ;
- wbs_adr_i[28] + NET wbs_adr_i[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 80730 2000 ) N ;
- wbs_adr_i[29] + NET wbs_adr_i[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 82110 2000 ) N ;
- wbs_adr_i[2] + NET wbs_adr_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 43930 2000 ) N ;
- wbs_adr_i[30] + NET wbs_adr_i[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 83490 2000 ) N ;
- wbs_adr_i[31] + NET wbs_adr_i[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 84870 2000 ) N ;
- wbs_adr_i[3] + NET wbs_adr_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 45770 2000 ) N ;
- wbs_adr_i[4] + NET wbs_adr_i[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 47610 2000 ) N ;
- wbs_adr_i[5] + NET wbs_adr_i[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 48990 2000 ) N ;
- wbs_adr_i[6] + NET wbs_adr_i[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 50370 2000 ) N ;
- wbs_adr_i[7] + NET wbs_adr_i[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 51750 2000 ) N ;
- wbs_adr_i[8] + NET wbs_adr_i[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 53130 2000 ) N ;
- wbs_adr_i[9] + NET wbs_adr_i[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 54510 2000 ) N ;
- wbs_cyc_i + NET wbs_cyc_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 38870 2000 ) N ;
- wbs_dat_i[0] + NET wbs_dat_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 40710 2000 ) N ;
- wbs_dat_i[10] + NET wbs_dat_i[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 56350 2000 ) N ;
- wbs_dat_i[11] + NET wbs_dat_i[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 57730 2000 ) N ;
- wbs_dat_i[12] + NET wbs_dat_i[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 59110 2000 ) N ;
- wbs_dat_i[13] + NET wbs_dat_i[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 60490 2000 ) N ;
- wbs_dat_i[14] + NET wbs_dat_i[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 61870 2000 ) N ;
- wbs_dat_i[15] + NET wbs_dat_i[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 63250 2000 ) N ;
- wbs_dat_i[16] + NET wbs_dat_i[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 64630 2000 ) N ;
- wbs_dat_i[17] + NET wbs_dat_i[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 66010 2000 ) N ;
- wbs_dat_i[18] + NET wbs_dat_i[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 67390 2000 ) N ;
- wbs_dat_i[19] + NET wbs_dat_i[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 68770 2000 ) N ;
- wbs_dat_i[1] + NET wbs_dat_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 42550 2000 ) N ;
- wbs_dat_i[20] + NET wbs_dat_i[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 70150 2000 ) N ;
- wbs_dat_i[21] + NET wbs_dat_i[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 71530 2000 ) N ;
- wbs_dat_i[22] + NET wbs_dat_i[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 72910 2000 ) N ;
- wbs_dat_i[23] + NET wbs_dat_i[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 74290 2000 ) N ;
- wbs_dat_i[24] + NET wbs_dat_i[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 75670 2000 ) N ;
- wbs_dat_i[25] + NET wbs_dat_i[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 77050 2000 ) N ;
- wbs_dat_i[26] + NET wbs_dat_i[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 78430 2000 ) N ;
- wbs_dat_i[27] + NET wbs_dat_i[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 79810 2000 ) N ;
- wbs_dat_i[28] + NET wbs_dat_i[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 81190 2000 ) N ;
- wbs_dat_i[29] + NET wbs_dat_i[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 82570 2000 ) N ;
- wbs_dat_i[2] + NET wbs_dat_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 44390 2000 ) N ;
- wbs_dat_i[30] + NET wbs_dat_i[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 83950 2000 ) N ;
- wbs_dat_i[31] + NET wbs_dat_i[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 85330 2000 ) N ;
- wbs_dat_i[3] + NET wbs_dat_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 46230 2000 ) N ;
- wbs_dat_i[4] + NET wbs_dat_i[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 48070 2000 ) N ;
- wbs_dat_i[5] + NET wbs_dat_i[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 49450 2000 ) N ;
- wbs_dat_i[6] + NET wbs_dat_i[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 50830 2000 ) N ;
- wbs_dat_i[7] + NET wbs_dat_i[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 52210 2000 ) N ;
- wbs_dat_i[8] + NET wbs_dat_i[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 53590 2000 ) N ;
- wbs_dat_i[9] + NET wbs_dat_i[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 54970 2000 ) N ;
- wbs_dat_o[0] + NET net162 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 41170 2000 ) N ;
- wbs_dat_o[10] + NET net172 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 56810 2000 ) N ;
- wbs_dat_o[11] + NET net173 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 58190 2000 ) N ;
- wbs_dat_o[12] + NET net174 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 59570 2000 ) N ;
- wbs_dat_o[13] + NET net175 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 60950 2000 ) N ;
- wbs_dat_o[14] + NET net176 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 62330 2000 ) N ;
- wbs_dat_o[15] + NET net177 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 63710 2000 ) N ;
- wbs_dat_o[16] + NET net178 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 65090 2000 ) N ;
- wbs_dat_o[17] + NET net179 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 66470 2000 ) N ;
- wbs_dat_o[18] + NET net180 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 67850 2000 ) N ;
- wbs_dat_o[19] + NET net181 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 69230 2000 ) N ;
- wbs_dat_o[1] + NET net163 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 43010 2000 ) N ;
- wbs_dat_o[20] + NET net182 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 70610 2000 ) N ;
- wbs_dat_o[21] + NET net183 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 71990 2000 ) N ;
- wbs_dat_o[22] + NET net184 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 73370 2000 ) N ;
- wbs_dat_o[23] + NET net185 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 74750 2000 ) N ;
- wbs_dat_o[24] + NET net186 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 76130 2000 ) N ;
- wbs_dat_o[25] + NET net187 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 77510 2000 ) N ;
- wbs_dat_o[26] + NET net188 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 78890 2000 ) N ;
- wbs_dat_o[27] + NET net189 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 80270 2000 ) N ;
- wbs_dat_o[28] + NET net190 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 81650 2000 ) N ;
- wbs_dat_o[29] + NET net191 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 83030 2000 ) N ;
- wbs_dat_o[2] + NET net164 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 44850 2000 ) N ;
- wbs_dat_o[30] + NET net192 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 84410 2000 ) N ;
- wbs_dat_o[31] + NET net193 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 85790 2000 ) N ;
- wbs_dat_o[3] + NET net165 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 46690 2000 ) N ;
- wbs_dat_o[4] + NET net166 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 48530 2000 ) N ;
- wbs_dat_o[5] + NET net167 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 49910 2000 ) N ;
- wbs_dat_o[6] + NET net168 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 51290 2000 ) N ;
- wbs_dat_o[7] + NET net169 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 52670 2000 ) N ;
- wbs_dat_o[8] + NET net170 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 54050 2000 ) N ;
- wbs_dat_o[9] + NET net171 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 55430 2000 ) N ;
- wbs_sel_i[0] + NET wbs_sel_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 41630 2000 ) N ;
- wbs_sel_i[1] + NET wbs_sel_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 43470 2000 ) N ;
- wbs_sel_i[2] + NET wbs_sel_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 45310 2000 ) N ;
- wbs_sel_i[3] + NET wbs_sel_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 47150 2000 ) N ;
- wbs_stb_i + NET wbs_stb_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 39330 2000 ) N ;
- wbs_we_i + NET wbs_we_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 39790 2000 ) N ;
END PINS
SPECIALNETS 2 ;
- vccd1 ( PIN vccd1 ) ( * VPB ) ( * VPWR ) + USE POWER
+ ROUTED met1 480 + SHAPE FOLLOWPIN ( 5520 285600 ) ( 294400 285600 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 280160 ) ( 294400 280160 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 274720 ) ( 294400 274720 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 269280 ) ( 294400 269280 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 263840 ) ( 294400 263840 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 258400 ) ( 294400 258400 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 252960 ) ( 294400 252960 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 247520 ) ( 294400 247520 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 242080 ) ( 294400 242080 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 236640 ) ( 294400 236640 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 231200 ) ( 294400 231200 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 225760 ) ( 294400 225760 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 220320 ) ( 294400 220320 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 214880 ) ( 294400 214880 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 209440 ) ( 294400 209440 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 204000 ) ( 294400 204000 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 198560 ) ( 294400 198560 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 193120 ) ( 294400 193120 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 187680 ) ( 294400 187680 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 182240 ) ( 294400 182240 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 176800 ) ( 294400 176800 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 171360 ) ( 294400 171360 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 165920 ) ( 294400 165920 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 160480 ) ( 294400 160480 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 155040 ) ( 294400 155040 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 149600 ) ( 294400 149600 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 144160 ) ( 294400 144160 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 138720 ) ( 294400 138720 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 133280 ) ( 294400 133280 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 127840 ) ( 294400 127840 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 122400 ) ( 294400 122400 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 116960 ) ( 294400 116960 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 111520 ) ( 294400 111520 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 106080 ) ( 294400 106080 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 100640 ) ( 294400 100640 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 95200 ) ( 294400 95200 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 89760 ) ( 294400 89760 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 84320 ) ( 294400 84320 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 78880 ) ( 294400 78880 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 73440 ) ( 294400 73440 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 68000 ) ( 294400 68000 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 62560 ) ( 294400 62560 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 57120 ) ( 294400 57120 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 51680 ) ( 294400 51680 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 46240 ) ( 294400 46240 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 40800 ) ( 294400 40800 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 35360 ) ( 294400 35360 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 29920 ) ( 294400 29920 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 24480 ) ( 294400 24480 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 19040 ) ( 294400 19040 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 13600 ) ( 294400 13600 )
NEW met4 1600 + SHAPE STRIPE ( 175440 10640 ) ( 175440 288560 )
NEW met4 1600 + SHAPE STRIPE ( 21840 10640 ) ( 21840 288560 )
NEW met3 330 + SHAPE STRIPE ( 174650 285600 ) ( 176230 285600 )
NEW met3 0 + SHAPE STRIPE ( 175440 285600 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 285600 ) ( 176210 285600 )
NEW met2 0 + SHAPE STRIPE ( 175440 285600 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 285600 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 280160 ) ( 176230 280160 )
NEW met3 0 + SHAPE STRIPE ( 175440 280160 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 280160 ) ( 176210 280160 )
NEW met2 0 + SHAPE STRIPE ( 175440 280160 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 280160 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 274720 ) ( 176230 274720 )
NEW met3 0 + SHAPE STRIPE ( 175440 274720 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 274720 ) ( 176210 274720 )
NEW met2 0 + SHAPE STRIPE ( 175440 274720 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 274720 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 269280 ) ( 176230 269280 )
NEW met3 0 + SHAPE STRIPE ( 175440 269280 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 269280 ) ( 176210 269280 )
NEW met2 0 + SHAPE STRIPE ( 175440 269280 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 269280 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 263840 ) ( 176230 263840 )
NEW met3 0 + SHAPE STRIPE ( 175440 263840 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 263840 ) ( 176210 263840 )
NEW met2 0 + SHAPE STRIPE ( 175440 263840 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 263840 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 258400 ) ( 176230 258400 )
NEW met3 0 + SHAPE STRIPE ( 175440 258400 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 258400 ) ( 176210 258400 )
NEW met2 0 + SHAPE STRIPE ( 175440 258400 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 258400 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 252960 ) ( 176230 252960 )
NEW met3 0 + SHAPE STRIPE ( 175440 252960 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 252960 ) ( 176210 252960 )
NEW met2 0 + SHAPE STRIPE ( 175440 252960 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 252960 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 247520 ) ( 176230 247520 )
NEW met3 0 + SHAPE STRIPE ( 175440 247520 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 247520 ) ( 176210 247520 )
NEW met2 0 + SHAPE STRIPE ( 175440 247520 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 247520 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 242080 ) ( 176230 242080 )
NEW met3 0 + SHAPE STRIPE ( 175440 242080 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 242080 ) ( 176210 242080 )
NEW met2 0 + SHAPE STRIPE ( 175440 242080 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 242080 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 236640 ) ( 176230 236640 )
NEW met3 0 + SHAPE STRIPE ( 175440 236640 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 236640 ) ( 176210 236640 )
NEW met2 0 + SHAPE STRIPE ( 175440 236640 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 236640 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 231200 ) ( 176230 231200 )
NEW met3 0 + SHAPE STRIPE ( 175440 231200 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 231200 ) ( 176210 231200 )
NEW met2 0 + SHAPE STRIPE ( 175440 231200 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 231200 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 225760 ) ( 176230 225760 )
NEW met3 0 + SHAPE STRIPE ( 175440 225760 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 225760 ) ( 176210 225760 )
NEW met2 0 + SHAPE STRIPE ( 175440 225760 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 225760 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 220320 ) ( 176230 220320 )
NEW met3 0 + SHAPE STRIPE ( 175440 220320 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 220320 ) ( 176210 220320 )
NEW met2 0 + SHAPE STRIPE ( 175440 220320 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 220320 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 214880 ) ( 176230 214880 )
NEW met3 0 + SHAPE STRIPE ( 175440 214880 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 214880 ) ( 176210 214880 )
NEW met2 0 + SHAPE STRIPE ( 175440 214880 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 214880 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 209440 ) ( 176230 209440 )
NEW met3 0 + SHAPE STRIPE ( 175440 209440 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 209440 ) ( 176210 209440 )
NEW met2 0 + SHAPE STRIPE ( 175440 209440 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 209440 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 204000 ) ( 176230 204000 )
NEW met3 0 + SHAPE STRIPE ( 175440 204000 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 204000 ) ( 176210 204000 )
NEW met2 0 + SHAPE STRIPE ( 175440 204000 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 204000 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 198560 ) ( 176230 198560 )
NEW met3 0 + SHAPE STRIPE ( 175440 198560 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 198560 ) ( 176210 198560 )
NEW met2 0 + SHAPE STRIPE ( 175440 198560 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 198560 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 193120 ) ( 176230 193120 )
NEW met3 0 + SHAPE STRIPE ( 175440 193120 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 193120 ) ( 176210 193120 )
NEW met2 0 + SHAPE STRIPE ( 175440 193120 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 193120 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 187680 ) ( 176230 187680 )
NEW met3 0 + SHAPE STRIPE ( 175440 187680 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 187680 ) ( 176210 187680 )
NEW met2 0 + SHAPE STRIPE ( 175440 187680 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 187680 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 182240 ) ( 176230 182240 )
NEW met3 0 + SHAPE STRIPE ( 175440 182240 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 182240 ) ( 176210 182240 )
NEW met2 0 + SHAPE STRIPE ( 175440 182240 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 182240 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 176800 ) ( 176230 176800 )
NEW met3 0 + SHAPE STRIPE ( 175440 176800 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 176800 ) ( 176210 176800 )
NEW met2 0 + SHAPE STRIPE ( 175440 176800 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 176800 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 171360 ) ( 176230 171360 )
NEW met3 0 + SHAPE STRIPE ( 175440 171360 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 171360 ) ( 176210 171360 )
NEW met2 0 + SHAPE STRIPE ( 175440 171360 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 171360 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 165920 ) ( 176230 165920 )
NEW met3 0 + SHAPE STRIPE ( 175440 165920 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 165920 ) ( 176210 165920 )
NEW met2 0 + SHAPE STRIPE ( 175440 165920 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 165920 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 160480 ) ( 176230 160480 )
NEW met3 0 + SHAPE STRIPE ( 175440 160480 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 160480 ) ( 176210 160480 )
NEW met2 0 + SHAPE STRIPE ( 175440 160480 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 160480 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 155040 ) ( 176230 155040 )
NEW met3 0 + SHAPE STRIPE ( 175440 155040 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 155040 ) ( 176210 155040 )
NEW met2 0 + SHAPE STRIPE ( 175440 155040 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 155040 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 149600 ) ( 176230 149600 )
NEW met3 0 + SHAPE STRIPE ( 175440 149600 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 149600 ) ( 176210 149600 )
NEW met2 0 + SHAPE STRIPE ( 175440 149600 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 149600 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 144160 ) ( 176230 144160 )
NEW met3 0 + SHAPE STRIPE ( 175440 144160 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 144160 ) ( 176210 144160 )
NEW met2 0 + SHAPE STRIPE ( 175440 144160 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 144160 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 138720 ) ( 176230 138720 )
NEW met3 0 + SHAPE STRIPE ( 175440 138720 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 138720 ) ( 176210 138720 )
NEW met2 0 + SHAPE STRIPE ( 175440 138720 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 138720 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 133280 ) ( 176230 133280 )
NEW met3 0 + SHAPE STRIPE ( 175440 133280 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 133280 ) ( 176210 133280 )
NEW met2 0 + SHAPE STRIPE ( 175440 133280 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 133280 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 127840 ) ( 176230 127840 )
NEW met3 0 + SHAPE STRIPE ( 175440 127840 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 127840 ) ( 176210 127840 )
NEW met2 0 + SHAPE STRIPE ( 175440 127840 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 127840 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 122400 ) ( 176230 122400 )
NEW met3 0 + SHAPE STRIPE ( 175440 122400 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 122400 ) ( 176210 122400 )
NEW met2 0 + SHAPE STRIPE ( 175440 122400 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 122400 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 116960 ) ( 176230 116960 )
NEW met3 0 + SHAPE STRIPE ( 175440 116960 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 116960 ) ( 176210 116960 )
NEW met2 0 + SHAPE STRIPE ( 175440 116960 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 116960 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 111520 ) ( 176230 111520 )
NEW met3 0 + SHAPE STRIPE ( 175440 111520 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 111520 ) ( 176210 111520 )
NEW met2 0 + SHAPE STRIPE ( 175440 111520 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 111520 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 106080 ) ( 176230 106080 )
NEW met3 0 + SHAPE STRIPE ( 175440 106080 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 106080 ) ( 176210 106080 )
NEW met2 0 + SHAPE STRIPE ( 175440 106080 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 106080 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 100640 ) ( 176230 100640 )
NEW met3 0 + SHAPE STRIPE ( 175440 100640 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 100640 ) ( 176210 100640 )
NEW met2 0 + SHAPE STRIPE ( 175440 100640 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 100640 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 95200 ) ( 176230 95200 )
NEW met3 0 + SHAPE STRIPE ( 175440 95200 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 95200 ) ( 176210 95200 )
NEW met2 0 + SHAPE STRIPE ( 175440 95200 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 95200 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 89760 ) ( 176230 89760 )
NEW met3 0 + SHAPE STRIPE ( 175440 89760 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 89760 ) ( 176210 89760 )
NEW met2 0 + SHAPE STRIPE ( 175440 89760 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 89760 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 84320 ) ( 176230 84320 )
NEW met3 0 + SHAPE STRIPE ( 175440 84320 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 84320 ) ( 176210 84320 )
NEW met2 0 + SHAPE STRIPE ( 175440 84320 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 84320 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 78880 ) ( 176230 78880 )
NEW met3 0 + SHAPE STRIPE ( 175440 78880 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 78880 ) ( 176210 78880 )
NEW met2 0 + SHAPE STRIPE ( 175440 78880 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 78880 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 73440 ) ( 176230 73440 )
NEW met3 0 + SHAPE STRIPE ( 175440 73440 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 73440 ) ( 176210 73440 )
NEW met2 0 + SHAPE STRIPE ( 175440 73440 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 73440 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 68000 ) ( 176230 68000 )
NEW met3 0 + SHAPE STRIPE ( 175440 68000 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 68000 ) ( 176210 68000 )
NEW met2 0 + SHAPE STRIPE ( 175440 68000 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 68000 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 62560 ) ( 176230 62560 )
NEW met3 0 + SHAPE STRIPE ( 175440 62560 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 62560 ) ( 176210 62560 )
NEW met2 0 + SHAPE STRIPE ( 175440 62560 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 62560 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 57120 ) ( 176230 57120 )
NEW met3 0 + SHAPE STRIPE ( 175440 57120 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 57120 ) ( 176210 57120 )
NEW met2 0 + SHAPE STRIPE ( 175440 57120 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 57120 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 51680 ) ( 176230 51680 )
NEW met3 0 + SHAPE STRIPE ( 175440 51680 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 51680 ) ( 176210 51680 )
NEW met2 0 + SHAPE STRIPE ( 175440 51680 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 51680 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 46240 ) ( 176230 46240 )
NEW met3 0 + SHAPE STRIPE ( 175440 46240 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 46240 ) ( 176210 46240 )
NEW met2 0 + SHAPE STRIPE ( 175440 46240 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 46240 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 40800 ) ( 176230 40800 )
NEW met3 0 + SHAPE STRIPE ( 175440 40800 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 40800 ) ( 176210 40800 )
NEW met2 0 + SHAPE STRIPE ( 175440 40800 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 40800 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 35360 ) ( 176230 35360 )
NEW met3 0 + SHAPE STRIPE ( 175440 35360 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 35360 ) ( 176210 35360 )
NEW met2 0 + SHAPE STRIPE ( 175440 35360 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 35360 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 29920 ) ( 176230 29920 )
NEW met3 0 + SHAPE STRIPE ( 175440 29920 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 29920 ) ( 176210 29920 )
NEW met2 0 + SHAPE STRIPE ( 175440 29920 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 29920 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 24480 ) ( 176230 24480 )
NEW met3 0 + SHAPE STRIPE ( 175440 24480 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 24480 ) ( 176210 24480 )
NEW met2 0 + SHAPE STRIPE ( 175440 24480 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 24480 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 19040 ) ( 176230 19040 )
NEW met3 0 + SHAPE STRIPE ( 175440 19040 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 19040 ) ( 176210 19040 )
NEW met2 0 + SHAPE STRIPE ( 175440 19040 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 19040 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 13600 ) ( 176230 13600 )
NEW met3 0 + SHAPE STRIPE ( 175440 13600 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 13600 ) ( 176210 13600 )
NEW met2 0 + SHAPE STRIPE ( 175440 13600 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 13600 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 285600 ) ( 22630 285600 )
NEW met3 0 + SHAPE STRIPE ( 21840 285600 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 285600 ) ( 22610 285600 )
NEW met2 0 + SHAPE STRIPE ( 21840 285600 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 285600 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 280160 ) ( 22630 280160 )
NEW met3 0 + SHAPE STRIPE ( 21840 280160 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 280160 ) ( 22610 280160 )
NEW met2 0 + SHAPE STRIPE ( 21840 280160 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 280160 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 274720 ) ( 22630 274720 )
NEW met3 0 + SHAPE STRIPE ( 21840 274720 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 274720 ) ( 22610 274720 )
NEW met2 0 + SHAPE STRIPE ( 21840 274720 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 274720 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 269280 ) ( 22630 269280 )
NEW met3 0 + SHAPE STRIPE ( 21840 269280 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 269280 ) ( 22610 269280 )
NEW met2 0 + SHAPE STRIPE ( 21840 269280 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 269280 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 263840 ) ( 22630 263840 )
NEW met3 0 + SHAPE STRIPE ( 21840 263840 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 263840 ) ( 22610 263840 )
NEW met2 0 + SHAPE STRIPE ( 21840 263840 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 263840 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 258400 ) ( 22630 258400 )
NEW met3 0 + SHAPE STRIPE ( 21840 258400 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 258400 ) ( 22610 258400 )
NEW met2 0 + SHAPE STRIPE ( 21840 258400 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 258400 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 252960 ) ( 22630 252960 )
NEW met3 0 + SHAPE STRIPE ( 21840 252960 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 252960 ) ( 22610 252960 )
NEW met2 0 + SHAPE STRIPE ( 21840 252960 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 252960 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 247520 ) ( 22630 247520 )
NEW met3 0 + SHAPE STRIPE ( 21840 247520 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 247520 ) ( 22610 247520 )
NEW met2 0 + SHAPE STRIPE ( 21840 247520 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 247520 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 242080 ) ( 22630 242080 )
NEW met3 0 + SHAPE STRIPE ( 21840 242080 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 242080 ) ( 22610 242080 )
NEW met2 0 + SHAPE STRIPE ( 21840 242080 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 242080 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 236640 ) ( 22630 236640 )
NEW met3 0 + SHAPE STRIPE ( 21840 236640 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 236640 ) ( 22610 236640 )
NEW met2 0 + SHAPE STRIPE ( 21840 236640 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 236640 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 231200 ) ( 22630 231200 )
NEW met3 0 + SHAPE STRIPE ( 21840 231200 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 231200 ) ( 22610 231200 )
NEW met2 0 + SHAPE STRIPE ( 21840 231200 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 231200 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 225760 ) ( 22630 225760 )
NEW met3 0 + SHAPE STRIPE ( 21840 225760 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 225760 ) ( 22610 225760 )
NEW met2 0 + SHAPE STRIPE ( 21840 225760 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 225760 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 220320 ) ( 22630 220320 )
NEW met3 0 + SHAPE STRIPE ( 21840 220320 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 220320 ) ( 22610 220320 )
NEW met2 0 + SHAPE STRIPE ( 21840 220320 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 220320 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 214880 ) ( 22630 214880 )
NEW met3 0 + SHAPE STRIPE ( 21840 214880 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 214880 ) ( 22610 214880 )
NEW met2 0 + SHAPE STRIPE ( 21840 214880 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 214880 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 209440 ) ( 22630 209440 )
NEW met3 0 + SHAPE STRIPE ( 21840 209440 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 209440 ) ( 22610 209440 )
NEW met2 0 + SHAPE STRIPE ( 21840 209440 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 209440 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 204000 ) ( 22630 204000 )
NEW met3 0 + SHAPE STRIPE ( 21840 204000 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 204000 ) ( 22610 204000 )
NEW met2 0 + SHAPE STRIPE ( 21840 204000 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 204000 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 198560 ) ( 22630 198560 )
NEW met3 0 + SHAPE STRIPE ( 21840 198560 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 198560 ) ( 22610 198560 )
NEW met2 0 + SHAPE STRIPE ( 21840 198560 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 198560 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 193120 ) ( 22630 193120 )
NEW met3 0 + SHAPE STRIPE ( 21840 193120 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 193120 ) ( 22610 193120 )
NEW met2 0 + SHAPE STRIPE ( 21840 193120 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 193120 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 187680 ) ( 22630 187680 )
NEW met3 0 + SHAPE STRIPE ( 21840 187680 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 187680 ) ( 22610 187680 )
NEW met2 0 + SHAPE STRIPE ( 21840 187680 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 187680 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 182240 ) ( 22630 182240 )
NEW met3 0 + SHAPE STRIPE ( 21840 182240 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 182240 ) ( 22610 182240 )
NEW met2 0 + SHAPE STRIPE ( 21840 182240 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 182240 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 176800 ) ( 22630 176800 )
NEW met3 0 + SHAPE STRIPE ( 21840 176800 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 176800 ) ( 22610 176800 )
NEW met2 0 + SHAPE STRIPE ( 21840 176800 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 176800 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 171360 ) ( 22630 171360 )
NEW met3 0 + SHAPE STRIPE ( 21840 171360 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 171360 ) ( 22610 171360 )
NEW met2 0 + SHAPE STRIPE ( 21840 171360 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 171360 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 165920 ) ( 22630 165920 )
NEW met3 0 + SHAPE STRIPE ( 21840 165920 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 165920 ) ( 22610 165920 )
NEW met2 0 + SHAPE STRIPE ( 21840 165920 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 165920 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 160480 ) ( 22630 160480 )
NEW met3 0 + SHAPE STRIPE ( 21840 160480 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 160480 ) ( 22610 160480 )
NEW met2 0 + SHAPE STRIPE ( 21840 160480 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 160480 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 155040 ) ( 22630 155040 )
NEW met3 0 + SHAPE STRIPE ( 21840 155040 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 155040 ) ( 22610 155040 )
NEW met2 0 + SHAPE STRIPE ( 21840 155040 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 155040 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 149600 ) ( 22630 149600 )
NEW met3 0 + SHAPE STRIPE ( 21840 149600 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 149600 ) ( 22610 149600 )
NEW met2 0 + SHAPE STRIPE ( 21840 149600 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 149600 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 144160 ) ( 22630 144160 )
NEW met3 0 + SHAPE STRIPE ( 21840 144160 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 144160 ) ( 22610 144160 )
NEW met2 0 + SHAPE STRIPE ( 21840 144160 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 144160 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 138720 ) ( 22630 138720 )
NEW met3 0 + SHAPE STRIPE ( 21840 138720 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 138720 ) ( 22610 138720 )
NEW met2 0 + SHAPE STRIPE ( 21840 138720 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 138720 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 133280 ) ( 22630 133280 )
NEW met3 0 + SHAPE STRIPE ( 21840 133280 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 133280 ) ( 22610 133280 )
NEW met2 0 + SHAPE STRIPE ( 21840 133280 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 133280 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 127840 ) ( 22630 127840 )
NEW met3 0 + SHAPE STRIPE ( 21840 127840 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 127840 ) ( 22610 127840 )
NEW met2 0 + SHAPE STRIPE ( 21840 127840 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 127840 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 122400 ) ( 22630 122400 )
NEW met3 0 + SHAPE STRIPE ( 21840 122400 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 122400 ) ( 22610 122400 )
NEW met2 0 + SHAPE STRIPE ( 21840 122400 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 122400 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 116960 ) ( 22630 116960 )
NEW met3 0 + SHAPE STRIPE ( 21840 116960 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 116960 ) ( 22610 116960 )
NEW met2 0 + SHAPE STRIPE ( 21840 116960 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 116960 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 111520 ) ( 22630 111520 )
NEW met3 0 + SHAPE STRIPE ( 21840 111520 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 111520 ) ( 22610 111520 )
NEW met2 0 + SHAPE STRIPE ( 21840 111520 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 111520 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 106080 ) ( 22630 106080 )
NEW met3 0 + SHAPE STRIPE ( 21840 106080 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 106080 ) ( 22610 106080 )
NEW met2 0 + SHAPE STRIPE ( 21840 106080 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 106080 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 100640 ) ( 22630 100640 )
NEW met3 0 + SHAPE STRIPE ( 21840 100640 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 100640 ) ( 22610 100640 )
NEW met2 0 + SHAPE STRIPE ( 21840 100640 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 100640 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 95200 ) ( 22630 95200 )
NEW met3 0 + SHAPE STRIPE ( 21840 95200 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 95200 ) ( 22610 95200 )
NEW met2 0 + SHAPE STRIPE ( 21840 95200 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 95200 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 89760 ) ( 22630 89760 )
NEW met3 0 + SHAPE STRIPE ( 21840 89760 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 89760 ) ( 22610 89760 )
NEW met2 0 + SHAPE STRIPE ( 21840 89760 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 89760 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 84320 ) ( 22630 84320 )
NEW met3 0 + SHAPE STRIPE ( 21840 84320 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 84320 ) ( 22610 84320 )
NEW met2 0 + SHAPE STRIPE ( 21840 84320 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 84320 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 78880 ) ( 22630 78880 )
NEW met3 0 + SHAPE STRIPE ( 21840 78880 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 78880 ) ( 22610 78880 )
NEW met2 0 + SHAPE STRIPE ( 21840 78880 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 78880 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 73440 ) ( 22630 73440 )
NEW met3 0 + SHAPE STRIPE ( 21840 73440 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 73440 ) ( 22610 73440 )
NEW met2 0 + SHAPE STRIPE ( 21840 73440 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 73440 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 68000 ) ( 22630 68000 )
NEW met3 0 + SHAPE STRIPE ( 21840 68000 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 68000 ) ( 22610 68000 )
NEW met2 0 + SHAPE STRIPE ( 21840 68000 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 68000 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 62560 ) ( 22630 62560 )
NEW met3 0 + SHAPE STRIPE ( 21840 62560 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 62560 ) ( 22610 62560 )
NEW met2 0 + SHAPE STRIPE ( 21840 62560 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 62560 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 57120 ) ( 22630 57120 )
NEW met3 0 + SHAPE STRIPE ( 21840 57120 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 57120 ) ( 22610 57120 )
NEW met2 0 + SHAPE STRIPE ( 21840 57120 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 57120 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 51680 ) ( 22630 51680 )
NEW met3 0 + SHAPE STRIPE ( 21840 51680 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 51680 ) ( 22610 51680 )
NEW met2 0 + SHAPE STRIPE ( 21840 51680 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 51680 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 46240 ) ( 22630 46240 )
NEW met3 0 + SHAPE STRIPE ( 21840 46240 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 46240 ) ( 22610 46240 )
NEW met2 0 + SHAPE STRIPE ( 21840 46240 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 46240 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 40800 ) ( 22630 40800 )
NEW met3 0 + SHAPE STRIPE ( 21840 40800 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 40800 ) ( 22610 40800 )
NEW met2 0 + SHAPE STRIPE ( 21840 40800 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 40800 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 35360 ) ( 22630 35360 )
NEW met3 0 + SHAPE STRIPE ( 21840 35360 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 35360 ) ( 22610 35360 )
NEW met2 0 + SHAPE STRIPE ( 21840 35360 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 35360 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 29920 ) ( 22630 29920 )
NEW met3 0 + SHAPE STRIPE ( 21840 29920 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 29920 ) ( 22610 29920 )
NEW met2 0 + SHAPE STRIPE ( 21840 29920 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 29920 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 24480 ) ( 22630 24480 )
NEW met3 0 + SHAPE STRIPE ( 21840 24480 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 24480 ) ( 22610 24480 )
NEW met2 0 + SHAPE STRIPE ( 21840 24480 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 24480 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 19040 ) ( 22630 19040 )
NEW met3 0 + SHAPE STRIPE ( 21840 19040 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 19040 ) ( 22610 19040 )
NEW met2 0 + SHAPE STRIPE ( 21840 19040 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 19040 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 13600 ) ( 22630 13600 )
NEW met3 0 + SHAPE STRIPE ( 21840 13600 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 13600 ) ( 22610 13600 )
NEW met2 0 + SHAPE STRIPE ( 21840 13600 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 13600 ) via2_3_1600_480_1_5_320_320 ;
- vssd1 ( PIN vssd1 ) ( * VNB ) ( * VGND ) + USE GROUND
+ ROUTED met1 480 + SHAPE FOLLOWPIN ( 5520 288320 ) ( 294400 288320 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 282880 ) ( 294400 282880 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 277440 ) ( 294400 277440 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 272000 ) ( 294400 272000 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 266560 ) ( 294400 266560 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 261120 ) ( 294400 261120 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 255680 ) ( 294400 255680 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 250240 ) ( 294400 250240 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 244800 ) ( 294400 244800 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 239360 ) ( 294400 239360 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 233920 ) ( 294400 233920 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 228480 ) ( 294400 228480 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 223040 ) ( 294400 223040 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 217600 ) ( 294400 217600 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 212160 ) ( 294400 212160 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 206720 ) ( 294400 206720 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 201280 ) ( 294400 201280 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 195840 ) ( 294400 195840 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 190400 ) ( 294400 190400 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 184960 ) ( 294400 184960 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 179520 ) ( 294400 179520 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 174080 ) ( 294400 174080 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 168640 ) ( 294400 168640 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 163200 ) ( 294400 163200 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 157760 ) ( 294400 157760 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 152320 ) ( 294400 152320 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 146880 ) ( 294400 146880 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 141440 ) ( 294400 141440 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 136000 ) ( 294400 136000 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 130560 ) ( 294400 130560 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 125120 ) ( 294400 125120 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 119680 ) ( 294400 119680 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 114240 ) ( 294400 114240 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 108800 ) ( 294400 108800 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 103360 ) ( 294400 103360 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 97920 ) ( 294400 97920 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 92480 ) ( 294400 92480 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 87040 ) ( 294400 87040 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 81600 ) ( 294400 81600 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 76160 ) ( 294400 76160 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 70720 ) ( 294400 70720 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 65280 ) ( 294400 65280 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 59840 ) ( 294400 59840 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 54400 ) ( 294400 54400 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 48960 ) ( 294400 48960 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 43520 ) ( 294400 43520 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 38080 ) ( 294400 38080 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 32640 ) ( 294400 32640 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 27200 ) ( 294400 27200 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 21760 ) ( 294400 21760 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 16320 ) ( 294400 16320 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 10880 ) ( 294400 10880 )
NEW met4 1600 + SHAPE STRIPE ( 252240 10640 ) ( 252240 288560 )
NEW met4 1600 + SHAPE STRIPE ( 98640 10640 ) ( 98640 288560 )
NEW met3 330 + SHAPE STRIPE ( 251450 288320 ) ( 253030 288320 )
NEW met3 0 + SHAPE STRIPE ( 252240 288320 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 288320 ) ( 253010 288320 )
NEW met2 0 + SHAPE STRIPE ( 252240 288320 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 288320 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 282880 ) ( 253030 282880 )
NEW met3 0 + SHAPE STRIPE ( 252240 282880 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 282880 ) ( 253010 282880 )
NEW met2 0 + SHAPE STRIPE ( 252240 282880 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 282880 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 277440 ) ( 253030 277440 )
NEW met3 0 + SHAPE STRIPE ( 252240 277440 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 277440 ) ( 253010 277440 )
NEW met2 0 + SHAPE STRIPE ( 252240 277440 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 277440 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 272000 ) ( 253030 272000 )
NEW met3 0 + SHAPE STRIPE ( 252240 272000 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 272000 ) ( 253010 272000 )
NEW met2 0 + SHAPE STRIPE ( 252240 272000 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 272000 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 266560 ) ( 253030 266560 )
NEW met3 0 + SHAPE STRIPE ( 252240 266560 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 266560 ) ( 253010 266560 )
NEW met2 0 + SHAPE STRIPE ( 252240 266560 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 266560 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 261120 ) ( 253030 261120 )
NEW met3 0 + SHAPE STRIPE ( 252240 261120 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 261120 ) ( 253010 261120 )
NEW met2 0 + SHAPE STRIPE ( 252240 261120 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 261120 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 255680 ) ( 253030 255680 )
NEW met3 0 + SHAPE STRIPE ( 252240 255680 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 255680 ) ( 253010 255680 )
NEW met2 0 + SHAPE STRIPE ( 252240 255680 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 255680 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 250240 ) ( 253030 250240 )
NEW met3 0 + SHAPE STRIPE ( 252240 250240 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 250240 ) ( 253010 250240 )
NEW met2 0 + SHAPE STRIPE ( 252240 250240 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 250240 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 244800 ) ( 253030 244800 )
NEW met3 0 + SHAPE STRIPE ( 252240 244800 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 244800 ) ( 253010 244800 )
NEW met2 0 + SHAPE STRIPE ( 252240 244800 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 244800 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 239360 ) ( 253030 239360 )
NEW met3 0 + SHAPE STRIPE ( 252240 239360 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 239360 ) ( 253010 239360 )
NEW met2 0 + SHAPE STRIPE ( 252240 239360 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 239360 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 233920 ) ( 253030 233920 )
NEW met3 0 + SHAPE STRIPE ( 252240 233920 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 233920 ) ( 253010 233920 )
NEW met2 0 + SHAPE STRIPE ( 252240 233920 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 233920 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 228480 ) ( 253030 228480 )
NEW met3 0 + SHAPE STRIPE ( 252240 228480 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 228480 ) ( 253010 228480 )
NEW met2 0 + SHAPE STRIPE ( 252240 228480 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 228480 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 223040 ) ( 253030 223040 )
NEW met3 0 + SHAPE STRIPE ( 252240 223040 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 223040 ) ( 253010 223040 )
NEW met2 0 + SHAPE STRIPE ( 252240 223040 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 223040 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 217600 ) ( 253030 217600 )
NEW met3 0 + SHAPE STRIPE ( 252240 217600 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 217600 ) ( 253010 217600 )
NEW met2 0 + SHAPE STRIPE ( 252240 217600 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 217600 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 212160 ) ( 253030 212160 )
NEW met3 0 + SHAPE STRIPE ( 252240 212160 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 212160 ) ( 253010 212160 )
NEW met2 0 + SHAPE STRIPE ( 252240 212160 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 212160 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 206720 ) ( 253030 206720 )
NEW met3 0 + SHAPE STRIPE ( 252240 206720 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 206720 ) ( 253010 206720 )
NEW met2 0 + SHAPE STRIPE ( 252240 206720 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 206720 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 201280 ) ( 253030 201280 )
NEW met3 0 + SHAPE STRIPE ( 252240 201280 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 201280 ) ( 253010 201280 )
NEW met2 0 + SHAPE STRIPE ( 252240 201280 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 201280 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 195840 ) ( 253030 195840 )
NEW met3 0 + SHAPE STRIPE ( 252240 195840 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 195840 ) ( 253010 195840 )
NEW met2 0 + SHAPE STRIPE ( 252240 195840 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 195840 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 190400 ) ( 253030 190400 )
NEW met3 0 + SHAPE STRIPE ( 252240 190400 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 190400 ) ( 253010 190400 )
NEW met2 0 + SHAPE STRIPE ( 252240 190400 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 190400 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 184960 ) ( 253030 184960 )
NEW met3 0 + SHAPE STRIPE ( 252240 184960 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 184960 ) ( 253010 184960 )
NEW met2 0 + SHAPE STRIPE ( 252240 184960 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 184960 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 179520 ) ( 253030 179520 )
NEW met3 0 + SHAPE STRIPE ( 252240 179520 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 179520 ) ( 253010 179520 )
NEW met2 0 + SHAPE STRIPE ( 252240 179520 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 179520 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 174080 ) ( 253030 174080 )
NEW met3 0 + SHAPE STRIPE ( 252240 174080 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 174080 ) ( 253010 174080 )
NEW met2 0 + SHAPE STRIPE ( 252240 174080 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 174080 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 168640 ) ( 253030 168640 )
NEW met3 0 + SHAPE STRIPE ( 252240 168640 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 168640 ) ( 253010 168640 )
NEW met2 0 + SHAPE STRIPE ( 252240 168640 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 168640 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 163200 ) ( 253030 163200 )
NEW met3 0 + SHAPE STRIPE ( 252240 163200 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 163200 ) ( 253010 163200 )
NEW met2 0 + SHAPE STRIPE ( 252240 163200 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 163200 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 157760 ) ( 253030 157760 )
NEW met3 0 + SHAPE STRIPE ( 252240 157760 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 157760 ) ( 253010 157760 )
NEW met2 0 + SHAPE STRIPE ( 252240 157760 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 157760 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 152320 ) ( 253030 152320 )
NEW met3 0 + SHAPE STRIPE ( 252240 152320 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 152320 ) ( 253010 152320 )
NEW met2 0 + SHAPE STRIPE ( 252240 152320 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 152320 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 146880 ) ( 253030 146880 )
NEW met3 0 + SHAPE STRIPE ( 252240 146880 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 146880 ) ( 253010 146880 )
NEW met2 0 + SHAPE STRIPE ( 252240 146880 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 146880 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 141440 ) ( 253030 141440 )
NEW met3 0 + SHAPE STRIPE ( 252240 141440 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 141440 ) ( 253010 141440 )
NEW met2 0 + SHAPE STRIPE ( 252240 141440 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 141440 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 136000 ) ( 253030 136000 )
NEW met3 0 + SHAPE STRIPE ( 252240 136000 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 136000 ) ( 253010 136000 )
NEW met2 0 + SHAPE STRIPE ( 252240 136000 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 136000 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 130560 ) ( 253030 130560 )
NEW met3 0 + SHAPE STRIPE ( 252240 130560 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 130560 ) ( 253010 130560 )
NEW met2 0 + SHAPE STRIPE ( 252240 130560 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 130560 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 125120 ) ( 253030 125120 )
NEW met3 0 + SHAPE STRIPE ( 252240 125120 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 125120 ) ( 253010 125120 )
NEW met2 0 + SHAPE STRIPE ( 252240 125120 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 125120 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 119680 ) ( 253030 119680 )
NEW met3 0 + SHAPE STRIPE ( 252240 119680 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 119680 ) ( 253010 119680 )
NEW met2 0 + SHAPE STRIPE ( 252240 119680 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 119680 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 114240 ) ( 253030 114240 )
NEW met3 0 + SHAPE STRIPE ( 252240 114240 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 114240 ) ( 253010 114240 )
NEW met2 0 + SHAPE STRIPE ( 252240 114240 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 114240 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 108800 ) ( 253030 108800 )
NEW met3 0 + SHAPE STRIPE ( 252240 108800 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 108800 ) ( 253010 108800 )
NEW met2 0 + SHAPE STRIPE ( 252240 108800 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 108800 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 103360 ) ( 253030 103360 )
NEW met3 0 + SHAPE STRIPE ( 252240 103360 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 103360 ) ( 253010 103360 )
NEW met2 0 + SHAPE STRIPE ( 252240 103360 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 103360 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 97920 ) ( 253030 97920 )
NEW met3 0 + SHAPE STRIPE ( 252240 97920 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 97920 ) ( 253010 97920 )
NEW met2 0 + SHAPE STRIPE ( 252240 97920 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 97920 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 92480 ) ( 253030 92480 )
NEW met3 0 + SHAPE STRIPE ( 252240 92480 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 92480 ) ( 253010 92480 )
NEW met2 0 + SHAPE STRIPE ( 252240 92480 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 92480 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 87040 ) ( 253030 87040 )
NEW met3 0 + SHAPE STRIPE ( 252240 87040 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 87040 ) ( 253010 87040 )
NEW met2 0 + SHAPE STRIPE ( 252240 87040 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 87040 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 81600 ) ( 253030 81600 )
NEW met3 0 + SHAPE STRIPE ( 252240 81600 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 81600 ) ( 253010 81600 )
NEW met2 0 + SHAPE STRIPE ( 252240 81600 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 81600 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 76160 ) ( 253030 76160 )
NEW met3 0 + SHAPE STRIPE ( 252240 76160 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 76160 ) ( 253010 76160 )
NEW met2 0 + SHAPE STRIPE ( 252240 76160 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 76160 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 70720 ) ( 253030 70720 )
NEW met3 0 + SHAPE STRIPE ( 252240 70720 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 70720 ) ( 253010 70720 )
NEW met2 0 + SHAPE STRIPE ( 252240 70720 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 70720 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 65280 ) ( 253030 65280 )
NEW met3 0 + SHAPE STRIPE ( 252240 65280 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 65280 ) ( 253010 65280 )
NEW met2 0 + SHAPE STRIPE ( 252240 65280 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 65280 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 59840 ) ( 253030 59840 )
NEW met3 0 + SHAPE STRIPE ( 252240 59840 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 59840 ) ( 253010 59840 )
NEW met2 0 + SHAPE STRIPE ( 252240 59840 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 59840 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 54400 ) ( 253030 54400 )
NEW met3 0 + SHAPE STRIPE ( 252240 54400 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 54400 ) ( 253010 54400 )
NEW met2 0 + SHAPE STRIPE ( 252240 54400 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 54400 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 48960 ) ( 253030 48960 )
NEW met3 0 + SHAPE STRIPE ( 252240 48960 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 48960 ) ( 253010 48960 )
NEW met2 0 + SHAPE STRIPE ( 252240 48960 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 48960 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 43520 ) ( 253030 43520 )
NEW met3 0 + SHAPE STRIPE ( 252240 43520 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 43520 ) ( 253010 43520 )
NEW met2 0 + SHAPE STRIPE ( 252240 43520 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 43520 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 38080 ) ( 253030 38080 )
NEW met3 0 + SHAPE STRIPE ( 252240 38080 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 38080 ) ( 253010 38080 )
NEW met2 0 + SHAPE STRIPE ( 252240 38080 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 38080 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 32640 ) ( 253030 32640 )
NEW met3 0 + SHAPE STRIPE ( 252240 32640 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 32640 ) ( 253010 32640 )
NEW met2 0 + SHAPE STRIPE ( 252240 32640 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 32640 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 27200 ) ( 253030 27200 )
NEW met3 0 + SHAPE STRIPE ( 252240 27200 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 27200 ) ( 253010 27200 )
NEW met2 0 + SHAPE STRIPE ( 252240 27200 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 27200 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 21760 ) ( 253030 21760 )
NEW met3 0 + SHAPE STRIPE ( 252240 21760 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 21760 ) ( 253010 21760 )
NEW met2 0 + SHAPE STRIPE ( 252240 21760 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 21760 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 16320 ) ( 253030 16320 )
NEW met3 0 + SHAPE STRIPE ( 252240 16320 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 16320 ) ( 253010 16320 )
NEW met2 0 + SHAPE STRIPE ( 252240 16320 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 16320 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 10880 ) ( 253030 10880 )
NEW met3 0 + SHAPE STRIPE ( 252240 10880 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 10880 ) ( 253010 10880 )
NEW met2 0 + SHAPE STRIPE ( 252240 10880 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 10880 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 288320 ) ( 99430 288320 )
NEW met3 0 + SHAPE STRIPE ( 98640 288320 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 288320 ) ( 99410 288320 )
NEW met2 0 + SHAPE STRIPE ( 98640 288320 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 288320 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 282880 ) ( 99430 282880 )
NEW met3 0 + SHAPE STRIPE ( 98640 282880 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 282880 ) ( 99410 282880 )
NEW met2 0 + SHAPE STRIPE ( 98640 282880 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 282880 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 277440 ) ( 99430 277440 )
NEW met3 0 + SHAPE STRIPE ( 98640 277440 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 277440 ) ( 99410 277440 )
NEW met2 0 + SHAPE STRIPE ( 98640 277440 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 277440 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 272000 ) ( 99430 272000 )
NEW met3 0 + SHAPE STRIPE ( 98640 272000 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 272000 ) ( 99410 272000 )
NEW met2 0 + SHAPE STRIPE ( 98640 272000 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 272000 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 266560 ) ( 99430 266560 )
NEW met3 0 + SHAPE STRIPE ( 98640 266560 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 266560 ) ( 99410 266560 )
NEW met2 0 + SHAPE STRIPE ( 98640 266560 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 266560 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 261120 ) ( 99430 261120 )
NEW met3 0 + SHAPE STRIPE ( 98640 261120 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 261120 ) ( 99410 261120 )
NEW met2 0 + SHAPE STRIPE ( 98640 261120 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 261120 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 255680 ) ( 99430 255680 )
NEW met3 0 + SHAPE STRIPE ( 98640 255680 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 255680 ) ( 99410 255680 )
NEW met2 0 + SHAPE STRIPE ( 98640 255680 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 255680 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 250240 ) ( 99430 250240 )
NEW met3 0 + SHAPE STRIPE ( 98640 250240 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 250240 ) ( 99410 250240 )
NEW met2 0 + SHAPE STRIPE ( 98640 250240 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 250240 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 244800 ) ( 99430 244800 )
NEW met3 0 + SHAPE STRIPE ( 98640 244800 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 244800 ) ( 99410 244800 )
NEW met2 0 + SHAPE STRIPE ( 98640 244800 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 244800 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 239360 ) ( 99430 239360 )
NEW met3 0 + SHAPE STRIPE ( 98640 239360 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 239360 ) ( 99410 239360 )
NEW met2 0 + SHAPE STRIPE ( 98640 239360 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 239360 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 233920 ) ( 99430 233920 )
NEW met3 0 + SHAPE STRIPE ( 98640 233920 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 233920 ) ( 99410 233920 )
NEW met2 0 + SHAPE STRIPE ( 98640 233920 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 233920 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 228480 ) ( 99430 228480 )
NEW met3 0 + SHAPE STRIPE ( 98640 228480 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 228480 ) ( 99410 228480 )
NEW met2 0 + SHAPE STRIPE ( 98640 228480 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 228480 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 223040 ) ( 99430 223040 )
NEW met3 0 + SHAPE STRIPE ( 98640 223040 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 223040 ) ( 99410 223040 )
NEW met2 0 + SHAPE STRIPE ( 98640 223040 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 223040 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 217600 ) ( 99430 217600 )
NEW met3 0 + SHAPE STRIPE ( 98640 217600 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 217600 ) ( 99410 217600 )
NEW met2 0 + SHAPE STRIPE ( 98640 217600 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 217600 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 212160 ) ( 99430 212160 )
NEW met3 0 + SHAPE STRIPE ( 98640 212160 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 212160 ) ( 99410 212160 )
NEW met2 0 + SHAPE STRIPE ( 98640 212160 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 212160 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 206720 ) ( 99430 206720 )
NEW met3 0 + SHAPE STRIPE ( 98640 206720 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 206720 ) ( 99410 206720 )
NEW met2 0 + SHAPE STRIPE ( 98640 206720 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 206720 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 201280 ) ( 99430 201280 )
NEW met3 0 + SHAPE STRIPE ( 98640 201280 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 201280 ) ( 99410 201280 )
NEW met2 0 + SHAPE STRIPE ( 98640 201280 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 201280 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 195840 ) ( 99430 195840 )
NEW met3 0 + SHAPE STRIPE ( 98640 195840 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 195840 ) ( 99410 195840 )
NEW met2 0 + SHAPE STRIPE ( 98640 195840 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 195840 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 190400 ) ( 99430 190400 )
NEW met3 0 + SHAPE STRIPE ( 98640 190400 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 190400 ) ( 99410 190400 )
NEW met2 0 + SHAPE STRIPE ( 98640 190400 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 190400 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 184960 ) ( 99430 184960 )
NEW met3 0 + SHAPE STRIPE ( 98640 184960 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 184960 ) ( 99410 184960 )
NEW met2 0 + SHAPE STRIPE ( 98640 184960 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 184960 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 179520 ) ( 99430 179520 )
NEW met3 0 + SHAPE STRIPE ( 98640 179520 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 179520 ) ( 99410 179520 )
NEW met2 0 + SHAPE STRIPE ( 98640 179520 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 179520 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 174080 ) ( 99430 174080 )
NEW met3 0 + SHAPE STRIPE ( 98640 174080 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 174080 ) ( 99410 174080 )
NEW met2 0 + SHAPE STRIPE ( 98640 174080 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 174080 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 168640 ) ( 99430 168640 )
NEW met3 0 + SHAPE STRIPE ( 98640 168640 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 168640 ) ( 99410 168640 )
NEW met2 0 + SHAPE STRIPE ( 98640 168640 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 168640 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 163200 ) ( 99430 163200 )
NEW met3 0 + SHAPE STRIPE ( 98640 163200 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 163200 ) ( 99410 163200 )
NEW met2 0 + SHAPE STRIPE ( 98640 163200 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 163200 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 157760 ) ( 99430 157760 )
NEW met3 0 + SHAPE STRIPE ( 98640 157760 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 157760 ) ( 99410 157760 )
NEW met2 0 + SHAPE STRIPE ( 98640 157760 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 157760 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 152320 ) ( 99430 152320 )
NEW met3 0 + SHAPE STRIPE ( 98640 152320 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 152320 ) ( 99410 152320 )
NEW met2 0 + SHAPE STRIPE ( 98640 152320 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 152320 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 146880 ) ( 99430 146880 )
NEW met3 0 + SHAPE STRIPE ( 98640 146880 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 146880 ) ( 99410 146880 )
NEW met2 0 + SHAPE STRIPE ( 98640 146880 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 146880 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 141440 ) ( 99430 141440 )
NEW met3 0 + SHAPE STRIPE ( 98640 141440 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 141440 ) ( 99410 141440 )
NEW met2 0 + SHAPE STRIPE ( 98640 141440 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 141440 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 136000 ) ( 99430 136000 )
NEW met3 0 + SHAPE STRIPE ( 98640 136000 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 136000 ) ( 99410 136000 )
NEW met2 0 + SHAPE STRIPE ( 98640 136000 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 136000 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 130560 ) ( 99430 130560 )
NEW met3 0 + SHAPE STRIPE ( 98640 130560 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 130560 ) ( 99410 130560 )
NEW met2 0 + SHAPE STRIPE ( 98640 130560 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 130560 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 125120 ) ( 99430 125120 )
NEW met3 0 + SHAPE STRIPE ( 98640 125120 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 125120 ) ( 99410 125120 )
NEW met2 0 + SHAPE STRIPE ( 98640 125120 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 125120 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 119680 ) ( 99430 119680 )
NEW met3 0 + SHAPE STRIPE ( 98640 119680 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 119680 ) ( 99410 119680 )
NEW met2 0 + SHAPE STRIPE ( 98640 119680 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 119680 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 114240 ) ( 99430 114240 )
NEW met3 0 + SHAPE STRIPE ( 98640 114240 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 114240 ) ( 99410 114240 )
NEW met2 0 + SHAPE STRIPE ( 98640 114240 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 114240 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 108800 ) ( 99430 108800 )
NEW met3 0 + SHAPE STRIPE ( 98640 108800 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 108800 ) ( 99410 108800 )
NEW met2 0 + SHAPE STRIPE ( 98640 108800 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 108800 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 103360 ) ( 99430 103360 )
NEW met3 0 + SHAPE STRIPE ( 98640 103360 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 103360 ) ( 99410 103360 )
NEW met2 0 + SHAPE STRIPE ( 98640 103360 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 103360 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 97920 ) ( 99430 97920 )
NEW met3 0 + SHAPE STRIPE ( 98640 97920 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 97920 ) ( 99410 97920 )
NEW met2 0 + SHAPE STRIPE ( 98640 97920 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 97920 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 92480 ) ( 99430 92480 )
NEW met3 0 + SHAPE STRIPE ( 98640 92480 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 92480 ) ( 99410 92480 )
NEW met2 0 + SHAPE STRIPE ( 98640 92480 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 92480 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 87040 ) ( 99430 87040 )
NEW met3 0 + SHAPE STRIPE ( 98640 87040 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 87040 ) ( 99410 87040 )
NEW met2 0 + SHAPE STRIPE ( 98640 87040 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 87040 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 81600 ) ( 99430 81600 )
NEW met3 0 + SHAPE STRIPE ( 98640 81600 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 81600 ) ( 99410 81600 )
NEW met2 0 + SHAPE STRIPE ( 98640 81600 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 81600 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 76160 ) ( 99430 76160 )
NEW met3 0 + SHAPE STRIPE ( 98640 76160 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 76160 ) ( 99410 76160 )
NEW met2 0 + SHAPE STRIPE ( 98640 76160 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 76160 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 70720 ) ( 99430 70720 )
NEW met3 0 + SHAPE STRIPE ( 98640 70720 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 70720 ) ( 99410 70720 )
NEW met2 0 + SHAPE STRIPE ( 98640 70720 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 70720 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 65280 ) ( 99430 65280 )
NEW met3 0 + SHAPE STRIPE ( 98640 65280 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 65280 ) ( 99410 65280 )
NEW met2 0 + SHAPE STRIPE ( 98640 65280 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 65280 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 59840 ) ( 99430 59840 )
NEW met3 0 + SHAPE STRIPE ( 98640 59840 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 59840 ) ( 99410 59840 )
NEW met2 0 + SHAPE STRIPE ( 98640 59840 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 59840 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 54400 ) ( 99430 54400 )
NEW met3 0 + SHAPE STRIPE ( 98640 54400 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 54400 ) ( 99410 54400 )
NEW met2 0 + SHAPE STRIPE ( 98640 54400 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 54400 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 48960 ) ( 99430 48960 )
NEW met3 0 + SHAPE STRIPE ( 98640 48960 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 48960 ) ( 99410 48960 )
NEW met2 0 + SHAPE STRIPE ( 98640 48960 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 48960 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 43520 ) ( 99430 43520 )
NEW met3 0 + SHAPE STRIPE ( 98640 43520 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 43520 ) ( 99410 43520 )
NEW met2 0 + SHAPE STRIPE ( 98640 43520 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 43520 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 38080 ) ( 99430 38080 )
NEW met3 0 + SHAPE STRIPE ( 98640 38080 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 38080 ) ( 99410 38080 )
NEW met2 0 + SHAPE STRIPE ( 98640 38080 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 38080 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 32640 ) ( 99430 32640 )
NEW met3 0 + SHAPE STRIPE ( 98640 32640 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 32640 ) ( 99410 32640 )
NEW met2 0 + SHAPE STRIPE ( 98640 32640 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 32640 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 27200 ) ( 99430 27200 )
NEW met3 0 + SHAPE STRIPE ( 98640 27200 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 27200 ) ( 99410 27200 )
NEW met2 0 + SHAPE STRIPE ( 98640 27200 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 27200 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 21760 ) ( 99430 21760 )
NEW met3 0 + SHAPE STRIPE ( 98640 21760 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 21760 ) ( 99410 21760 )
NEW met2 0 + SHAPE STRIPE ( 98640 21760 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 21760 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 16320 ) ( 99430 16320 )
NEW met3 0 + SHAPE STRIPE ( 98640 16320 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 16320 ) ( 99410 16320 )
NEW met2 0 + SHAPE STRIPE ( 98640 16320 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 16320 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 10880 ) ( 99430 10880 )
NEW met3 0 + SHAPE STRIPE ( 98640 10880 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 10880 ) ( 99410 10880 )
NEW met2 0 + SHAPE STRIPE ( 98640 10880 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 10880 ) via2_3_1600_480_1_5_320_320 ;
END SPECIALNETS
NETS 798 ;
- _000_ ( _209_ A2 ) ( _201_ A2 ) ( _200_ B ) ( _197_ B1 ) ( _194_ B1 ) ( _193_ Y ) + USE SIGNAL
+ ROUTED met2 ( 172270 270810 ) ( * 273190 )
NEW met1 ( 169050 270810 ) ( 172270 * )
NEW met1 ( 171350 275910 ) ( * 276250 )
NEW met1 ( 171350 275910 ) ( 172270 * )
NEW met2 ( 172270 273190 ) ( * 275910 )
NEW met1 ( 177330 275910 ) ( * 276250 )
NEW met1 ( 172270 275910 ) ( 177330 * )
NEW met1 ( 177330 275910 ) ( 180090 * )
NEW met1 ( 180090 270810 ) ( * 271150 )
NEW met1 ( 172270 271150 ) ( 180090 * )
NEW met1 ( 172270 270810 ) ( * 271150 )
NEW li1 ( 172270 273190 ) L1M1_PR
NEW met1 ( 172270 273190 ) M1M2_PR
NEW met1 ( 172270 270810 ) M1M2_PR
NEW li1 ( 169050 270810 ) L1M1_PR
NEW li1 ( 171350 276250 ) L1M1_PR
NEW met1 ( 172270 275910 ) M1M2_PR
NEW li1 ( 177330 276250 ) L1M1_PR
NEW li1 ( 180090 275910 ) L1M1_PR
NEW li1 ( 180090 270810 ) L1M1_PR
NEW met1 ( 172270 273190 ) RECT ( -355 -70 0 70 ) ;
- _001_ ( _209_ B1 ) ( _195_ B ) ( _194_ X ) + USE SIGNAL
+ ROUTED met2 ( 175030 276930 ) ( * 278970 )
NEW met1 ( 172730 276590 ) ( * 276930 )
NEW met1 ( 172730 276930 ) ( 175030 * )
NEW li1 ( 175030 276930 ) L1M1_PR
NEW met1 ( 175030 276930 ) M1M2_PR
NEW li1 ( 175030 278970 ) L1M1_PR
NEW met1 ( 175030 278970 ) M1M2_PR
NEW li1 ( 172730 276590 ) L1M1_PR
NEW met1 ( 175030 276930 ) RECT ( -355 -70 0 70 )
NEW met1 ( 175030 278970 ) RECT ( -355 -70 0 70 ) ;
- _002_ ( _206_ B ) ( _196_ B ) ( _195_ Y ) + USE SIGNAL
+ ROUTED met1 ( 173650 278970 ) ( * 279310 )
NEW met1 ( 148350 278630 ) ( * 278970 )
NEW met2 ( 149730 276590 ) ( * 278970 )
NEW met1 ( 148350 278970 ) ( 173650 * )
NEW li1 ( 173650 279310 ) L1M1_PR
NEW li1 ( 148350 278630 ) L1M1_PR
NEW li1 ( 149730 276590 ) L1M1_PR
NEW met1 ( 149730 276590 ) M1M2_PR
NEW met1 ( 149730 278970 ) M1M2_PR
NEW met1 ( 149730 276590 ) RECT ( -355 -70 0 70 )
NEW met1 ( 149730 278970 ) RECT ( -595 -70 0 70 ) ;
- _003_ ( _282_ B ) ( _211_ A2 ) ( _210_ A1 ) ( _196_ X ) + USE SIGNAL
+ ROUTED met1 ( 142830 278970 ) ( 143750 * )
NEW met2 ( 142830 278970 ) ( * 283390 )
NEW met1 ( 143290 275570 ) ( * 275910 )
NEW met1 ( 142830 275910 ) ( 143290 * )
NEW met2 ( 142830 275910 ) ( * 278970 )
NEW met1 ( 143290 276250 ) ( 147890 * )
NEW met1 ( 143290 275910 ) ( * 276250 )
NEW li1 ( 143750 278970 ) L1M1_PR
NEW met1 ( 142830 278970 ) M1M2_PR
NEW li1 ( 142830 283390 ) L1M1_PR
NEW met1 ( 142830 283390 ) M1M2_PR
NEW li1 ( 143290 275570 ) L1M1_PR
NEW met1 ( 142830 275910 ) M1M2_PR
NEW li1 ( 147890 276250 ) L1M1_PR
NEW met1 ( 142830 283390 ) RECT ( -355 -70 0 70 ) ;
- _004_ ( _198_ B ) ( _197_ X ) + USE SIGNAL
+ ROUTED met1 ( 175950 270130 ) ( * 270470 )
NEW met1 ( 175950 270130 ) ( 181010 * )
NEW li1 ( 175950 270470 ) L1M1_PR
NEW li1 ( 181010 270130 ) L1M1_PR ;
- _005_ ( _266_ B ) ( _207_ B ) ( _199_ B ) ( _198_ Y ) + USE SIGNAL
+ ROUTED met1 ( 152490 270130 ) ( * 270470 )
NEW met2 ( 151110 267750 ) ( * 270130 )
NEW met1 ( 151110 270130 ) ( 152490 * )
NEW met1 ( 147890 270810 ) ( 151110 * )
NEW met1 ( 151110 270130 ) ( * 270810 )
NEW met1 ( 152490 270130 ) ( 173650 * )
NEW li1 ( 173650 270130 ) L1M1_PR
NEW li1 ( 152490 270470 ) L1M1_PR
NEW li1 ( 151110 267750 ) L1M1_PR
NEW met1 ( 151110 267750 ) M1M2_PR
NEW met1 ( 151110 270130 ) M1M2_PR
NEW li1 ( 147890 270810 ) L1M1_PR
NEW met1 ( 151110 267750 ) RECT ( -355 -70 0 70 ) ;
- _006_ ( _281_ A1 ) ( _208_ A1 ) ( _199_ X ) + USE SIGNAL
+ ROUTED met2 ( 145130 268770 ) ( * 272510 )
NEW met1 ( 145130 268770 ) ( 149270 * )
NEW met1 ( 146930 273190 ) ( 146970 * )
NEW met1 ( 146970 272510 ) ( * 273190 )
NEW met1 ( 145130 272510 ) ( 146970 * )
NEW met1 ( 142370 272510 ) ( 145130 * )
NEW li1 ( 142370 272510 ) L1M1_PR
NEW met1 ( 145130 272510 ) M1M2_PR
NEW met1 ( 145130 268770 ) M1M2_PR
NEW li1 ( 149270 268770 ) L1M1_PR
NEW li1 ( 146930 273190 ) L1M1_PR ;
- _007_ ( _204_ B ) ( _202_ A1 ) ( _200_ Y ) + USE SIGNAL
+ ROUTED met1 ( 166290 272510 ) ( 171350 * )
NEW met2 ( 167670 268770 ) ( * 272510 )
NEW li1 ( 166290 272510 ) L1M1_PR
NEW li1 ( 171350 272510 ) L1M1_PR
NEW li1 ( 167670 268770 ) L1M1_PR
NEW met1 ( 167670 268770 ) M1M2_PR
NEW met1 ( 167670 272510 ) M1M2_PR
NEW met1 ( 167670 268770 ) RECT ( -355 -70 0 70 )
NEW met1 ( 167670 272510 ) RECT ( -595 -70 0 70 ) ;
- _008_ ( _204_ C ) ( _202_ A2 ) ( _201_ X ) + USE SIGNAL
+ ROUTED met1 ( 166750 271490 ) ( 168130 * )
NEW met2 ( 166750 271490 ) ( * 273190 )
NEW met1 ( 166750 267410 ) ( 167510 * )
NEW met1 ( 166750 267410 ) ( * 267750 )
NEW met2 ( 166750 267750 ) ( * 271490 )
NEW li1 ( 168130 271490 ) L1M1_PR
NEW met1 ( 166750 271490 ) M1M2_PR
NEW li1 ( 166750 273190 ) L1M1_PR
NEW met1 ( 166750 273190 ) M1M2_PR
NEW li1 ( 167510 267410 ) L1M1_PR
NEW met1 ( 166750 267750 ) M1M2_PR
NEW met1 ( 166750 273190 ) RECT ( -355 -70 0 70 ) ;
- _009_ ( _249_ B_N ) ( _205_ A1 ) ( _202_ X ) + USE SIGNAL
+ ROUTED met1 ( 161690 272510 ) ( 164450 * )
NEW met2 ( 164450 267750 ) ( * 272510 )
NEW li1 ( 161690 272510 ) L1M1_PR
NEW li1 ( 164450 272510 ) L1M1_PR
NEW li1 ( 164450 267750 ) L1M1_PR
NEW met1 ( 164450 267750 ) M1M2_PR
NEW met1 ( 164450 272510 ) M1M2_PR
NEW met1 ( 164450 267750 ) RECT ( -355 -70 0 70 )
NEW met1 ( 164450 272510 ) RECT ( -595 -70 0 70 ) ;
- _010_ ( _250_ B ) ( _205_ A2 ) ( _203_ X ) + USE SIGNAL
+ ROUTED met2 ( 162150 273190 ) ( * 275230 )
NEW met1 ( 161230 275230 ) ( 162150 * )
NEW met2 ( 161690 270470 ) ( * 273020 )
NEW met2 ( 161690 273020 ) ( 162150 * )
NEW met2 ( 162150 273020 ) ( * 273190 )
NEW li1 ( 162150 273190 ) L1M1_PR
NEW met1 ( 162150 273190 ) M1M2_PR
NEW met1 ( 162150 275230 ) M1M2_PR
NEW li1 ( 161230 275230 ) L1M1_PR
NEW li1 ( 161690 270470 ) L1M1_PR
NEW met1 ( 161690 270470 ) M1M2_PR
NEW met1 ( 162150 273190 ) RECT ( -355 -70 0 70 )
NEW met1 ( 161690 270470 ) RECT ( -355 -70 0 70 ) ;
- _011_ ( _249_ A ) ( _205_ B1 ) ( _204_ X ) + USE SIGNAL
+ ROUTED met2 ( 163070 268770 ) ( * 272850 )
NEW met1 ( 160770 272850 ) ( 163070 * )
NEW met1 ( 160770 272850 ) ( * 273190 )
NEW met1 ( 163070 268770 ) ( 166750 * )
NEW li1 ( 163070 268770 ) L1M1_PR
NEW met1 ( 163070 268770 ) M1M2_PR
NEW met1 ( 163070 272850 ) M1M2_PR
NEW li1 ( 160770 273190 ) L1M1_PR
NEW li1 ( 166750 268770 ) L1M1_PR
NEW met1 ( 163070 268770 ) RECT ( -355 -70 0 70 ) ;
- _012_ ( _281_ A2 ) ( _268_ A2 ) ( _267_ B ) ( _208_ A2 ) ( _205_ X ) + USE SIGNAL
+ ROUTED met1 ( 153870 273190 ) ( 159850 * )
NEW met2 ( 152030 273190 ) ( * 276250 )
NEW met1 ( 152030 273190 ) ( 153870 * )
NEW met1 ( 146470 273240 ) ( 146510 * )
NEW met1 ( 146510 273240 ) ( * 273870 )
NEW met1 ( 146510 273870 ) ( 152030 * )
NEW met1 ( 146510 273190 ) ( * 273240 )
NEW met1 ( 141910 273190 ) ( 146510 * )
NEW li1 ( 141910 273190 ) L1M1_PR
NEW li1 ( 153870 273190 ) L1M1_PR
NEW li1 ( 159850 273190 ) L1M1_PR
NEW li1 ( 152030 276250 ) L1M1_PR
NEW met1 ( 152030 276250 ) M1M2_PR
NEW met1 ( 152030 273190 ) M1M2_PR
NEW li1 ( 146470 273240 ) L1M1_PR
NEW met1 ( 152030 273870 ) M1M2_PR
NEW met1 ( 152030 276250 ) RECT ( -355 -70 0 70 )
NEW met2 ( 152030 273870 ) RECT ( -70 -485 70 0 ) ;
- _013_ ( _282_ A_N ) ( _208_ B1 ) ( _206_ X ) + USE SIGNAL
+ ROUTED met1 ( 145130 276590 ) ( 147430 * )
NEW met2 ( 147430 276590 ) ( * 277950 )
NEW met1 ( 147430 273190 ) ( 147815 * )
NEW met1 ( 147430 272510 ) ( * 273190 )
NEW met2 ( 147430 272510 ) ( * 276590 )
NEW li1 ( 145130 276590 ) L1M1_PR
NEW met1 ( 147430 276590 ) M1M2_PR
NEW li1 ( 147430 277950 ) L1M1_PR
NEW met1 ( 147430 277950 ) M1M2_PR
NEW li1 ( 147815 273190 ) L1M1_PR
NEW met1 ( 147430 272510 ) M1M2_PR
NEW met1 ( 147430 277950 ) RECT ( -355 -70 0 70 ) ;
- _014_ ( _281_ B1 ) ( _208_ C1 ) ( _207_ X ) + USE SIGNAL
+ ROUTED met1 ( 146050 271490 ) ( 146970 * )
NEW met2 ( 146050 271490 ) ( * 272850 )
NEW met2 ( 148350 271490 ) ( * 273190 )
NEW met1 ( 146970 271490 ) ( 148350 * )
NEW met1 ( 143290 272850 ) ( 146050 * )
NEW li1 ( 143290 272850 ) L1M1_PR
NEW li1 ( 146970 271490 ) L1M1_PR
NEW met1 ( 146050 271490 ) M1M2_PR
NEW met1 ( 146050 272850 ) M1M2_PR
NEW li1 ( 148350 273190 ) L1M1_PR
NEW met1 ( 148350 273190 ) M1M2_PR
NEW met1 ( 148350 271490 ) M1M2_PR
NEW met1 ( 148350 273190 ) RECT ( 0 -70 355 70 ) ;
- _015_ ( _211_ A3 ) ( _210_ A2 ) ( _208_ X ) + USE SIGNAL
+ ROUTED met2 ( 143290 278460 ) ( * 278630 )
NEW met2 ( 143290 278460 ) ( 143750 * )
NEW met2 ( 143750 274210 ) ( * 278460 )
NEW met1 ( 143750 274210 ) ( 145590 * )
NEW met1 ( 143290 284070 ) ( 143750 * )
NEW met2 ( 143750 278460 ) ( * 284070 )
NEW li1 ( 143290 278630 ) L1M1_PR
NEW met1 ( 143290 278630 ) M1M2_PR
NEW met1 ( 143750 274210 ) M1M2_PR
NEW li1 ( 145590 274210 ) L1M1_PR
NEW li1 ( 143290 284070 ) L1M1_PR
NEW met1 ( 143750 284070 ) M1M2_PR
NEW met1 ( 143290 278630 ) RECT ( -355 -70 0 70 ) ;
- _016_ ( _211_ A1 ) ( _210_ B1 ) ( _209_ Y ) + USE SIGNAL
+ ROUTED met1 ( 165830 276930 ) ( 172235 * )
NEW met1 ( 165830 276590 ) ( * 276930 )
NEW met1 ( 148350 276250 ) ( * 276930 )
NEW met1 ( 148350 276250 ) ( 150190 * )
NEW met1 ( 150190 276250 ) ( * 276590 )
NEW met1 ( 150190 276590 ) ( 165830 * )
NEW met2 ( 141910 276930 ) ( * 283730 )
NEW met2 ( 144210 276930 ) ( * 278630 )
NEW met1 ( 141910 276930 ) ( 148350 * )
NEW li1 ( 172235 276930 ) L1M1_PR
NEW met1 ( 141910 276930 ) M1M2_PR
NEW li1 ( 141910 283730 ) L1M1_PR
NEW met1 ( 141910 283730 ) M1M2_PR
NEW li1 ( 144210 278630 ) L1M1_PR
NEW met1 ( 144210 278630 ) M1M2_PR
NEW met1 ( 144210 276930 ) M1M2_PR
NEW met1 ( 141910 283730 ) RECT ( 0 -70 355 70 )
NEW met1 ( 144210 278630 ) RECT ( -355 -70 0 70 )
NEW met1 ( 144210 276930 ) RECT ( -595 -70 0 70 ) ;
- _017_ ( _234_ B ) ( _212_ A ) ( _210_ Y ) + USE SIGNAL
+ ROUTED met1 ( 138690 281690 ) ( 140990 * )
NEW met2 ( 138690 276250 ) ( * 281690 )
NEW met1 ( 140990 284750 ) ( 141910 * )
NEW met2 ( 140990 281690 ) ( * 284750 )
NEW li1 ( 140990 281690 ) L1M1_PR
NEW met1 ( 138690 281690 ) M1M2_PR
NEW li1 ( 138690 276250 ) L1M1_PR
NEW met1 ( 138690 276250 ) M1M2_PR
NEW li1 ( 141910 284750 ) L1M1_PR
NEW met1 ( 140990 284750 ) M1M2_PR
NEW met1 ( 140990 281690 ) M1M2_PR
NEW met1 ( 138690 276250 ) RECT ( -355 -70 0 70 )
NEW met1 ( 140990 281690 ) RECT ( -595 -70 0 70 ) ;
- _018_ ( _234_ C ) ( _212_ B ) ( _211_ X ) + USE SIGNAL
+ ROUTED met2 ( 142370 276250 ) ( * 278970 )
NEW met1 ( 139150 276250 ) ( 142370 * )
NEW met1 ( 141910 281690 ) ( 142370 * )
NEW met2 ( 142370 278970 ) ( * 281690 )
NEW li1 ( 142370 278970 ) L1M1_PR
NEW met1 ( 142370 278970 ) M1M2_PR
NEW met1 ( 142370 276250 ) M1M2_PR
NEW li1 ( 139150 276250 ) L1M1_PR
NEW li1 ( 141910 281690 ) L1M1_PR
NEW met1 ( 142370 281690 ) M1M2_PR
NEW met1 ( 142370 278970 ) RECT ( -355 -70 0 70 ) ;
- _019_ ( _235_ A1 ) ( _212_ X ) + USE SIGNAL
+ ROUTED met1 ( 138690 282370 ) ( 140070 * )
NEW met2 ( 138690 282370 ) ( * 284070 )
NEW li1 ( 140070 282370 ) L1M1_PR
NEW met1 ( 138690 282370 ) M1M2_PR
NEW li1 ( 138690 284070 ) L1M1_PR
NEW met1 ( 138690 284070 ) M1M2_PR
NEW met1 ( 138690 284070 ) RECT ( -355 -70 0 70 ) ;
- _020_ ( _229_ A2 ) ( _221_ A2 ) ( _220_ B ) ( _217_ B1 ) ( _214_ B1 ) ( _213_ Y ) + USE SIGNAL
+ ROUTED met1 ( 225630 278630 ) ( 227470 * )
NEW met2 ( 227470 278630 ) ( * 280670 )
NEW met1 ( 219650 278290 ) ( * 278630 )
NEW met1 ( 219650 278290 ) ( 225630 * )
NEW met1 ( 225630 278290 ) ( * 278630 )
NEW met2 ( 223790 273190 ) ( * 278290 )
NEW met1 ( 217350 270810 ) ( * 271150 )
NEW met1 ( 217350 271150 ) ( 223790 * )
NEW met2 ( 223790 271150 ) ( * 273190 )
NEW met1 ( 213210 272850 ) ( * 273190 )
NEW met1 ( 213210 272850 ) ( 223790 * )
NEW met1 ( 223790 272850 ) ( * 273190 )
NEW li1 ( 225630 278630 ) L1M1_PR
NEW met1 ( 227470 278630 ) M1M2_PR
NEW li1 ( 227470 280670 ) L1M1_PR
NEW met1 ( 227470 280670 ) M1M2_PR
NEW li1 ( 219650 278630 ) L1M1_PR
NEW li1 ( 223790 273190 ) L1M1_PR
NEW met1 ( 223790 273190 ) M1M2_PR
NEW met1 ( 223790 278290 ) M1M2_PR
NEW li1 ( 217350 270810 ) L1M1_PR
NEW met1 ( 223790 271150 ) M1M2_PR
NEW li1 ( 213210 273190 ) L1M1_PR
NEW met1 ( 227470 280670 ) RECT ( -355 -70 0 70 )
NEW met1 ( 223790 273190 ) RECT ( -355 -70 0 70 )
NEW met1 ( 223790 278290 ) RECT ( -595 -70 0 70 ) ;
- _021_ ( _229_ B1 ) ( _215_ B ) ( _214_ X ) + USE SIGNAL
+ ROUTED met1 ( 222870 279650 ) ( 223330 * )
NEW met2 ( 222870 279650 ) ( * 281350 )
NEW met1 ( 221030 278630 ) ( 222870 * )
NEW met2 ( 222870 278630 ) ( * 279650 )
NEW li1 ( 223330 279650 ) L1M1_PR
NEW met1 ( 222870 279650 ) M1M2_PR
NEW li1 ( 222870 281350 ) L1M1_PR
NEW met1 ( 222870 281350 ) M1M2_PR
NEW li1 ( 221030 278630 ) L1M1_PR
NEW met1 ( 222870 278630 ) M1M2_PR
NEW met1 ( 222870 281350 ) RECT ( -355 -70 0 70 ) ;
- _022_ ( _226_ B ) ( _216_ B ) ( _215_ Y ) + USE SIGNAL
+ ROUTED met2 ( 203090 278290 ) ( * 278460 )
NEW met2 ( 203090 278460 ) ( 203550 * )
NEW met2 ( 203550 278460 ) ( * 280670 )
NEW met1 ( 200330 280670 ) ( 203550 * )
NEW met1 ( 200330 280670 ) ( * 281010 )
NEW met1 ( 193890 281010 ) ( 200330 * )
NEW met1 ( 193890 281010 ) ( * 281690 )
NEW met2 ( 204010 277950 ) ( * 278460 )
NEW met2 ( 203550 278460 ) ( 204010 * )
NEW met2 ( 214590 277950 ) ( * 280670 )
NEW met1 ( 214590 280670 ) ( 221950 * )
NEW met1 ( 204010 277950 ) ( 214590 * )
NEW li1 ( 203090 278290 ) L1M1_PR
NEW met1 ( 203090 278290 ) M1M2_PR
NEW met1 ( 203550 280670 ) M1M2_PR
NEW li1 ( 193890 281690 ) L1M1_PR
NEW met1 ( 204010 277950 ) M1M2_PR
NEW met1 ( 214590 277950 ) M1M2_PR
NEW met1 ( 214590 280670 ) M1M2_PR
NEW li1 ( 221950 280670 ) L1M1_PR
NEW met1 ( 203090 278290 ) RECT ( -355 -70 0 70 ) ;
- _023_ ( _289_ B ) ( _231_ A2 ) ( _230_ A1 ) ( _216_ X ) + USE SIGNAL
+ ROUTED met1 ( 189290 281010 ) ( 191590 * )
NEW met2 ( 191590 279650 ) ( * 281010 )
NEW met1 ( 191590 279650 ) ( 201250 * )
NEW met1 ( 187910 278630 ) ( * 278970 )
NEW met1 ( 187910 278970 ) ( 191590 * )
NEW met1 ( 191590 278970 ) ( * 279650 )
NEW met1 ( 190210 284410 ) ( 191590 * )
NEW met2 ( 191590 281010 ) ( * 284410 )
NEW li1 ( 189290 281010 ) L1M1_PR
NEW met1 ( 191590 281010 ) M1M2_PR
NEW met1 ( 191590 279650 ) M1M2_PR
NEW li1 ( 201250 279650 ) L1M1_PR
NEW li1 ( 187910 278630 ) L1M1_PR
NEW li1 ( 190210 284410 ) L1M1_PR
NEW met1 ( 191590 284410 ) M1M2_PR ;
- _024_ ( _218_ B ) ( _217_ X ) + USE SIGNAL
+ ROUTED met1 ( 220110 273530 ) ( 222870 * )
NEW li1 ( 220110 273530 ) L1M1_PR
NEW li1 ( 222870 273530 ) L1M1_PR ;
- _025_ ( _272_ B ) ( _227_ B ) ( _219_ B ) ( _218_ Y ) + USE SIGNAL
+ ROUTED met1 ( 196650 273530 ) ( * 273870 )
NEW met2 ( 197570 273870 ) ( * 278630 )
NEW met1 ( 196190 276250 ) ( 197570 * )
NEW met1 ( 196650 273870 ) ( 217810 * )
NEW li1 ( 196650 273530 ) L1M1_PR
NEW li1 ( 197570 278630 ) L1M1_PR
NEW met1 ( 197570 278630 ) M1M2_PR
NEW met1 ( 197570 273870 ) M1M2_PR
NEW li1 ( 196190 276250 ) L1M1_PR
NEW met1 ( 197570 276250 ) M1M2_PR
NEW li1 ( 217810 273870 ) L1M1_PR
NEW met1 ( 197570 278630 ) RECT ( -355 -70 0 70 )
NEW met1 ( 197570 273870 ) RECT ( -595 -70 0 70 )
NEW met2 ( 197570 276250 ) RECT ( -70 -485 70 0 ) ;
- _026_ ( _288_ A1 ) ( _228_ A1 ) ( _219_ X ) + USE SIGNAL
+ ROUTED met1 ( 192510 276930 ) ( 195730 * )
NEW met2 ( 195730 276930 ) ( * 278290 )
NEW met1 ( 192050 278630 ) ( * 279310 )
NEW met2 ( 192050 276930 ) ( * 279310 )
NEW met1 ( 192050 276930 ) ( 192510 * )
NEW li1 ( 192510 276930 ) L1M1_PR
NEW met1 ( 195730 276930 ) M1M2_PR
NEW li1 ( 195730 278290 ) L1M1_PR
NEW met1 ( 195730 278290 ) M1M2_PR
NEW li1 ( 192050 278630 ) L1M1_PR
NEW met1 ( 192050 279310 ) M1M2_PR
NEW met1 ( 192050 276930 ) M1M2_PR
NEW met1 ( 195730 278290 ) RECT ( -355 -70 0 70 ) ;
- _027_ ( _224_ B ) ( _222_ A1 ) ( _220_ Y ) + USE SIGNAL
+ ROUTED met1 ( 211830 271150 ) ( 216430 * )
NEW met2 ( 210450 268770 ) ( * 271150 )
NEW met1 ( 210450 271150 ) ( 211830 * )
NEW li1 ( 211830 271150 ) L1M1_PR
NEW li1 ( 216430 271150 ) L1M1_PR
NEW li1 ( 210450 268770 ) L1M1_PR
NEW met1 ( 210450 268770 ) M1M2_PR
NEW met1 ( 210450 271150 ) M1M2_PR
NEW met1 ( 210450 268770 ) RECT ( -355 -70 0 70 ) ;
- _028_ ( _224_ C ) ( _222_ A2 ) ( _221_ X ) + USE SIGNAL
+ ROUTED met2 ( 212290 270810 ) ( * 272510 )
NEW met1 ( 210370 267070 ) ( 212290 * )
NEW met2 ( 212290 267070 ) ( * 270810 )
NEW li1 ( 212290 270810 ) L1M1_PR
NEW met1 ( 212290 270810 ) M1M2_PR
NEW li1 ( 212290 272510 ) L1M1_PR
NEW met1 ( 212290 272510 ) M1M2_PR
NEW li1 ( 210370 267070 ) L1M1_PR
NEW met1 ( 212290 267070 ) M1M2_PR
NEW met1 ( 212290 270810 ) RECT ( -355 -70 0 70 )
NEW met1 ( 212290 272510 ) RECT ( -355 -70 0 70 ) ;
- _029_ ( _254_ B_N ) ( _225_ A1 ) ( _222_ X ) + USE SIGNAL
+ ROUTED met2 ( 209990 271490 ) ( * 276250 )
NEW met1 ( 209990 276250 ) ( 210450 * )
NEW met1 ( 205850 272850 ) ( 209990 * )
NEW li1 ( 205850 272850 ) L1M1_PR
NEW li1 ( 209990 271490 ) L1M1_PR
NEW met1 ( 209990 271490 ) M1M2_PR
NEW met1 ( 209990 276250 ) M1M2_PR
NEW li1 ( 210450 276250 ) L1M1_PR
NEW met1 ( 209990 272850 ) M1M2_PR
NEW met1 ( 209990 271490 ) RECT ( -355 -70 0 70 )
NEW met2 ( 209990 272850 ) RECT ( -70 -485 70 0 ) ;
- _030_ ( _255_ B ) ( _225_ A2 ) ( _223_ X ) + USE SIGNAL
+ ROUTED met2 ( 206310 273190 ) ( * 275230 )
NEW met1 ( 205850 275230 ) ( 206310 * )
NEW met2 ( 206310 270470 ) ( * 273190 )
NEW li1 ( 206310 273190 ) L1M1_PR
NEW met1 ( 206310 273190 ) M1M2_PR
NEW met1 ( 206310 275230 ) M1M2_PR
NEW li1 ( 205850 275230 ) L1M1_PR
NEW li1 ( 206310 270470 ) L1M1_PR
NEW met1 ( 206310 270470 ) M1M2_PR
NEW met1 ( 206310 273190 ) RECT ( -355 -70 0 70 )
NEW met1 ( 206310 270470 ) RECT ( -355 -70 0 70 ) ;
- _031_ ( _254_ A ) ( _225_ B1 ) ( _224_ X ) + USE SIGNAL
+ ROUTED met1 ( 204930 273190 ) ( * 273530 )
NEW met2 ( 209530 268770 ) ( * 275230 )
NEW met1 ( 204930 273530 ) ( 209530 * )
NEW li1 ( 204930 273190 ) L1M1_PR
NEW li1 ( 209530 275230 ) L1M1_PR
NEW met1 ( 209530 275230 ) M1M2_PR
NEW li1 ( 209530 268770 ) L1M1_PR
NEW met1 ( 209530 268770 ) M1M2_PR
NEW met1 ( 209530 273530 ) M1M2_PR
NEW met1 ( 209530 275230 ) RECT ( -355 -70 0 70 )
NEW met1 ( 209530 268770 ) RECT ( -355 -70 0 70 )
NEW met2 ( 209530 273530 ) RECT ( -70 -485 70 0 ) ;
- _032_ ( _288_ A2 ) ( _274_ A2 ) ( _273_ B ) ( _228_ A2 ) ( _225_ X ) + USE SIGNAL
+ ROUTED met1 ( 192050 276250 ) ( 192970 * )
NEW met2 ( 191590 276250 ) ( 192050 * )
NEW met2 ( 191590 276250 ) ( * 278630 )
NEW met1 ( 198950 276250 ) ( 199410 * )
NEW met1 ( 198950 276250 ) ( * 276590 )
NEW met1 ( 195730 276590 ) ( 198950 * )
NEW met1 ( 195730 276250 ) ( * 276590 )
NEW met1 ( 199410 273530 ) ( 204010 * )
NEW met2 ( 199410 273530 ) ( * 276250 )
NEW met1 ( 204470 278630 ) ( 205390 * )
NEW met2 ( 204470 273530 ) ( * 278630 )
NEW met1 ( 204010 273530 ) ( 204470 * )
NEW met1 ( 192970 276250 ) ( 195730 * )
NEW li1 ( 192970 276250 ) L1M1_PR
NEW met1 ( 192050 276250 ) M1M2_PR
NEW li1 ( 191590 278630 ) L1M1_PR
NEW met1 ( 191590 278630 ) M1M2_PR
NEW li1 ( 199410 276250 ) L1M1_PR
NEW li1 ( 204010 273530 ) L1M1_PR
NEW met1 ( 199410 273530 ) M1M2_PR
NEW met1 ( 199410 276250 ) M1M2_PR
NEW li1 ( 205390 278630 ) L1M1_PR
NEW met1 ( 204470 278630 ) M1M2_PR
NEW met1 ( 204470 273530 ) M1M2_PR
NEW met1 ( 191590 278630 ) RECT ( -355 -70 0 70 )
NEW met1 ( 199410 276250 ) RECT ( -595 -70 0 70 ) ;
- _033_ ( _289_ A_N ) ( _228_ B1 ) ( _226_ X ) + USE SIGNAL
+ ROUTED met1 ( 190670 281350 ) ( 192970 * )
NEW met1 ( 192510 278630 ) ( 192970 * )
NEW met1 ( 192510 278630 ) ( * 279310 )
NEW met1 ( 192510 279310 ) ( 192970 * )
NEW met2 ( 192970 279310 ) ( * 281350 )
NEW li1 ( 192970 281350 ) L1M1_PR
NEW li1 ( 190670 281350 ) L1M1_PR
NEW li1 ( 192970 278630 ) L1M1_PR
NEW met1 ( 192970 279310 ) M1M2_PR
NEW met1 ( 192970 281350 ) M1M2_PR
NEW met1 ( 192970 281350 ) RECT ( -595 -70 0 70 ) ;
- _034_ ( _288_ B1 ) ( _228_ C1 ) ( _227_ X ) + USE SIGNAL
+ ROUTED met1 ( 191590 276250 ) ( * 276590 )
NEW met1 ( 191590 276590 ) ( 195270 * )
NEW met2 ( 193430 276590 ) ( * 278630 )
NEW li1 ( 191590 276250 ) L1M1_PR
NEW li1 ( 195270 276590 ) L1M1_PR
NEW li1 ( 193430 278630 ) L1M1_PR
NEW met1 ( 193430 278630 ) M1M2_PR
NEW met1 ( 193430 276590 ) M1M2_PR
NEW met1 ( 193430 278630 ) RECT ( 0 -70 355 70 )
NEW met1 ( 193430 276590 ) RECT ( -595 -70 0 70 ) ;
- _035_ ( _231_ A3 ) ( _230_ A2 ) ( _228_ X ) + USE SIGNAL
+ ROUTED met1 ( 189750 279650 ) ( 190670 * )
NEW met2 ( 189750 279650 ) ( * 284070 )
NEW met1 ( 188370 278630 ) ( 189750 * )
NEW met2 ( 189750 278630 ) ( * 279650 )
NEW li1 ( 190670 279650 ) L1M1_PR
NEW met1 ( 189750 279650 ) M1M2_PR
NEW li1 ( 189750 284070 ) L1M1_PR
NEW met1 ( 189750 284070 ) M1M2_PR
NEW li1 ( 188370 278630 ) L1M1_PR
NEW met1 ( 189750 278630 ) M1M2_PR
NEW met1 ( 189750 284070 ) RECT ( -355 -70 0 70 ) ;
- _036_ ( _231_ A1 ) ( _230_ B1 ) ( _229_ Y ) + USE SIGNAL
+ ROUTED met1 ( 186990 277950 ) ( * 278290 )
NEW met1 ( 186990 277950 ) ( 203550 * )
NEW met2 ( 203550 276930 ) ( * 277950 )
NEW met1 ( 203550 276930 ) ( 206310 * )
NEW met2 ( 206310 276930 ) ( 206770 * )
NEW met2 ( 206770 276930 ) ( * 278290 )
NEW met2 ( 190670 277950 ) ( * 284070 )
NEW met1 ( 216890 278290 ) ( * 279310 )
NEW met1 ( 216890 279310 ) ( 221030 * )
NEW met1 ( 206770 278290 ) ( 216890 * )
NEW li1 ( 186990 278290 ) L1M1_PR
NEW met1 ( 203550 277950 ) M1M2_PR
NEW met1 ( 203550 276930 ) M1M2_PR
NEW met1 ( 206310 276930 ) M1M2_PR
NEW met1 ( 206770 278290 ) M1M2_PR
NEW li1 ( 190670 284070 ) L1M1_PR
NEW met1 ( 190670 284070 ) M1M2_PR
NEW met1 ( 190670 277950 ) M1M2_PR
NEW li1 ( 221030 279310 ) L1M1_PR
NEW met1 ( 190670 284070 ) RECT ( -355 -70 0 70 )
NEW met1 ( 190670 277950 ) RECT ( -595 -70 0 70 ) ;
- _037_ ( _233_ B ) ( _232_ A ) ( _230_ Y ) + USE SIGNAL
+ ROUTED met2 ( 177790 279310 ) ( * 281690 )
NEW met1 ( 177790 279310 ) ( 186990 * )
NEW met1 ( 176410 284070 ) ( 177790 * )
NEW met2 ( 177790 281690 ) ( * 284070 )
NEW li1 ( 177790 281690 ) L1M1_PR
NEW met1 ( 177790 281690 ) M1M2_PR
NEW met1 ( 177790 279310 ) M1M2_PR
NEW li1 ( 186990 279310 ) L1M1_PR
NEW li1 ( 176410 284070 ) L1M1_PR
NEW met1 ( 177790 284070 ) M1M2_PR
NEW met1 ( 177790 281690 ) RECT ( -355 -70 0 70 ) ;
- _038_ ( _233_ C ) ( _232_ B ) ( _231_ X ) + USE SIGNAL
+ ROUTED met1 ( 176870 284410 ) ( 188830 * )
NEW met2 ( 178710 282030 ) ( * 284410 )
NEW li1 ( 176870 284410 ) L1M1_PR
NEW li1 ( 188830 284410 ) L1M1_PR
NEW li1 ( 178710 282030 ) L1M1_PR
NEW met1 ( 178710 282030 ) M1M2_PR
NEW met1 ( 178710 284410 ) M1M2_PR
NEW met1 ( 178710 282030 ) RECT ( -355 -70 0 70 )
NEW met1 ( 178710 284410 ) RECT ( -595 -70 0 70 ) ;
- _039_ ( _235_ A2 ) ( _232_ X ) + USE SIGNAL
+ ROUTED met1 ( 175950 282370 ) ( 176870 * )
NEW met2 ( 175950 282370 ) ( * 283730 )
NEW met1 ( 165370 283730 ) ( 175950 * )
NEW met1 ( 165370 283390 ) ( * 283730 )
NEW met1 ( 161690 283390 ) ( 165370 * )
NEW met1 ( 161690 283390 ) ( * 283730 )
NEW met1 ( 148810 283390 ) ( * 283730 )
NEW met1 ( 146050 283390 ) ( 148810 * )
NEW met2 ( 146050 283390 ) ( * 284410 )
NEW met1 ( 138230 284410 ) ( 146050 * )
NEW met1 ( 148810 283730 ) ( 161690 * )
NEW li1 ( 176870 282370 ) L1M1_PR
NEW met1 ( 175950 282370 ) M1M2_PR
NEW met1 ( 175950 283730 ) M1M2_PR
NEW met1 ( 146050 283390 ) M1M2_PR
NEW met1 ( 146050 284410 ) M1M2_PR
NEW li1 ( 138230 284410 ) L1M1_PR ;
- _040_ ( _286_ B1 ) ( _252_ B1 ) ( _237_ B1 ) ( _236_ Y ) + USE SIGNAL
+ ROUTED met2 ( 168130 281690 ) ( * 287130 )
NEW met1 ( 168130 287130 ) ( 169970 * )
NEW met2 ( 168130 276590 ) ( * 281690 )
NEW met1 ( 162150 284070 ) ( 163990 * )
NEW met2 ( 163990 284070 ) ( * 287130 )
NEW met1 ( 163990 287130 ) ( 168130 * )
NEW li1 ( 168130 281690 ) L1M1_PR
NEW met1 ( 168130 281690 ) M1M2_PR
NEW met1 ( 168130 287130 ) M1M2_PR
NEW li1 ( 169970 287130 ) L1M1_PR
NEW li1 ( 168130 276590 ) L1M1_PR
NEW met1 ( 168130 276590 ) M1M2_PR
NEW li1 ( 162150 284070 ) L1M1_PR
NEW met1 ( 163990 284070 ) M1M2_PR
NEW met1 ( 163990 287130 ) M1M2_PR
NEW met1 ( 168130 281690 ) RECT ( -355 -70 0 70 )
NEW met1 ( 168130 276590 ) RECT ( -355 -70 0 70 ) ;
- _041_ ( _238_ B1 ) ( _237_ X ) + USE SIGNAL
+ ROUTED met2 ( 158930 281690 ) ( * 283390 )
NEW met1 ( 158470 281690 ) ( 158930 * )
NEW met1 ( 158930 281690 ) M1M2_PR
NEW li1 ( 158930 283390 ) L1M1_PR
NEW met1 ( 158930 283390 ) M1M2_PR
NEW li1 ( 158470 281690 ) L1M1_PR
NEW met1 ( 158930 283390 ) RECT ( 0 -70 355 70 ) ;
- _042_ ( _239_ B1 ) ( _238_ X ) + USE SIGNAL
+ ROUTED met1 ( 156170 282370 ) ( 157550 * )
NEW met2 ( 156170 282370 ) ( * 284070 )
NEW li1 ( 157550 282370 ) L1M1_PR
NEW met1 ( 156170 282370 ) M1M2_PR
NEW li1 ( 156170 284070 ) L1M1_PR
NEW met1 ( 156170 284070 ) M1M2_PR
NEW met1 ( 156170 284070 ) RECT ( 0 -70 355 70 ) ;
- _043_ ( _248_ A1_N ) ( _246_ B ) ( _239_ X ) + USE SIGNAL
+ ROUTED met1 ( 120290 286450 ) ( * 287130 )
NEW met1 ( 120290 286450 ) ( 146050 * )
NEW met2 ( 146050 285090 ) ( * 286450 )
NEW met1 ( 146050 285090 ) ( 153870 * )
NEW met1 ( 119665 284070 ) ( 120290 * )
NEW met2 ( 120290 284070 ) ( * 287130 )
NEW li1 ( 120290 287130 ) L1M1_PR
NEW met1 ( 146050 286450 ) M1M2_PR
NEW met1 ( 146050 285090 ) M1M2_PR
NEW li1 ( 153870 285090 ) L1M1_PR
NEW li1 ( 119665 284070 ) L1M1_PR
NEW met1 ( 120290 284070 ) M1M2_PR
NEW met1 ( 120290 287130 ) M1M2_PR
NEW met1 ( 120290 287130 ) RECT ( -595 -70 0 70 ) ;
- _044_ ( _294_ A1 ) ( _293_ A1 ) ( _292_ B1 ) ( _257_ B1 ) ( _241_ B1 ) ( _240_ Y ) + USE SIGNAL
+ ROUTED met2 ( 182390 282370 ) ( * 284070 )
NEW met1 ( 182390 282370 ) ( 183310 * )
NEW met1 ( 183310 282030 ) ( * 282370 )
NEW met2 ( 195270 271490 ) ( * 282030 )
NEW met1 ( 208150 284410 ) ( 209070 * )
NEW met2 ( 208150 283900 ) ( * 284410 )
NEW met3 ( 195270 283900 ) ( 208150 * )
NEW met2 ( 195270 282030 ) ( * 283900 )
NEW met1 ( 212215 281690 ) ( 212290 * )
NEW met1 ( 212290 281350 ) ( * 281690 )
NEW met1 ( 208150 281350 ) ( 212290 * )
NEW met2 ( 208150 281350 ) ( * 283900 )
NEW met2 ( 219650 283900 ) ( * 284410 )
NEW met3 ( 208150 283900 ) ( 219650 * )
NEW met1 ( 183310 282030 ) ( 195270 * )
NEW li1 ( 183310 282030 ) L1M1_PR
NEW li1 ( 182390 284070 ) L1M1_PR
NEW met1 ( 182390 284070 ) M1M2_PR
NEW met1 ( 182390 282370 ) M1M2_PR
NEW met1 ( 195270 282030 ) M1M2_PR
NEW li1 ( 195270 271490 ) L1M1_PR
NEW met1 ( 195270 271490 ) M1M2_PR
NEW li1 ( 209070 284410 ) L1M1_PR
NEW met1 ( 208150 284410 ) M1M2_PR
NEW met2 ( 208150 283900 ) M2M3_PR
NEW met2 ( 195270 283900 ) M2M3_PR
NEW li1 ( 212215 281690 ) L1M1_PR
NEW met1 ( 208150 281350 ) M1M2_PR
NEW li1 ( 219650 284410 ) L1M1_PR
NEW met1 ( 219650 284410 ) M1M2_PR
NEW met2 ( 219650 283900 ) M2M3_PR
NEW met1 ( 182390 284070 ) RECT ( -355 -70 0 70 )
NEW met1 ( 195270 271490 ) RECT ( -355 -70 0 70 )
NEW met1 ( 219650 284410 ) RECT ( -355 -70 0 70 ) ;
- _045_ ( _242_ B1 ) ( _241_ X ) + USE SIGNAL
+ ROUTED met1 ( 202170 284070 ) ( * 284410 )
NEW met1 ( 202170 284410 ) ( 205850 * )
NEW met1 ( 205850 284410 ) ( * 284750 )
NEW li1 ( 202170 284070 ) L1M1_PR
NEW li1 ( 205850 284750 ) L1M1_PR ;
- _046_ ( _243_ B1 ) ( _242_ X ) + USE SIGNAL
+ ROUTED met1 ( 201250 285090 ) ( 202630 * )
NEW met2 ( 202630 285090 ) ( * 286790 )
NEW li1 ( 201250 285090 ) L1M1_PR
NEW met1 ( 202630 285090 ) M1M2_PR
NEW li1 ( 202630 286790 ) L1M1_PR
NEW met1 ( 202630 286790 ) M1M2_PR
NEW met1 ( 202630 286790 ) RECT ( 0 -70 355 70 ) ;
- _047_ ( _248_ A2_N ) ( _244_ B ) ( _243_ X ) + USE SIGNAL
+ ROUTED met1 ( 199870 287810 ) ( 200330 * )
NEW met2 ( 199870 287810 ) ( * 288830 )
NEW met1 ( 118910 283390 ) ( 119370 * )
NEW met2 ( 118910 283390 ) ( * 288830 )
NEW met1 ( 118910 278630 ) ( 119370 * )
NEW met2 ( 118910 278630 ) ( * 283390 )
NEW met1 ( 118910 288830 ) ( 199870 * )
NEW li1 ( 200330 287810 ) L1M1_PR
NEW met1 ( 199870 287810 ) M1M2_PR
NEW met1 ( 199870 288830 ) M1M2_PR
NEW li1 ( 119370 283390 ) L1M1_PR
NEW met1 ( 118910 283390 ) M1M2_PR
NEW met1 ( 118910 288830 ) M1M2_PR
NEW li1 ( 119370 278630 ) L1M1_PR
NEW met1 ( 118910 278630 ) M1M2_PR ;
- _048_ ( _245_ A ) ( _244_ X ) + USE SIGNAL
+ ROUTED met2 ( 120290 276250 ) ( * 277950 )
NEW li1 ( 120290 276250 ) L1M1_PR
NEW met1 ( 120290 276250 ) M1M2_PR
NEW li1 ( 120290 277950 ) L1M1_PR
NEW met1 ( 120290 277950 ) M1M2_PR
NEW met1 ( 120290 276250 ) RECT ( -355 -70 0 70 )
NEW met1 ( 120290 277950 ) RECT ( -355 -70 0 70 ) ;
- _049_ ( _247_ A ) ( _246_ X ) + USE SIGNAL
+ ROUTED met1 ( 116610 281690 ) ( 119370 * )
NEW met2 ( 119370 281690 ) ( * 286110 )
NEW li1 ( 116610 281690 ) L1M1_PR
NEW met1 ( 119370 281690 ) M1M2_PR
NEW li1 ( 119370 286110 ) L1M1_PR
NEW met1 ( 119370 286110 ) M1M2_PR
NEW met1 ( 119370 286110 ) RECT ( -355 -70 0 70 ) ;
- _050_ ( _250_ A ) ( _249_ X ) + USE SIGNAL
+ ROUTED met2 ( 162150 268770 ) ( * 270810 )
NEW li1 ( 162150 268770 ) L1M1_PR
NEW met1 ( 162150 268770 ) M1M2_PR
NEW li1 ( 162150 270810 ) L1M1_PR
NEW met1 ( 162150 270810 ) M1M2_PR
NEW met1 ( 162150 268770 ) RECT ( -355 -70 0 70 )
NEW met1 ( 162150 270810 ) RECT ( -355 -70 0 70 ) ;
- _051_ ( _253_ A2 ) ( _250_ Y ) + USE SIGNAL
+ ROUTED met1 ( 163990 271490 ) ( 166290 * )
NEW met2 ( 166290 271490 ) ( * 278630 )
NEW li1 ( 163990 271490 ) L1M1_PR
NEW met1 ( 166290 271490 ) M1M2_PR
NEW li1 ( 166290 278630 ) L1M1_PR
NEW met1 ( 166290 278630 ) M1M2_PR
NEW met1 ( 166290 278630 ) RECT ( -355 -70 0 70 ) ;
- _052_ ( _252_ C1 ) ( _251_ X ) + USE SIGNAL
+ ROUTED met1 ( 168590 281690 ) ( 170890 * )
NEW li1 ( 168590 281690 ) L1M1_PR
NEW li1 ( 170890 281690 ) L1M1_PR ;
- _053_ ( _253_ B1 ) ( _252_ X ) + USE SIGNAL
+ ROUTED met2 ( 165370 278630 ) ( * 280670 )
NEW met1 ( 165370 280670 ) ( 165830 * )
NEW li1 ( 165370 278630 ) L1M1_PR
NEW met1 ( 165370 278630 ) M1M2_PR
NEW met1 ( 165370 280670 ) M1M2_PR
NEW li1 ( 165830 280670 ) L1M1_PR
NEW met1 ( 165370 278630 ) RECT ( -355 -70 0 70 ) ;
- _054_ ( _263_ A1_N ) ( _261_ B ) ( _253_ X ) + USE SIGNAL
+ ROUTED met1 ( 127190 277950 ) ( * 278630 )
NEW met1 ( 126255 284070 ) ( 127190 * )
NEW met2 ( 127190 278630 ) ( * 284070 )
NEW met2 ( 146510 277780 ) ( * 277950 )
NEW met3 ( 146510 277780 ) ( 164450 * )
NEW met2 ( 164450 277780 ) ( * 278290 )
NEW met1 ( 127190 277950 ) ( 146510 * )
NEW li1 ( 127190 278630 ) L1M1_PR
NEW li1 ( 126255 284070 ) L1M1_PR
NEW met1 ( 127190 284070 ) M1M2_PR
NEW met1 ( 127190 278630 ) M1M2_PR
NEW met1 ( 146510 277950 ) M1M2_PR
NEW met2 ( 146510 277780 ) M2M3_PR
NEW met2 ( 164450 277780 ) M2M3_PR
NEW li1 ( 164450 278290 ) L1M1_PR
NEW met1 ( 164450 278290 ) M1M2_PR
NEW met1 ( 127190 278630 ) RECT ( 0 -70 595 70 )
NEW met1 ( 164450 278290 ) RECT ( -355 -70 0 70 ) ;
- _055_ ( _255_ A ) ( _254_ X ) + USE SIGNAL
+ ROUTED met2 ( 208150 270810 ) ( * 275230 )
NEW met1 ( 205850 270810 ) ( 208150 * )
NEW li1 ( 205850 270810 ) L1M1_PR
NEW met1 ( 208150 270810 ) M1M2_PR
NEW li1 ( 208150 275230 ) L1M1_PR
NEW met1 ( 208150 275230 ) M1M2_PR
NEW met1 ( 208150 275230 ) RECT ( -355 -70 0 70 ) ;
- _056_ ( _258_ A2 ) ( _255_ Y ) + USE SIGNAL
+ ROUTED met1 ( 207230 271490 ) ( 207690 * )
NEW met2 ( 207230 271490 ) ( * 280670 )
NEW li1 ( 207690 271490 ) L1M1_PR
NEW met1 ( 207230 271490 ) M1M2_PR
NEW li1 ( 207230 280670 ) L1M1_PR
NEW met1 ( 207230 280670 ) M1M2_PR
NEW met1 ( 207230 280670 ) RECT ( 0 -70 355 70 ) ;
- _057_ ( _257_ C1 ) ( _256_ X ) + USE SIGNAL
+ ROUTED met1 ( 211830 279650 ) ( 212750 * )
NEW met2 ( 212750 279650 ) ( * 281690 )
NEW li1 ( 211830 279650 ) L1M1_PR
NEW met1 ( 212750 279650 ) M1M2_PR
NEW li1 ( 212750 281690 ) L1M1_PR
NEW met1 ( 212750 281690 ) M1M2_PR
NEW met1 ( 212750 281690 ) RECT ( 0 -70 355 70 ) ;
- _058_ ( _258_ B1 ) ( _257_ X ) + USE SIGNAL
+ ROUTED met1 ( 206310 281690 ) ( 209990 * )
NEW li1 ( 206310 281690 ) L1M1_PR
NEW li1 ( 209990 281690 ) L1M1_PR ;
- _059_ ( _263_ A2_N ) ( _259_ B ) ( _258_ X ) + USE SIGNAL
+ ROUTED met2 ( 205390 281690 ) ( * 281860 )
NEW met2 ( 127650 281690 ) ( * 281860 )
NEW met1 ( 126270 283390 ) ( 127650 * )
NEW met2 ( 127650 281860 ) ( * 283390 )
NEW met3 ( 127650 281860 ) ( 205390 * )
NEW met2 ( 205390 281860 ) M2M3_PR
NEW li1 ( 205390 281690 ) L1M1_PR
NEW met1 ( 205390 281690 ) M1M2_PR
NEW li1 ( 127650 281690 ) L1M1_PR
NEW met1 ( 127650 281690 ) M1M2_PR
NEW met2 ( 127650 281860 ) M2M3_PR
NEW li1 ( 126270 283390 ) L1M1_PR
NEW met1 ( 127650 283390 ) M1M2_PR
NEW met1 ( 205390 281690 ) RECT ( -355 -70 0 70 )
NEW met1 ( 127650 281690 ) RECT ( -355 -70 0 70 ) ;
- _060_ ( _260_ A ) ( _259_ X ) + USE SIGNAL
+ ROUTED met2 ( 128570 276250 ) ( * 280670 )
NEW li1 ( 128570 276250 ) L1M1_PR
NEW met1 ( 128570 276250 ) M1M2_PR
NEW li1 ( 128570 280670 ) L1M1_PR
NEW met1 ( 128570 280670 ) M1M2_PR
NEW met1 ( 128570 276250 ) RECT ( -355 -70 0 70 )
NEW met1 ( 128570 280670 ) RECT ( -355 -70 0 70 ) ;
- _061_ ( _262_ A ) ( _261_ X ) + USE SIGNAL
+ ROUTED met1 ( 123050 278630 ) ( 126270 * )
NEW li1 ( 123050 278630 ) L1M1_PR
NEW li1 ( 126270 278630 ) L1M1_PR ;
- _062_ ( _269_ A2 ) ( _264_ X ) + USE SIGNAL
+ ROUTED met1 ( 156170 278290 ) ( 159850 * )
NEW li1 ( 159850 278290 ) L1M1_PR
NEW li1 ( 156170 278290 ) L1M1_PR ;
- _063_ ( _269_ A3 ) ( _265_ X ) + USE SIGNAL
+ ROUTED met2 ( 156630 276930 ) ( * 278630 )
NEW met1 ( 156630 276930 ) ( 165370 * )
NEW li1 ( 165370 276930 ) L1M1_PR
NEW met1 ( 156630 276930 ) M1M2_PR
NEW li1 ( 156630 278630 ) L1M1_PR
NEW met1 ( 156630 278630 ) M1M2_PR
NEW met1 ( 156630 278630 ) RECT ( -355 -70 0 70 ) ;
- _064_ ( _268_ A1 ) ( _267_ A ) ( _266_ X ) + USE SIGNAL
+ ROUTED met1 ( 152950 272850 ) ( 154330 * )
NEW met2 ( 152950 272850 ) ( * 276250 )
NEW met1 ( 152950 270470 ) ( 153870 * )
NEW met2 ( 152950 270470 ) ( * 272850 )
NEW li1 ( 154330 272850 ) L1M1_PR
NEW met1 ( 152950 272850 ) M1M2_PR
NEW li1 ( 152950 276250 ) L1M1_PR
NEW met1 ( 152950 276250 ) M1M2_PR
NEW li1 ( 153870 270470 ) L1M1_PR
NEW met1 ( 152950 270470 ) M1M2_PR
NEW met1 ( 152950 276250 ) RECT ( -355 -70 0 70 ) ;
- _065_ ( _269_ B1 ) ( _267_ X ) + USE SIGNAL
+ ROUTED met2 ( 153870 276930 ) ( * 278290 )
NEW met1 ( 153870 278290 ) ( 155020 * )
NEW li1 ( 153870 276930 ) L1M1_PR
NEW met1 ( 153870 276930 ) M1M2_PR
NEW met1 ( 153870 278290 ) M1M2_PR
NEW li1 ( 155020 278290 ) L1M1_PR
NEW met1 ( 153870 276930 ) RECT ( -355 -70 0 70 ) ;
- _066_ ( _269_ B2 ) ( _268_ Y ) + USE SIGNAL
+ ROUTED met2 ( 154330 274210 ) ( * 278630 )
NEW met1 ( 154330 274210 ) ( 155250 * )
NEW li1 ( 154330 278630 ) L1M1_PR
NEW met1 ( 154330 278630 ) M1M2_PR
NEW met1 ( 154330 274210 ) M1M2_PR
NEW li1 ( 155250 274210 ) L1M1_PR
NEW met1 ( 154330 278630 ) RECT ( -355 -70 0 70 ) ;
- _067_ ( _280_ A1_N ) ( _278_ B ) ( _269_ X ) + USE SIGNAL
+ ROUTED met1 ( 134550 278630 ) ( * 279310 )
NEW met1 ( 134550 279310 ) ( 157550 * )
NEW met1 ( 133925 281690 ) ( 134550 * )
NEW met2 ( 134550 279310 ) ( * 281690 )
NEW li1 ( 134550 278630 ) L1M1_PR
NEW li1 ( 157550 279310 ) L1M1_PR
NEW li1 ( 133925 281690 ) L1M1_PR
NEW met1 ( 134550 281690 ) M1M2_PR
NEW met1 ( 134550 279310 ) M1M2_PR
NEW met1 ( 134550 279310 ) RECT ( -595 -70 0 70 ) ;
- _068_ ( _275_ A2 ) ( _270_ X ) + USE SIGNAL
+ ROUTED met1 ( 200790 281010 ) ( * 281690 )
NEW met1 ( 212290 280670 ) ( * 281010 )
NEW met1 ( 212290 280670 ) ( 213210 * )
NEW met1 ( 213210 280670 ) ( * 281010 )
NEW met1 ( 213210 281010 ) ( 218730 * )
NEW met1 ( 200790 281010 ) ( 212290 * )
NEW li1 ( 200790 281690 ) L1M1_PR
NEW li1 ( 218730 281010 ) L1M1_PR ;
- _069_ ( _275_ A3 ) ( _271_ X ) + USE SIGNAL
+ ROUTED met1 ( 200330 281690 ) ( * 282030 )
NEW met2 ( 208610 282030 ) ( * 286110 )
NEW met1 ( 200330 282030 ) ( 208610 * )
NEW li1 ( 200330 281690 ) L1M1_PR
NEW met1 ( 208610 282030 ) M1M2_PR
NEW li1 ( 208610 286110 ) L1M1_PR
NEW met1 ( 208610 286110 ) M1M2_PR
NEW met1 ( 208610 286110 ) RECT ( -355 -70 0 70 ) ;
- _070_ ( _274_ A1 ) ( _273_ A ) ( _272_ X ) + USE SIGNAL
+ ROUTED met2 ( 199870 276590 ) ( * 278630 )
NEW met1 ( 199870 278630 ) ( 203550 * )
NEW met1 ( 203550 278290 ) ( * 278630 )
NEW met1 ( 203550 278290 ) ( 206310 * )
NEW met1 ( 198030 274210 ) ( 199870 * )
NEW met2 ( 199870 274210 ) ( * 276590 )
NEW li1 ( 199870 276590 ) L1M1_PR
NEW met1 ( 199870 276590 ) M1M2_PR
NEW met1 ( 199870 278630 ) M1M2_PR
NEW li1 ( 206310 278290 ) L1M1_PR
NEW li1 ( 198030 274210 ) L1M1_PR
NEW met1 ( 199870 274210 ) M1M2_PR
NEW met1 ( 199870 276590 ) RECT ( -355 -70 0 70 ) ;
- _071_ ( _275_ B1 ) ( _273_ X ) + USE SIGNAL
+ ROUTED met2 ( 204470 279650 ) ( * 281690 )
NEW met1 ( 201940 281690 ) ( 204470 * )
NEW met1 ( 204470 279650 ) ( 207230 * )
NEW met1 ( 204470 279650 ) M1M2_PR
NEW met1 ( 204470 281690 ) M1M2_PR
NEW li1 ( 201940 281690 ) L1M1_PR
NEW li1 ( 207230 279650 ) L1M1_PR ;
- _072_ ( _275_ B2 ) ( _274_ Y ) + USE SIGNAL
+ ROUTED met1 ( 200295 276930 ) ( 202630 * )
NEW met2 ( 202630 276930 ) ( * 281350 )
NEW li1 ( 200295 276930 ) L1M1_PR
NEW met1 ( 202630 276930 ) M1M2_PR
NEW li1 ( 202630 281350 ) L1M1_PR
NEW met1 ( 202630 281350 ) M1M2_PR
NEW met1 ( 202630 281350 ) RECT ( -355 -70 0 70 ) ;
- _073_ ( _280_ A2_N ) ( _276_ B ) ( _275_ X ) + USE SIGNAL
+ ROUTED met2 ( 199410 279140 ) ( * 280670 )
NEW met1 ( 133630 282030 ) ( * 282370 )
NEW met1 ( 133630 282030 ) ( 135010 * )
NEW met2 ( 135010 279140 ) ( * 282030 )
NEW met1 ( 132250 284070 ) ( 132710 * )
NEW met2 ( 132710 282370 ) ( * 284070 )
NEW met1 ( 132710 282370 ) ( 133630 * )
NEW met3 ( 135010 279140 ) ( 199410 * )
NEW met2 ( 199410 279140 ) M2M3_PR
NEW li1 ( 199410 280670 ) L1M1_PR
NEW met1 ( 199410 280670 ) M1M2_PR
NEW li1 ( 133630 282370 ) L1M1_PR
NEW met1 ( 135010 282030 ) M1M2_PR
NEW met2 ( 135010 279140 ) M2M3_PR
NEW li1 ( 132250 284070 ) L1M1_PR
NEW met1 ( 132710 284070 ) M1M2_PR
NEW met1 ( 132710 282370 ) M1M2_PR
NEW met1 ( 199410 280670 ) RECT ( -355 -70 0 70 ) ;
- _074_ ( _277_ A ) ( _276_ X ) + USE SIGNAL
+ ROUTED met2 ( 132250 276250 ) ( * 283390 )
NEW met1 ( 131330 283390 ) ( 132250 * )
NEW li1 ( 132250 276250 ) L1M1_PR
NEW met1 ( 132250 276250 ) M1M2_PR
NEW met1 ( 132250 283390 ) M1M2_PR
NEW li1 ( 131330 283390 ) L1M1_PR
NEW met1 ( 132250 276250 ) RECT ( -355 -70 0 70 ) ;
- _075_ ( _279_ A ) ( _278_ X ) + USE SIGNAL
+ ROUTED met1 ( 130410 278630 ) ( 133630 * )
NEW li1 ( 130410 278630 ) L1M1_PR
NEW li1 ( 133630 278630 ) L1M1_PR ;
- _076_ ( _283_ A ) ( _281_ Y ) + USE SIGNAL
+ ROUTED met2 ( 142830 270810 ) ( * 273870 )
NEW met1 ( 142830 273870 ) ( 143290 * )
NEW li1 ( 142830 270810 ) L1M1_PR
NEW met1 ( 142830 270810 ) M1M2_PR
NEW met1 ( 142830 273870 ) M1M2_PR
NEW li1 ( 143290 273870 ) L1M1_PR
NEW met1 ( 142830 270810 ) RECT ( -355 -70 0 70 ) ;
- _077_ ( _283_ B ) ( _282_ X ) + USE SIGNAL
+ ROUTED met2 ( 142370 270470 ) ( * 275230 )
NEW met1 ( 142370 275230 ) ( 142830 * )
NEW li1 ( 142370 270470 ) L1M1_PR
NEW met1 ( 142370 270470 ) M1M2_PR
NEW met1 ( 142370 275230 ) M1M2_PR
NEW li1 ( 142830 275230 ) L1M1_PR
NEW met1 ( 142370 270470 ) RECT ( -355 -70 0 70 ) ;
- _078_ ( _295_ A2 ) ( _284_ B ) ( _283_ Y ) + USE SIGNAL
+ ROUTED met1 ( 147430 284070 ) ( * 284750 )
NEW met1 ( 144670 284750 ) ( 147430 * )
NEW met1 ( 144670 284750 ) ( * 285090 )
NEW met1 ( 139150 285090 ) ( 144670 * )
NEW met2 ( 139150 285090 ) ( * 287130 )
NEW met1 ( 136850 287130 ) ( 139150 * )
NEW met2 ( 144670 271490 ) ( * 284750 )
NEW li1 ( 147430 284070 ) L1M1_PR
NEW met1 ( 139150 285090 ) M1M2_PR
NEW met1 ( 139150 287130 ) M1M2_PR
NEW li1 ( 136850 287130 ) L1M1_PR
NEW li1 ( 144670 271490 ) L1M1_PR
NEW met1 ( 144670 271490 ) M1M2_PR
NEW met1 ( 144670 284750 ) M1M2_PR
NEW met1 ( 144670 271490 ) RECT ( -355 -70 0 70 )
NEW met1 ( 144670 284750 ) RECT ( -595 -70 0 70 ) ;
- _079_ ( _296_ A1 ) ( _284_ Y ) + USE SIGNAL
+ ROUTED met1 ( 145590 281690 ) ( * 282030 )
NEW met1 ( 137310 282030 ) ( 145590 * )
NEW met2 ( 137310 282030 ) ( * 286110 )
NEW met1 ( 136850 286110 ) ( 137310 * )
NEW li1 ( 145590 281690 ) L1M1_PR
NEW met1 ( 137310 282030 ) M1M2_PR
NEW met1 ( 137310 286110 ) M1M2_PR
NEW li1 ( 136850 286110 ) L1M1_PR ;
- _080_ ( _295_ B1 ) ( _287_ A ) ( _285_ X ) + USE SIGNAL
+ ROUTED met1 ( 148350 283730 ) ( * 284070 )
NEW met1 ( 148810 284070 ) ( * 284750 )
NEW met1 ( 148350 284070 ) ( 148810 * )
NEW met1 ( 148810 284750 ) ( 164450 * )
NEW met1 ( 142830 283730 ) ( * 284070 )
NEW met1 ( 141450 284070 ) ( 142830 * )
NEW met1 ( 141450 283390 ) ( * 284070 )
NEW met1 ( 136850 283390 ) ( 141450 * )
NEW met2 ( 136850 281690 ) ( * 283390 )
NEW met1 ( 142830 283730 ) ( 148350 * )
NEW li1 ( 164450 284750 ) L1M1_PR
NEW li1 ( 148350 284070 ) L1M1_PR
NEW met1 ( 136850 283390 ) M1M2_PR
NEW li1 ( 136850 281690 ) L1M1_PR
NEW met1 ( 136850 281690 ) M1M2_PR
NEW met1 ( 136850 281690 ) RECT ( -355 -70 0 70 ) ;
- _081_ ( _295_ B2 ) ( _287_ B ) ( _286_ X ) + USE SIGNAL
+ ROUTED met2 ( 147890 284410 ) ( * 287470 )
NEW met1 ( 147890 287470 ) ( 157090 * )
NEW met1 ( 157090 286450 ) ( * 287470 )
NEW met1 ( 137770 281690 ) ( 138230 * )
NEW met2 ( 138230 280670 ) ( * 281690 )
NEW met1 ( 138230 280670 ) ( 147890 * )
NEW met2 ( 147890 280670 ) ( * 284410 )
NEW met1 ( 157090 286450 ) ( 169050 * )
NEW li1 ( 169050 286450 ) L1M1_PR
NEW li1 ( 147890 284410 ) L1M1_PR
NEW met1 ( 147890 284410 ) M1M2_PR
NEW met1 ( 147890 287470 ) M1M2_PR
NEW li1 ( 137770 281690 ) L1M1_PR
NEW met1 ( 138230 281690 ) M1M2_PR
NEW met1 ( 138230 280670 ) M1M2_PR
NEW met1 ( 147890 280670 ) M1M2_PR
NEW met1 ( 147890 284410 ) RECT ( 0 -70 355 70 ) ;
- _082_ ( _296_ A2 ) ( _287_ Y ) + USE SIGNAL
+ ROUTED met1 ( 137770 281010 ) ( 146050 * )
NEW li1 ( 146050 281010 ) L1M1_PR
NEW li1 ( 137770 281010 ) L1M1_PR ;
- _083_ ( _290_ A ) ( _288_ X ) + USE SIGNAL
+ ROUTED met1 ( 182850 276930 ) ( 190670 * )
NEW met2 ( 182850 276930 ) ( * 278630 )
NEW li1 ( 190670 276930 ) L1M1_PR
NEW met1 ( 182850 276930 ) M1M2_PR
NEW li1 ( 182850 278630 ) L1M1_PR
NEW met1 ( 182850 278630 ) M1M2_PR
NEW met1 ( 182850 278630 ) RECT ( -355 -70 0 70 ) ;
- _084_ ( _290_ B ) ( _289_ X ) + USE SIGNAL
+ ROUTED met2 ( 183310 278970 ) ( * 280670 )
NEW met1 ( 183310 280670 ) ( 188370 * )
NEW li1 ( 183310 278970 ) L1M1_PR
NEW met1 ( 183310 278970 ) M1M2_PR
NEW met1 ( 183310 280670 ) M1M2_PR
NEW li1 ( 188370 280670 ) L1M1_PR
NEW met1 ( 183310 278970 ) RECT ( -355 -70 0 70 ) ;
- _085_ ( _294_ A2 ) ( _293_ A2 ) ( _290_ Y ) + USE SIGNAL
+ ROUTED met2 ( 183770 279650 ) ( * 281690 )
NEW met1 ( 183770 279650 ) ( 184230 * )
NEW met2 ( 183770 281690 ) ( * 284070 )
NEW li1 ( 183770 281690 ) L1M1_PR
NEW met1 ( 183770 281690 ) M1M2_PR
NEW met1 ( 183770 279650 ) M1M2_PR
NEW li1 ( 184230 279650 ) L1M1_PR
NEW li1 ( 183770 284070 ) L1M1_PR
NEW met1 ( 183770 284070 ) M1M2_PR
NEW met1 ( 183770 281690 ) RECT ( 0 -70 355 70 )
NEW met1 ( 183770 284070 ) RECT ( -355 -70 0 70 ) ;
- _086_ ( _292_ C1 ) ( _291_ X ) + USE SIGNAL
+ ROUTED met1 ( 214130 284410 ) ( 218730 * )
NEW li1 ( 218730 284410 ) L1M1_PR
NEW li1 ( 214130 284410 ) L1M1_PR ;
- _087_ ( _294_ B1 ) ( _293_ B1 ) ( _292_ Y ) + USE SIGNAL
+ ROUTED met1 ( 181470 283730 ) ( * 284070 )
NEW met1 ( 182390 281690 ) ( 182850 * )
NEW met2 ( 182850 281690 ) ( * 283730 )
NEW met2 ( 207690 283730 ) ( * 284580 )
NEW met3 ( 207690 284580 ) ( 219190 * )
NEW met2 ( 219190 284580 ) ( * 284750 )
NEW met1 ( 181470 283730 ) ( 207690 * )
NEW li1 ( 181470 284070 ) L1M1_PR
NEW li1 ( 182390 281690 ) L1M1_PR
NEW met1 ( 182850 281690 ) M1M2_PR
NEW met1 ( 182850 283730 ) M1M2_PR
NEW met1 ( 207690 283730 ) M1M2_PR
NEW met2 ( 207690 284580 ) M2M3_PR
NEW met2 ( 219190 284580 ) M2M3_PR
NEW li1 ( 219190 284750 ) L1M1_PR
NEW met1 ( 219190 284750 ) M1M2_PR
NEW met1 ( 182850 283730 ) RECT ( -595 -70 0 70 )
NEW met1 ( 219190 284750 ) RECT ( -355 -70 0 70 ) ;
- _088_ ( _296_ A3 ) ( _293_ X ) + USE SIGNAL
+ ROUTED met1 ( 146510 281010 ) ( * 281350 )
NEW met1 ( 146510 281010 ) ( 181470 * )
NEW li1 ( 181470 281010 ) L1M1_PR
NEW li1 ( 146510 281350 ) L1M1_PR ;
- _089_ ( ANTENNA__159__A DIODE ) ( ANTENNA__170__A DIODE ) ( ANTENNA__181__A DIODE ) ( ANTENNA__192__A DIODE ) ( ANTENNA__244__A DIODE ) ( ANTENNA__246__A DIODE ) ( ANTENNA__259__A DIODE )
( ANTENNA__261__A DIODE ) ( ANTENNA__278__A DIODE ) ( ANTENNA__295__C1 DIODE ) ( _295_ C1 ) ( _278_ A ) ( _261_ A ) ( _259_ A ) ( _246_ A )
( _244_ A ) ( _192_ A ) ( _181_ A ) ( _170_ A ) ( _159_ A ) ( _158_ X ) + USE SIGNAL
+ ROUTED met1 ( 123050 28390 ) ( * 29070 )
NEW met1 ( 120750 28390 ) ( 123050 * )
NEW met1 ( 151570 31450 ) ( 156630 * )
NEW met1 ( 145590 31450 ) ( 151570 * )
NEW met1 ( 133630 31450 ) ( 137310 * )
NEW met1 ( 137310 31450 ) ( * 32130 )
NEW met1 ( 137310 32130 ) ( 145590 * )
NEW met2 ( 132250 31450 ) ( * 33150 )
NEW met1 ( 132250 31450 ) ( 133630 * )
NEW met2 ( 132250 29070 ) ( * 31450 )
NEW met1 ( 123050 29070 ) ( 132250 * )
NEW met2 ( 145590 31450 ) ( * 34500 )
NEW met2 ( 145130 34500 ) ( 145590 * )
NEW met2 ( 145130 34500 ) ( * 40290 )
NEW met1 ( 145590 36890 ) ( 150190 * )
NEW met2 ( 145590 36890 ) ( * 37060 )
NEW met2 ( 145130 37060 ) ( 145590 * )
NEW met1 ( 143290 267070 ) ( 145130 * )
NEW met1 ( 133170 270130 ) ( 143290 * )
NEW met2 ( 143290 267070 ) ( * 270130 )
NEW met2 ( 135010 270130 ) ( * 278630 )
NEW met1 ( 128110 278290 ) ( * 278630 )
NEW met1 ( 128110 278290 ) ( 135010 * )
NEW met1 ( 135010 278290 ) ( * 278630 )
NEW met1 ( 126270 273870 ) ( 135010 * )
NEW met1 ( 122130 273870 ) ( 126270 * )
NEW met2 ( 123970 273870 ) ( * 275230 )
NEW met1 ( 123970 281350 ) ( 126730 * )
NEW met2 ( 123970 275230 ) ( * 281350 )
NEW met1 ( 121210 281350 ) ( 123970 * )
NEW met1 ( 118450 278970 ) ( 123970 * )
NEW met1 ( 116150 278970 ) ( 118450 * )
NEW met1 ( 121210 286790 ) ( 121670 * )
NEW met2 ( 121670 281350 ) ( * 286790 )
NEW met2 ( 149270 282370 ) ( * 284070 )
NEW met1 ( 141450 282370 ) ( 149270 * )
NEW met2 ( 141450 278970 ) ( * 282370 )
NEW met1 ( 135010 278970 ) ( 141450 * )
NEW met1 ( 135010 278630 ) ( * 278970 )
NEW met2 ( 145130 40290 ) ( * 267070 )
NEW li1 ( 123050 28390 ) L1M1_PR
NEW li1 ( 120750 28390 ) L1M1_PR
NEW li1 ( 151570 31450 ) L1M1_PR
NEW li1 ( 156630 31450 ) L1M1_PR
NEW met1 ( 145590 31450 ) M1M2_PR
NEW li1 ( 133630 31450 ) L1M1_PR
NEW met1 ( 145590 32130 ) M1M2_PR
NEW li1 ( 132250 33150 ) L1M1_PR
NEW met1 ( 132250 33150 ) M1M2_PR
NEW met1 ( 132250 31450 ) M1M2_PR
NEW met1 ( 132250 29070 ) M1M2_PR
NEW li1 ( 145130 40290 ) L1M1_PR
NEW met1 ( 145130 40290 ) M1M2_PR
NEW li1 ( 150190 36890 ) L1M1_PR
NEW met1 ( 145590 36890 ) M1M2_PR
NEW li1 ( 143290 267070 ) L1M1_PR
NEW met1 ( 145130 267070 ) M1M2_PR
NEW li1 ( 133170 270130 ) L1M1_PR
NEW met1 ( 143290 270130 ) M1M2_PR
NEW met1 ( 143290 267070 ) M1M2_PR
NEW li1 ( 135010 278630 ) L1M1_PR
NEW met1 ( 135010 278630 ) M1M2_PR
NEW met1 ( 135010 270130 ) M1M2_PR
NEW li1 ( 128110 278630 ) L1M1_PR
NEW li1 ( 126270 273870 ) L1M1_PR
NEW met1 ( 135010 273870 ) M1M2_PR
NEW li1 ( 122130 273870 ) L1M1_PR
NEW li1 ( 123970 275230 ) L1M1_PR
NEW met1 ( 123970 275230 ) M1M2_PR
NEW met1 ( 123970 273870 ) M1M2_PR
NEW li1 ( 126730 281350 ) L1M1_PR
NEW met1 ( 123970 281350 ) M1M2_PR
NEW li1 ( 121210 281350 ) L1M1_PR
NEW li1 ( 118450 278970 ) L1M1_PR
NEW met1 ( 123970 278970 ) M1M2_PR
NEW li1 ( 116150 278970 ) L1M1_PR
NEW li1 ( 121210 286790 ) L1M1_PR
NEW met1 ( 121670 286790 ) M1M2_PR
NEW met1 ( 121670 281350 ) M1M2_PR
NEW li1 ( 149270 284070 ) L1M1_PR
NEW met1 ( 149270 284070 ) M1M2_PR
NEW met1 ( 149270 282370 ) M1M2_PR
NEW met1 ( 141450 282370 ) M1M2_PR
NEW met1 ( 141450 278970 ) M1M2_PR
NEW met2 ( 145590 32130 ) RECT ( -70 -485 70 0 )
NEW met1 ( 132250 33150 ) RECT ( -355 -70 0 70 )
NEW met1 ( 145130 40290 ) RECT ( -355 -70 0 70 )
NEW met1 ( 143290 267070 ) RECT ( -595 -70 0 70 )
NEW met1 ( 135010 278630 ) RECT ( 0 -70 355 70 )
NEW met1 ( 135010 270130 ) RECT ( -595 -70 0 70 )
NEW met2 ( 135010 273870 ) RECT ( -70 -485 70 0 )
NEW met1 ( 123970 275230 ) RECT ( -355 -70 0 70 )
NEW met1 ( 123970 273870 ) RECT ( -595 -70 0 70 )
NEW met2 ( 123970 278970 ) RECT ( -70 -485 70 0 )
NEW met1 ( 121670 281350 ) RECT ( -595 -70 0 70 )
NEW met1 ( 149270 284070 ) RECT ( 0 -70 355 70 ) ;
- _090_ ( _169_ A ) ( _168_ A ) ( _167_ A ) ( _166_ A ) ( _165_ A ) ( _164_ A ) ( _163_ A )
( _162_ A ) ( _161_ A ) ( _160_ A ) ( _159_ X ) + USE SIGNAL
+ ROUTED met2 ( 183770 20570 ) ( * 22950 )
NEW met2 ( 183770 17510 ) ( * 20570 )
NEW met1 ( 183770 15130 ) ( 186530 * )
NEW met2 ( 183770 15130 ) ( * 17510 )
NEW met1 ( 183770 12070 ) ( 184690 * )
NEW met2 ( 183770 12070 ) ( * 15130 )
NEW met1 ( 184690 12070 ) ( 186990 * )
NEW met1 ( 164910 28390 ) ( * 28730 )
NEW met1 ( 153410 28730 ) ( 164910 * )
NEW met2 ( 153410 28730 ) ( * 29580 )
NEW met2 ( 152950 29580 ) ( 153410 * )
NEW met2 ( 152950 29580 ) ( * 31790 )
NEW met1 ( 152490 15130 ) ( 152950 * )
NEW met2 ( 152490 15130 ) ( * 29580 )
NEW met2 ( 152490 29580 ) ( 152950 * )
NEW met1 ( 175950 20230 ) ( * 20570 )
NEW met1 ( 171350 20230 ) ( 175950 * )
NEW met1 ( 171350 19890 ) ( * 20230 )
NEW met1 ( 152490 19890 ) ( 171350 * )
NEW met1 ( 158010 34170 ) ( 171350 * )
NEW met1 ( 171350 33830 ) ( * 34170 )
NEW met1 ( 175950 20570 ) ( 183770 * )
NEW met1 ( 158010 36890 ) ( 160770 * )
NEW met1 ( 152950 34850 ) ( 158010 * )
NEW met2 ( 152950 31790 ) ( * 34850 )
NEW met2 ( 158010 34170 ) ( * 36890 )
NEW li1 ( 183770 22950 ) L1M1_PR
NEW met1 ( 183770 22950 ) M1M2_PR
NEW met1 ( 183770 20570 ) M1M2_PR
NEW li1 ( 183770 17510 ) L1M1_PR
NEW met1 ( 183770 17510 ) M1M2_PR
NEW li1 ( 186530 15130 ) L1M1_PR
NEW met1 ( 183770 15130 ) M1M2_PR
NEW li1 ( 184690 12070 ) L1M1_PR
NEW met1 ( 183770 12070 ) M1M2_PR
NEW li1 ( 186990 12070 ) L1M1_PR
NEW li1 ( 152950 31790 ) L1M1_PR
NEW met1 ( 152950 31790 ) M1M2_PR
NEW li1 ( 164910 28390 ) L1M1_PR
NEW met1 ( 153410 28730 ) M1M2_PR
NEW li1 ( 152950 15130 ) L1M1_PR
NEW met1 ( 152490 15130 ) M1M2_PR
NEW li1 ( 175950 20570 ) L1M1_PR
NEW met1 ( 152490 19890 ) M1M2_PR
NEW met1 ( 158010 34170 ) M1M2_PR
NEW li1 ( 171350 33830 ) L1M1_PR
NEW met1 ( 158010 36890 ) M1M2_PR
NEW li1 ( 160770 36890 ) L1M1_PR
NEW met1 ( 152950 34850 ) M1M2_PR
NEW met1 ( 158010 34850 ) M1M2_PR
NEW met1 ( 183770 22950 ) RECT ( -355 -70 0 70 )
NEW met1 ( 183770 17510 ) RECT ( -355 -70 0 70 )
NEW met1 ( 152950 31790 ) RECT ( -355 -70 0 70 )
NEW met2 ( 152490 19890 ) RECT ( -70 -485 70 0 )
NEW met2 ( 158010 34850 ) RECT ( -70 0 70 485 ) ;
- _091_ ( _180_ A ) ( _179_ A ) ( _178_ A ) ( _177_ A ) ( _176_ A ) ( _175_ A ) ( _174_ A )
( _173_ A ) ( _172_ A ) ( _171_ A ) ( _170_ X ) + USE SIGNAL
+ ROUTED met1 ( 129950 20570 ) ( 130870 * )
NEW met2 ( 130870 19550 ) ( * 20570 )
NEW met1 ( 123050 15130 ) ( 127650 * )
NEW met2 ( 127650 15130 ) ( * 20570 )
NEW met1 ( 127650 20570 ) ( 129950 * )
NEW met2 ( 121210 12070 ) ( * 15130 )
NEW met1 ( 121210 15130 ) ( 123050 * )
NEW met1 ( 117530 15130 ) ( 121210 * )
NEW met1 ( 141450 25330 ) ( * 26010 )
NEW met1 ( 131790 25330 ) ( 141450 * )
NEW met2 ( 131790 19550 ) ( * 25330 )
NEW met2 ( 145130 22950 ) ( * 25330 )
NEW met1 ( 141450 25330 ) ( 145130 * )
NEW met1 ( 145130 28390 ) ( 147890 * )
NEW met2 ( 145130 25330 ) ( * 28390 )
NEW met1 ( 153870 17510 ) ( * 17850 )
NEW met1 ( 145130 17850 ) ( 153870 * )
NEW met2 ( 145130 17850 ) ( * 22950 )
NEW met1 ( 130870 19550 ) ( 131790 * )
NEW met1 ( 147890 36550 ) ( 151110 * )
NEW met1 ( 147890 42330 ) ( 150190 * )
NEW met2 ( 147890 36550 ) ( * 42330 )
NEW met1 ( 154330 39270 ) ( * 39610 )
NEW met1 ( 147890 39610 ) ( 154330 * )
NEW met2 ( 147890 28390 ) ( * 36550 )
NEW li1 ( 129950 20570 ) L1M1_PR
NEW met1 ( 130870 20570 ) M1M2_PR
NEW met1 ( 130870 19550 ) M1M2_PR
NEW li1 ( 123050 15130 ) L1M1_PR
NEW met1 ( 127650 15130 ) M1M2_PR
NEW met1 ( 127650 20570 ) M1M2_PR
NEW li1 ( 121210 12070 ) L1M1_PR
NEW met1 ( 121210 12070 ) M1M2_PR
NEW met1 ( 121210 15130 ) M1M2_PR
NEW li1 ( 117530 15130 ) L1M1_PR
NEW li1 ( 141450 26010 ) L1M1_PR
NEW met1 ( 131790 25330 ) M1M2_PR
NEW met1 ( 131790 19550 ) M1M2_PR
NEW li1 ( 145130 22950 ) L1M1_PR
NEW met1 ( 145130 22950 ) M1M2_PR
NEW met1 ( 145130 25330 ) M1M2_PR
NEW li1 ( 147890 28390 ) L1M1_PR
NEW met1 ( 145130 28390 ) M1M2_PR
NEW met1 ( 147890 28390 ) M1M2_PR
NEW li1 ( 153870 17510 ) L1M1_PR
NEW met1 ( 145130 17850 ) M1M2_PR
NEW li1 ( 151110 36550 ) L1M1_PR
NEW met1 ( 147890 36550 ) M1M2_PR
NEW li1 ( 150190 42330 ) L1M1_PR
NEW met1 ( 147890 42330 ) M1M2_PR
NEW li1 ( 154330 39270 ) L1M1_PR
NEW met1 ( 147890 39610 ) M1M2_PR
NEW met1 ( 121210 12070 ) RECT ( 0 -70 355 70 )
NEW met1 ( 145130 22950 ) RECT ( -355 -70 0 70 )
NEW met1 ( 147890 28390 ) RECT ( -595 -70 0 70 )
NEW met2 ( 147890 39610 ) RECT ( -70 -485 70 0 ) ;
- _092_ ( _191_ A ) ( _190_ A ) ( _189_ A ) ( _188_ A ) ( _187_ A ) ( _186_ A ) ( _185_ A )
( _184_ A ) ( _183_ A ) ( _182_ A ) ( _181_ X ) + USE SIGNAL
+ ROUTED met1 ( 126730 20570 ) ( * 21250 )
NEW met1 ( 123050 20570 ) ( 126730 * )
NEW met1 ( 119830 20570 ) ( 123050 * )
NEW met1 ( 119830 22950 ) ( 120750 * )
NEW met2 ( 120750 20570 ) ( * 22950 )
NEW met1 ( 114770 17510 ) ( * 18190 )
NEW met1 ( 114770 18190 ) ( 120750 * )
NEW met2 ( 120750 18190 ) ( * 20570 )
NEW met1 ( 111550 17510 ) ( 114770 * )
NEW met2 ( 111090 15130 ) ( * 17510 )
NEW met1 ( 111090 17510 ) ( 111550 * )
NEW met1 ( 137310 26010 ) ( 138230 * )
NEW met2 ( 137310 21250 ) ( * 26010 )
NEW met1 ( 135010 31110 ) ( 137310 * )
NEW met2 ( 137310 26010 ) ( * 31110 )
NEW met1 ( 134550 33830 ) ( 135010 * )
NEW met2 ( 135010 31110 ) ( * 33830 )
NEW met1 ( 126730 21250 ) ( 137310 * )
NEW met2 ( 135010 33830 ) ( * 36890 )
NEW li1 ( 126730 20570 ) L1M1_PR
NEW li1 ( 123050 20570 ) L1M1_PR
NEW li1 ( 119830 20570 ) L1M1_PR
NEW li1 ( 119830 22950 ) L1M1_PR
NEW met1 ( 120750 22950 ) M1M2_PR
NEW met1 ( 120750 20570 ) M1M2_PR
NEW li1 ( 114770 17510 ) L1M1_PR
NEW met1 ( 120750 18190 ) M1M2_PR
NEW li1 ( 111550 17510 ) L1M1_PR
NEW li1 ( 111090 15130 ) L1M1_PR
NEW met1 ( 111090 15130 ) M1M2_PR
NEW met1 ( 111090 17510 ) M1M2_PR
NEW li1 ( 138230 26010 ) L1M1_PR
NEW met1 ( 137310 26010 ) M1M2_PR
NEW met1 ( 137310 21250 ) M1M2_PR
NEW li1 ( 135010 31110 ) L1M1_PR
NEW met1 ( 137310 31110 ) M1M2_PR
NEW li1 ( 134550 33830 ) L1M1_PR
NEW met1 ( 135010 33830 ) M1M2_PR
NEW met1 ( 135010 31110 ) M1M2_PR
NEW li1 ( 135010 36890 ) L1M1_PR
NEW met1 ( 135010 36890 ) M1M2_PR
NEW met1 ( 120750 20570 ) RECT ( -595 -70 0 70 )
NEW met1 ( 111090 15130 ) RECT ( 0 -70 355 70 )
NEW met1 ( 135010 31110 ) RECT ( -595 -70 0 70 )
NEW met1 ( 135010 36890 ) RECT ( -355 -70 0 70 ) ;
- _093_ ( _519_ TE_B ) ( _192_ Y ) + USE SIGNAL
+ ROUTED met2 ( 127650 26350 ) ( * 27710 )
NEW met1 ( 123510 27710 ) ( 127650 * )
NEW li1 ( 127650 26350 ) L1M1_PR
NEW met1 ( 127650 26350 ) M1M2_PR
NEW met1 ( 127650 27710 ) M1M2_PR
NEW li1 ( 123510 27710 ) L1M1_PR
NEW met1 ( 127650 26350 ) RECT ( -355 -70 0 70 ) ;
- _094_ ( _520_ TE_B ) ( _191_ Y ) + USE SIGNAL
+ ROUTED met1 ( 120290 22610 ) ( 130410 * )
NEW li1 ( 130410 22610 ) L1M1_PR
NEW li1 ( 120290 22610 ) L1M1_PR ;
- _095_ ( _521_ TE_B ) ( _190_ Y ) + USE SIGNAL
+ ROUTED met1 ( 115230 17850 ) ( 130410 * )
NEW li1 ( 130410 17850 ) L1M1_PR
NEW li1 ( 115230 17850 ) L1M1_PR ;
- _096_ ( _522_ TE_B ) ( _189_ Y ) + USE SIGNAL
+ ROUTED met1 ( 112010 17170 ) ( 118910 * )
NEW li1 ( 118910 17170 ) L1M1_PR
NEW li1 ( 112010 17170 ) L1M1_PR ;
- _097_ ( _523_ TE_B ) ( _188_ Y ) + USE SIGNAL
+ ROUTED met1 ( 120290 11730 ) ( 128570 * )
NEW met2 ( 120290 11730 ) ( * 14450 )
NEW met1 ( 110630 14450 ) ( 120290 * )
NEW li1 ( 128570 11730 ) L1M1_PR
NEW met1 ( 120290 11730 ) M1M2_PR
NEW met1 ( 120290 14450 ) M1M2_PR
NEW li1 ( 110630 14450 ) L1M1_PR ;
- _098_ ( _524_ TE_B ) ( _187_ Y ) + USE SIGNAL
+ ROUTED met1 ( 130410 28730 ) ( 134550 * )
NEW met2 ( 134550 28730 ) ( * 35870 )
NEW li1 ( 130410 28730 ) L1M1_PR
NEW met1 ( 134550 28730 ) M1M2_PR
NEW li1 ( 134550 35870 ) L1M1_PR
NEW met1 ( 134550 35870 ) M1M2_PR
NEW met1 ( 134550 35870 ) RECT ( -355 -70 0 70 ) ;
- _099_ ( _525_ TE_B ) ( _186_ Y ) + USE SIGNAL
+ ROUTED met1 ( 138690 26690 ) ( 139610 * )
NEW met2 ( 139610 26690 ) ( * 36550 )
NEW li1 ( 138690 26690 ) L1M1_PR
NEW met1 ( 139610 26690 ) M1M2_PR
NEW li1 ( 139610 36550 ) L1M1_PR
NEW met1 ( 139610 36550 ) M1M2_PR
NEW met1 ( 139610 36550 ) RECT ( -355 -70 0 70 ) ;
- _100_ ( _526_ TE_B ) ( _185_ Y ) + USE SIGNAL
+ ROUTED met2 ( 139150 31790 ) ( * 33150 )
NEW met1 ( 135010 33150 ) ( 139150 * )
NEW li1 ( 139150 31790 ) L1M1_PR
NEW met1 ( 139150 31790 ) M1M2_PR
NEW met1 ( 139150 33150 ) M1M2_PR
NEW li1 ( 135010 33150 ) L1M1_PR
NEW met1 ( 139150 31790 ) RECT ( -355 -70 0 70 ) ;
- _101_ ( _527_ TE_B ) ( _184_ Y ) + USE SIGNAL
+ ROUTED met2 ( 127190 18190 ) ( * 19550 )
NEW met1 ( 127190 18190 ) ( 131100 * )
NEW met1 ( 131100 17850 ) ( * 18190 )
NEW met1 ( 131100 17850 ) ( 142370 * )
NEW met1 ( 127190 18190 ) M1M2_PR
NEW li1 ( 127190 19550 ) L1M1_PR
NEW met1 ( 127190 19550 ) M1M2_PR
NEW li1 ( 142370 17850 ) L1M1_PR
NEW met1 ( 127190 19550 ) RECT ( -355 -70 0 70 ) ;
- _102_ ( _528_ TE_B ) ( _183_ Y ) + USE SIGNAL
+ ROUTED met2 ( 123510 15810 ) ( * 19550 )
NEW met1 ( 123510 15810 ) ( 131100 * )
NEW met1 ( 131100 15470 ) ( * 15810 )
NEW met1 ( 131100 15470 ) ( 140530 * )
NEW met1 ( 123510 15810 ) M1M2_PR
NEW li1 ( 123510 19550 ) L1M1_PR
NEW met1 ( 123510 19550 ) M1M2_PR
NEW li1 ( 140530 15470 ) L1M1_PR
NEW met1 ( 123510 19550 ) RECT ( -355 -70 0 70 ) ;
- _103_ ( _529_ TE_B ) ( _182_ Y ) + USE SIGNAL
+ ROUTED met1 ( 130410 19890 ) ( * 20230 )
NEW met1 ( 120290 19890 ) ( 130410 * )
NEW met1 ( 130410 20230 ) ( 131100 * )
NEW met1 ( 131100 19890 ) ( * 20230 )
NEW met1 ( 131100 19890 ) ( 134090 * )
NEW met1 ( 134090 19890 ) ( * 20230 )
NEW li1 ( 120290 19890 ) L1M1_PR
NEW li1 ( 134090 20230 ) L1M1_PR ;
- _104_ ( _530_ TE_B ) ( _180_ Y ) + USE SIGNAL
+ ROUTED met1 ( 117070 15470 ) ( 129030 * )
NEW li1 ( 129030 15470 ) L1M1_PR
NEW li1 ( 117070 15470 ) L1M1_PR ;
- _105_ ( _531_ TE_B ) ( _179_ Y ) + USE SIGNAL
+ ROUTED met1 ( 143290 11390 ) ( * 11730 )
NEW met1 ( 121670 11390 ) ( 143290 * )
NEW li1 ( 121670 11390 ) L1M1_PR
NEW li1 ( 143290 11730 ) L1M1_PR ;
- _106_ ( _532_ TE_B ) ( _178_ Y ) + USE SIGNAL
+ ROUTED met2 ( 130410 16830 ) ( * 19550 )
NEW met2 ( 132710 16830 ) ( * 19550 )
NEW met1 ( 132710 19550 ) ( 145590 * )
NEW met1 ( 145590 19550 ) ( * 20230 )
NEW met1 ( 130410 16830 ) ( 132710 * )
NEW met1 ( 130410 16830 ) M1M2_PR
NEW li1 ( 130410 19550 ) L1M1_PR
NEW met1 ( 130410 19550 ) M1M2_PR
NEW met1 ( 132710 16830 ) M1M2_PR
NEW met1 ( 132710 19550 ) M1M2_PR
NEW li1 ( 145590 20230 ) L1M1_PR
NEW met1 ( 130410 19550 ) RECT ( -355 -70 0 70 ) ;
- _107_ ( _533_ TE_B ) ( _177_ Y ) + USE SIGNAL
+ ROUTED met1 ( 141910 25670 ) ( 145590 * )
NEW li1 ( 145590 25670 ) L1M1_PR
NEW li1 ( 141910 25670 ) L1M1_PR ;
- _108_ ( _534_ TE_B ) ( _176_ Y ) + USE SIGNAL
+ ROUTED met2 ( 147430 29410 ) ( * 33490 )
NEW met1 ( 144670 33490 ) ( 147430 * )
NEW li1 ( 147430 29410 ) L1M1_PR
NEW met1 ( 147430 29410 ) M1M2_PR
NEW met1 ( 147430 33490 ) M1M2_PR
NEW li1 ( 144670 33490 ) L1M1_PR
NEW met1 ( 147430 29410 ) RECT ( -355 -70 0 70 ) ;
- _109_ ( _535_ TE_B ) ( _175_ Y ) + USE SIGNAL
+ ROUTED met1 ( 150650 41650 ) ( 151110 * )
NEW met2 ( 151110 28730 ) ( * 41650 )
NEW li1 ( 151110 28730 ) L1M1_PR
NEW met1 ( 151110 28730 ) M1M2_PR
NEW met1 ( 151110 41650 ) M1M2_PR
NEW li1 ( 150650 41650 ) L1M1_PR
NEW met1 ( 151110 28730 ) RECT ( -355 -70 0 70 ) ;
- _110_ ( _536_ TE_B ) ( _174_ Y ) + USE SIGNAL
+ ROUTED met1 ( 145590 22610 ) ( 152490 * )
NEW li1 ( 152490 22610 ) L1M1_PR
NEW li1 ( 145590 22610 ) L1M1_PR ;
- _111_ ( _537_ TE_B ) ( _173_ Y ) + USE SIGNAL
+ ROUTED met2 ( 123510 14620 ) ( * 14790 )
NEW met2 ( 158930 12410 ) ( * 14620 )
NEW met1 ( 158930 12410 ) ( 162610 * )
NEW met3 ( 123510 14620 ) ( 158930 * )
NEW met2 ( 123510 14620 ) M2M3_PR
NEW li1 ( 123510 14790 ) L1M1_PR
NEW met1 ( 123510 14790 ) M1M2_PR
NEW met2 ( 158930 14620 ) M2M3_PR
NEW met1 ( 158930 12410 ) M1M2_PR
NEW li1 ( 162610 12410 ) L1M1_PR
NEW met1 ( 123510 14790 ) RECT ( -355 -70 0 70 ) ;
- _112_ ( _538_ TE_B ) ( _172_ Y ) + USE SIGNAL
+ ROUTED met2 ( 154330 18530 ) ( * 26350 )
NEW met1 ( 154330 26350 ) ( 157550 * )
NEW li1 ( 154330 18530 ) L1M1_PR
NEW met1 ( 154330 18530 ) M1M2_PR
NEW met1 ( 154330 26350 ) M1M2_PR
NEW li1 ( 157550 26350 ) L1M1_PR
NEW met1 ( 154330 18530 ) RECT ( -355 -70 0 70 ) ;
- _113_ ( _539_ TE_B ) ( _171_ Y ) + USE SIGNAL
+ ROUTED met1 ( 154790 38590 ) ( 156170 * )
NEW met2 ( 156170 34170 ) ( * 38590 )
NEW li1 ( 156170 34170 ) L1M1_PR
NEW met1 ( 156170 34170 ) M1M2_PR
NEW met1 ( 156170 38590 ) M1M2_PR
NEW li1 ( 154790 38590 ) L1M1_PR
NEW met1 ( 156170 34170 ) RECT ( -355 -70 0 70 ) ;
- _114_ ( _540_ TE_B ) ( _169_ Y ) + USE SIGNAL
+ ROUTED met2 ( 153410 15810 ) ( * 17170 )
NEW met1 ( 153410 17170 ) ( 158470 * )
NEW li1 ( 153410 15810 ) L1M1_PR
NEW met1 ( 153410 15810 ) M1M2_PR
NEW met1 ( 153410 17170 ) M1M2_PR
NEW li1 ( 158470 17170 ) L1M1_PR
NEW met1 ( 153410 15810 ) RECT ( -355 -70 0 70 ) ;
- _115_ ( _541_ TE_B ) ( _168_ Y ) + USE SIGNAL
+ ROUTED met2 ( 166290 15470 ) ( * 16830 )
NEW met1 ( 166290 16830 ) ( 183310 * )
NEW li1 ( 183310 16830 ) L1M1_PR
NEW met1 ( 166290 16830 ) M1M2_PR
NEW li1 ( 166290 15470 ) L1M1_PR
NEW met1 ( 166290 15470 ) M1M2_PR
NEW met1 ( 166290 15470 ) RECT ( -355 -70 0 70 ) ;
- _116_ ( _542_ TE_B ) ( _167_ Y ) + USE SIGNAL
+ ROUTED met2 ( 165370 29410 ) ( * 31110 )
NEW met1 ( 165370 31110 ) ( 167670 * )
NEW li1 ( 165370 29410 ) L1M1_PR
NEW met1 ( 165370 29410 ) M1M2_PR
NEW met1 ( 165370 31110 ) M1M2_PR
NEW li1 ( 167670 31110 ) L1M1_PR
NEW met1 ( 165370 29410 ) RECT ( -355 -70 0 70 ) ;
- _117_ ( _543_ TE_B ) ( _166_ Y ) + USE SIGNAL
+ ROUTED met1 ( 161230 35870 ) ( 169050 * )
NEW met2 ( 169050 26350 ) ( * 35870 )
NEW li1 ( 169050 26350 ) L1M1_PR
NEW met1 ( 169050 26350 ) M1M2_PR
NEW met1 ( 169050 35870 ) M1M2_PR
NEW li1 ( 161230 35870 ) L1M1_PR
NEW met1 ( 169050 26350 ) RECT ( -355 -70 0 70 ) ;
- _118_ ( _544_ TE_B ) ( _165_ Y ) + USE SIGNAL
+ ROUTED met1 ( 171810 20910 ) ( 173650 * )
NEW met1 ( 173650 20570 ) ( * 20910 )
NEW met1 ( 173650 20570 ) ( 175490 * )
NEW li1 ( 171810 20910 ) L1M1_PR
NEW li1 ( 175490 20570 ) L1M1_PR ;
- _119_ ( _545_ TE_B ) ( _164_ Y ) + USE SIGNAL
+ ROUTED met1 ( 177790 15470 ) ( 186990 * )
NEW li1 ( 186990 15470 ) L1M1_PR
NEW li1 ( 177790 15470 ) L1M1_PR ;
- _120_ ( _546_ TE_B ) ( _163_ Y ) + USE SIGNAL
+ ROUTED met1 ( 177330 11730 ) ( 184230 * )
NEW li1 ( 184230 11730 ) L1M1_PR
NEW li1 ( 177330 11730 ) L1M1_PR ;
- _121_ ( _547_ TE_B ) ( _162_ Y ) + USE SIGNAL
+ ROUTED met2 ( 172270 28730 ) ( * 33150 )
NEW met1 ( 171810 33150 ) ( 172270 * )
NEW li1 ( 172270 28730 ) L1M1_PR
NEW met1 ( 172270 28730 ) M1M2_PR
NEW met1 ( 172270 33150 ) M1M2_PR
NEW li1 ( 171810 33150 ) L1M1_PR
NEW met1 ( 172270 28730 ) RECT ( -355 -70 0 70 ) ;
- _122_ ( _548_ TE_B ) ( _161_ Y ) + USE SIGNAL
+ ROUTED met1 ( 179630 22610 ) ( 183310 * )
NEW li1 ( 179630 22610 ) L1M1_PR
NEW li1 ( 183310 22610 ) L1M1_PR ;
- _123_ ( _549_ TE_B ) ( _160_ Y ) + USE SIGNAL
+ ROUTED met2 ( 187450 13090 ) ( * 17170 )
NEW met1 ( 179630 17170 ) ( 187450 * )
NEW li1 ( 187450 13090 ) L1M1_PR
NEW met1 ( 187450 13090 ) M1M2_PR
NEW met1 ( 187450 17170 ) M1M2_PR
NEW li1 ( 179630 17170 ) L1M1_PR
NEW met1 ( 187450 13090 ) RECT ( -355 -70 0 70 ) ;
- _124_ ( _550_ TE_B ) ( _294_ C1 ) ( _234_ A ) ( _233_ A ) ( _157_ Y ) + USE SIGNAL
+ ROUTED met1 ( 171350 260270 ) ( 172730 * )
NEW met2 ( 172730 260270 ) ( * 261970 )
NEW met1 ( 172730 284070 ) ( 174570 * )
NEW met2 ( 172730 261970 ) ( * 284070 )
NEW met1 ( 178250 284070 ) ( 180090 * )
NEW met1 ( 178250 283390 ) ( * 284070 )
NEW met1 ( 175490 283390 ) ( 178250 * )
NEW met2 ( 175490 283390 ) ( * 284070 )
NEW met1 ( 174570 284070 ) ( 175490 * )
NEW met2 ( 137770 261970 ) ( * 276250 )
NEW met1 ( 135010 276250 ) ( 137770 * )
NEW met1 ( 137770 261970 ) ( 172730 * )
NEW li1 ( 171350 260270 ) L1M1_PR
NEW met1 ( 172730 260270 ) M1M2_PR
NEW met1 ( 172730 261970 ) M1M2_PR
NEW li1 ( 174570 284070 ) L1M1_PR
NEW met1 ( 172730 284070 ) M1M2_PR
NEW li1 ( 180090 284070 ) L1M1_PR
NEW met1 ( 175490 283390 ) M1M2_PR
NEW met1 ( 175490 284070 ) M1M2_PR
NEW li1 ( 137770 276250 ) L1M1_PR
NEW met1 ( 137770 276250 ) M1M2_PR
NEW met1 ( 137770 261970 ) M1M2_PR
NEW li1 ( 135010 276250 ) L1M1_PR
NEW met1 ( 137770 276250 ) RECT ( -355 -70 0 70 ) ;
- io_active ( PIN io_active ) ( ANTENNA_input1_A DIODE ) ( input1 A ) + USE SIGNAL
+ ROUTED met2 ( 18630 287810 ) ( * 296140 0 )
NEW met1 ( 22310 287470 ) ( * 287810 )
NEW met1 ( 18630 287810 ) ( 22310 * )
NEW li1 ( 18630 287810 ) L1M1_PR
NEW met1 ( 18630 287810 ) M1M2_PR
NEW li1 ( 22310 287470 ) L1M1_PR
NEW met1 ( 18630 287810 ) RECT ( -355 -70 0 70 ) ;
- io_in[0] ( PIN io_in[0] ) + USE SIGNAL ;
- io_in[10] ( PIN io_in[10] ) + USE SIGNAL ;
- io_in[11] ( PIN io_in[11] ) + USE SIGNAL ;
- io_in[12] ( PIN io_in[12] ) + USE SIGNAL ;
- io_in[13] ( PIN io_in[13] ) + USE SIGNAL ;
- io_in[14] ( PIN io_in[14] ) + USE SIGNAL ;
- io_in[15] ( PIN io_in[15] ) + USE SIGNAL ;
- io_in[16] ( PIN io_in[16] ) + USE SIGNAL ;
- io_in[17] ( PIN io_in[17] ) + USE SIGNAL ;
- io_in[18] ( PIN io_in[18] ) ( ANTENNA_input2_A DIODE ) ( input2 A ) + USE SIGNAL
+ ROUTED met1 ( 137770 278290 ) ( * 278630 )
NEW met1 ( 137770 278290 ) ( 145130 * )
NEW met2 ( 145130 278290 ) ( * 296140 0 )
NEW met2 ( 139610 271490 ) ( * 278290 )
NEW li1 ( 137770 278630 ) L1M1_PR
NEW met1 ( 145130 278290 ) M1M2_PR
NEW li1 ( 139610 271490 ) L1M1_PR
NEW met1 ( 139610 271490 ) M1M2_PR
NEW met1 ( 139610 278290 ) M1M2_PR
NEW met1 ( 139610 271490 ) RECT ( -355 -70 0 70 )
NEW met1 ( 139610 278290 ) RECT ( -595 -70 0 70 ) ;
- io_in[19] ( PIN io_in[19] ) ( ANTENNA_input3_A DIODE ) ( input3 A ) + USE SIGNAL
+ ROUTED met1 ( 147430 287130 ) ( * 287470 )
NEW met1 ( 135470 287470 ) ( 147430 * )
NEW met1 ( 135470 287130 ) ( * 287470 )
NEW met1 ( 131330 287130 ) ( 135470 * )
NEW met1 ( 131330 286790 ) ( * 287130 )
NEW met1 ( 123050 286790 ) ( 131330 * )
NEW met2 ( 123050 285090 ) ( * 286790 )
NEW met2 ( 152030 287130 ) ( * 296140 0 )
NEW met1 ( 147430 287130 ) ( 152030 * )
NEW li1 ( 147430 287130 ) L1M1_PR
NEW met1 ( 123050 286790 ) M1M2_PR
NEW li1 ( 123050 285090 ) L1M1_PR
NEW met1 ( 123050 285090 ) M1M2_PR
NEW met1 ( 152030 287130 ) M1M2_PR
NEW met1 ( 123050 285090 ) RECT ( -355 -70 0 70 ) ;
- io_in[1] ( PIN io_in[1] ) + USE SIGNAL ;
- io_in[20] ( PIN io_in[20] ) ( ANTENNA_input4_A DIODE ) ( input4 A ) + USE SIGNAL
+ ROUTED met1 ( 158930 287130 ) ( 163530 * )
NEW met2 ( 158930 287130 ) ( * 296140 0 )
NEW met1 ( 163530 287470 ) ( 180550 * )
NEW met1 ( 163530 287130 ) ( * 287470 )
NEW li1 ( 163530 287130 ) L1M1_PR
NEW met1 ( 158930 287130 ) M1M2_PR
NEW li1 ( 180550 287470 ) L1M1_PR ;
- io_in[21] ( PIN io_in[21] ) ( ANTENNA_input5_A DIODE ) ( input5 A ) + USE SIGNAL
+ ROUTED met2 ( 178250 287130 ) ( * 287810 )
NEW met1 ( 165830 287810 ) ( 178250 * )
NEW met2 ( 165830 287810 ) ( * 296140 0 )
NEW met2 ( 179630 268770 ) ( * 282540 )
NEW met2 ( 179170 282540 ) ( 179630 * )
NEW met2 ( 179170 282540 ) ( * 287130 )
NEW met1 ( 178250 287130 ) ( 179170 * )
NEW li1 ( 178250 287130 ) L1M1_PR
NEW met1 ( 178250 287130 ) M1M2_PR
NEW met1 ( 178250 287810 ) M1M2_PR
NEW met1 ( 165830 287810 ) M1M2_PR
NEW li1 ( 179630 268770 ) L1M1_PR
NEW met1 ( 179630 268770 ) M1M2_PR
NEW met1 ( 179170 287130 ) M1M2_PR
NEW met1 ( 178250 287130 ) RECT ( -355 -70 0 70 )
NEW met1 ( 179630 268770 ) RECT ( -355 -70 0 70 ) ;
- io_in[22] ( PIN io_in[22] ) ( ANTENNA_input6_A DIODE ) ( input6 A ) + USE SIGNAL
+ ROUTED met1 ( 173650 287130 ) ( 174570 * )
NEW met2 ( 173650 266050 ) ( * 287130 )
NEW met1 ( 173650 266050 ) ( 176870 * )
NEW met2 ( 172730 287130 ) ( * 296140 0 )
NEW met1 ( 172730 287130 ) ( 173650 * )
NEW li1 ( 174570 287130 ) L1M1_PR
NEW met1 ( 173650 287130 ) M1M2_PR
NEW met1 ( 173650 266050 ) M1M2_PR
NEW li1 ( 176870 266050 ) L1M1_PR
NEW met1 ( 172730 287130 ) M1M2_PR ;
- io_in[23] ( PIN io_in[23] ) ( ANTENNA_input7_A DIODE ) ( input7 A ) + USE SIGNAL
+ ROUTED met1 ( 179630 287130 ) ( 183770 * )
NEW met2 ( 179630 287130 ) ( * 296140 0 )
NEW met1 ( 186070 271490 ) ( 186530 * )
NEW met2 ( 186070 271490 ) ( * 287130 )
NEW met1 ( 183770 287130 ) ( 186070 * )
NEW li1 ( 183770 287130 ) L1M1_PR
NEW met1 ( 179630 287130 ) M1M2_PR
NEW li1 ( 186530 271490 ) L1M1_PR
NEW met1 ( 186070 271490 ) M1M2_PR
NEW met1 ( 186070 287130 ) M1M2_PR ;
- io_in[24] ( PIN io_in[24] ) ( ANTENNA_input8_A DIODE ) ( input8 A ) + USE SIGNAL
+ ROUTED met1 ( 186530 287130 ) ( 190210 * )
NEW met2 ( 186530 287130 ) ( * 296140 0 )
NEW met2 ( 192510 274210 ) ( * 287130 )
NEW met1 ( 190210 287130 ) ( 192510 * )
NEW li1 ( 190210 287130 ) L1M1_PR
NEW met1 ( 186530 287130 ) M1M2_PR
NEW li1 ( 192510 274210 ) L1M1_PR
NEW met1 ( 192510 274210 ) M1M2_PR
NEW met1 ( 192510 287130 ) M1M2_PR
NEW met1 ( 192510 274210 ) RECT ( -355 -70 0 70 ) ;
- io_in[25] ( PIN io_in[25] ) ( ANTENNA_input9_A DIODE ) ( input9 A ) + USE SIGNAL
+ ROUTED met2 ( 193430 284070 ) ( 193890 * )
NEW met2 ( 193430 284070 ) ( * 296140 0 )
NEW met1 ( 193890 272850 ) ( 201250 * )
NEW met2 ( 193890 272850 ) ( * 284070 )
NEW li1 ( 193890 284070 ) L1M1_PR
NEW met1 ( 193890 284070 ) M1M2_PR
NEW li1 ( 201250 272850 ) L1M1_PR
NEW met1 ( 193890 272850 ) M1M2_PR
NEW met1 ( 193890 284070 ) RECT ( 0 -70 355 70 ) ;
- io_in[26] ( PIN io_in[26] ) ( ANTENNA_input10_A DIODE ) ( input10 A ) + USE SIGNAL
+ ROUTED met1 ( 200330 270810 ) ( 200790 * )
NEW met2 ( 200330 270810 ) ( * 296140 0 )
NEW met1 ( 200330 268770 ) ( 201250 * )
NEW met2 ( 200330 268770 ) ( * 270810 )
NEW li1 ( 200790 270810 ) L1M1_PR
NEW met1 ( 200330 270810 ) M1M2_PR
NEW li1 ( 201250 268770 ) L1M1_PR
NEW met1 ( 200330 268770 ) M1M2_PR ;
- io_in[27] ( PIN io_in[27] ) ( ANTENNA_input11_A DIODE ) ( input11 A ) + USE SIGNAL
+ ROUTED met2 ( 226090 284070 ) ( * 288830 )
NEW met1 ( 207230 288830 ) ( 226090 * )
NEW met2 ( 207230 288830 ) ( * 296140 0 )
NEW met1 ( 225630 276930 ) ( 226090 * )
NEW met2 ( 226090 276930 ) ( * 284070 )
NEW li1 ( 226090 284070 ) L1M1_PR
NEW met1 ( 226090 284070 ) M1M2_PR
NEW met1 ( 226090 288830 ) M1M2_PR
NEW met1 ( 207230 288830 ) M1M2_PR
NEW li1 ( 225630 276930 ) L1M1_PR
NEW met1 ( 226090 276930 ) M1M2_PR
NEW met1 ( 226090 284070 ) RECT ( -355 -70 0 70 ) ;
- io_in[28] ( PIN io_in[28] ) ( ANTENNA_input12_A DIODE ) ( input12 A ) + USE SIGNAL
+ ROUTED met1 ( 214130 287130 ) ( 214590 * )
NEW met2 ( 214130 287130 ) ( * 296140 0 )
NEW met1 ( 220570 276930 ) ( 222410 * )
NEW met2 ( 220570 276930 ) ( * 287130 )
NEW met1 ( 214590 287130 ) ( 220570 * )
NEW li1 ( 214590 287130 ) L1M1_PR
NEW met1 ( 214130 287130 ) M1M2_PR
NEW li1 ( 222410 276930 ) L1M1_PR
NEW met1 ( 220570 276930 ) M1M2_PR
NEW met1 ( 220570 287130 ) M1M2_PR ;
- io_in[29] ( PIN io_in[29] ) ( ANTENNA_input13_A DIODE ) ( input13 A ) + USE SIGNAL
+ ROUTED met1 ( 224250 279650 ) ( 233910 * )
NEW met1 ( 224250 279310 ) ( * 279650 )
NEW met1 ( 221490 279310 ) ( 224250 * )
NEW met2 ( 221490 279310 ) ( * 286620 )
NEW met2 ( 221030 286620 ) ( 221490 * )
NEW met2 ( 221030 286620 ) ( * 296140 0 )
NEW met1 ( 233910 284070 ) ( 235290 * )
NEW met2 ( 233910 279650 ) ( * 284070 )
NEW li1 ( 233910 279650 ) L1M1_PR
NEW met1 ( 221490 279310 ) M1M2_PR
NEW li1 ( 235290 284070 ) L1M1_PR
NEW met1 ( 233910 284070 ) M1M2_PR
NEW met1 ( 233910 279650 ) M1M2_PR
NEW met1 ( 233910 279650 ) RECT ( -595 -70 0 70 ) ;
- io_in[2] ( PIN io_in[2] ) + USE SIGNAL ;
- io_in[30] ( PIN io_in[30] ) ( ANTENNA_input14_A DIODE ) ( input14 A ) + USE SIGNAL
+ ROUTED met1 ( 227930 287470 ) ( 229310 * )
NEW met2 ( 227930 287470 ) ( * 296140 0 )
NEW met2 ( 227930 276930 ) ( * 287470 )
NEW li1 ( 229310 287470 ) L1M1_PR
NEW met1 ( 227930 287470 ) M1M2_PR
NEW li1 ( 227930 276930 ) L1M1_PR
NEW met1 ( 227930 276930 ) M1M2_PR
NEW met1 ( 227930 276930 ) RECT ( -355 -70 0 70 ) ;
- io_in[31] ( PIN io_in[31] ) ( ANTENNA_input15_A DIODE ) ( input15 A ) + USE SIGNAL
+ ROUTED met1 ( 234830 287130 ) ( 235290 * )
NEW met2 ( 234830 287130 ) ( * 296140 0 )
NEW met1 ( 234830 279650 ) ( 236670 * )
NEW met2 ( 234830 279650 ) ( * 287130 )
NEW li1 ( 235290 287130 ) L1M1_PR
NEW met1 ( 234830 287130 ) M1M2_PR
NEW li1 ( 236670 279650 ) L1M1_PR
NEW met1 ( 234830 279650 ) M1M2_PR ;
- io_in[32] ( PIN io_in[32] ) ( ANTENNA_input16_A DIODE ) ( input16 A ) + USE SIGNAL
+ ROUTED met1 ( 241730 287130 ) ( 242650 * )
NEW met2 ( 241730 287130 ) ( * 296140 0 )
NEW met2 ( 241730 282370 ) ( * 287130 )
NEW li1 ( 242650 287130 ) L1M1_PR
NEW met1 ( 241730 287130 ) M1M2_PR
NEW li1 ( 241730 282370 ) L1M1_PR
NEW met1 ( 241730 282370 ) M1M2_PR
NEW met1 ( 241730 282370 ) RECT ( -355 -70 0 70 ) ;
- io_in[33] ( PIN io_in[33] ) ( ANTENNA_input17_A DIODE ) ( input17 A ) + USE SIGNAL
+ ROUTED met1 ( 248630 287130 ) ( 249090 * )
NEW met2 ( 248630 287130 ) ( * 296140 0 )
NEW met2 ( 248630 282370 ) ( * 287130 )
NEW li1 ( 249090 287130 ) L1M1_PR
NEW met1 ( 248630 287130 ) M1M2_PR
NEW li1 ( 248630 282370 ) L1M1_PR
NEW met1 ( 248630 282370 ) M1M2_PR
NEW met1 ( 248630 282370 ) RECT ( -355 -70 0 70 ) ;
- io_in[34] ( PIN io_in[34] ) ( ANTENNA_input18_A DIODE ) ( input18 A ) + USE SIGNAL
+ ROUTED met1 ( 255530 287130 ) ( 257370 * )
NEW met2 ( 255530 287130 ) ( * 296140 0 )
NEW met1 ( 255530 282370 ) ( 256450 * )
NEW met2 ( 255530 282370 ) ( * 287130 )
NEW li1 ( 257370 287130 ) L1M1_PR
NEW met1 ( 255530 287130 ) M1M2_PR
NEW li1 ( 256450 282370 ) L1M1_PR
NEW met1 ( 255530 282370 ) M1M2_PR ;
- io_in[35] ( PIN io_in[35] ) ( ANTENNA_input19_A DIODE ) ( input19 A ) + USE SIGNAL
+ ROUTED met1 ( 262430 287470 ) ( 263350 * )
NEW met2 ( 262430 287470 ) ( * 296140 0 )
NEW met2 ( 262430 282370 ) ( * 287470 )
NEW li1 ( 263350 287470 ) L1M1_PR
NEW met1 ( 262430 287470 ) M1M2_PR
NEW li1 ( 262430 282370 ) L1M1_PR
NEW met1 ( 262430 282370 ) M1M2_PR
NEW met1 ( 262430 282370 ) RECT ( -355 -70 0 70 ) ;
- io_in[36] ( PIN io_in[36] ) ( ANTENNA_input20_A DIODE ) ( input20 A ) + USE SIGNAL
+ ROUTED met1 ( 269330 287130 ) ( 272090 * )
NEW met2 ( 269330 287130 ) ( * 296140 0 )
NEW met2 ( 271170 282370 ) ( * 287130 )
NEW li1 ( 272090 287130 ) L1M1_PR
NEW met1 ( 269330 287130 ) M1M2_PR
NEW li1 ( 271170 282370 ) L1M1_PR
NEW met1 ( 271170 282370 ) M1M2_PR
NEW met1 ( 271170 287130 ) M1M2_PR
NEW met1 ( 271170 282370 ) RECT ( -355 -70 0 70 )
NEW met1 ( 271170 287130 ) RECT ( -595 -70 0 70 ) ;
- io_in[37] ( PIN io_in[37] ) ( ANTENNA_input21_A DIODE ) ( input21 A ) + USE SIGNAL
+ ROUTED met1 ( 276230 287130 ) ( 278070 * )
NEW met2 ( 276230 287130 ) ( * 296140 0 )
NEW met1 ( 276230 285090 ) ( 278530 * )
NEW met2 ( 276230 285090 ) ( * 287130 )
NEW li1 ( 278070 287130 ) L1M1_PR
NEW met1 ( 276230 287130 ) M1M2_PR
NEW li1 ( 278530 285090 ) L1M1_PR
NEW met1 ( 276230 285090 ) M1M2_PR ;
- io_in[3] ( PIN io_in[3] ) + USE SIGNAL ;
- io_in[4] ( PIN io_in[4] ) + USE SIGNAL ;
- io_in[5] ( PIN io_in[5] ) + USE SIGNAL ;
- io_in[6] ( PIN io_in[6] ) + USE SIGNAL ;
- io_in[7] ( PIN io_in[7] ) + USE SIGNAL ;
- io_in[8] ( PIN io_in[8] ) + USE SIGNAL ;
- io_in[9] ( PIN io_in[9] ) + USE SIGNAL ;
- io_out[0] ( PIN io_out[0] ) ( output22 X ) + USE SIGNAL
+ ROUTED met1 ( 25530 287810 ) ( 26450 * )
NEW met2 ( 25530 287810 ) ( * 296140 0 )
NEW li1 ( 26450 287810 ) L1M1_PR
NEW met1 ( 25530 287810 ) M1M2_PR ;
- io_out[10] ( PIN io_out[10] ) ( output23 X ) + USE SIGNAL
+ ROUTED met1 ( 94530 287810 ) ( 95910 * )
NEW met2 ( 94530 287810 ) ( * 296140 0 )
NEW li1 ( 95910 287810 ) L1M1_PR
NEW met1 ( 94530 287810 ) M1M2_PR ;
- io_out[11] ( PIN io_out[11] ) ( output24 X ) + USE SIGNAL
+ ROUTED met1 ( 101430 287810 ) ( 102350 * )
NEW met2 ( 101430 287810 ) ( * 296140 0 )
NEW li1 ( 102350 287810 ) L1M1_PR
NEW met1 ( 101430 287810 ) M1M2_PR ;
- io_out[12] ( PIN io_out[12] ) ( output25 X ) + USE SIGNAL
+ ROUTED met2 ( 110170 287810 ) ( * 296140 )
NEW met2 ( 108790 296140 ) ( 110170 * )
NEW met2 ( 108790 295460 ) ( * 296140 )
NEW met2 ( 108330 295460 ) ( 108790 * )
NEW met2 ( 108330 295460 ) ( * 296140 0 )
NEW met2 ( 110170 287810 ) ( 110630 * )
NEW li1 ( 110630 287810 ) L1M1_PR
NEW met1 ( 110630 287810 ) M1M2_PR
NEW met1 ( 110630 287810 ) RECT ( 0 -70 355 70 ) ;
- io_out[13] ( PIN io_out[13] ) ( output26 X ) + USE SIGNAL
+ ROUTED met1 ( 115230 287810 ) ( 116150 * )
NEW met2 ( 115230 287810 ) ( * 296140 0 )
NEW li1 ( 116150 287810 ) L1M1_PR
NEW met1 ( 115230 287810 ) M1M2_PR ;
- io_out[14] ( PIN io_out[14] ) ( output27 X ) + USE SIGNAL
+ ROUTED met1 ( 124430 287810 ) ( 125810 * )
NEW met2 ( 123970 287810 ) ( 124430 * )
NEW met2 ( 123970 287810 ) ( * 296140 )
NEW met2 ( 122590 296140 ) ( 123970 * )
NEW met2 ( 122590 295460 ) ( * 296140 )
NEW met2 ( 122130 295460 ) ( 122590 * )
NEW met2 ( 122130 295460 ) ( * 296140 0 )
NEW li1 ( 125810 287810 ) L1M1_PR
NEW met1 ( 124430 287810 ) M1M2_PR ;
- io_out[15] ( PIN io_out[15] ) ( output28 X ) + USE SIGNAL
+ ROUTED met1 ( 129030 287810 ) ( 130410 * )
NEW met2 ( 129030 287810 ) ( * 296140 0 )
NEW li1 ( 130410 287810 ) L1M1_PR
NEW met1 ( 129030 287810 ) M1M2_PR ;
- io_out[16] ( PIN io_out[16] ) ( output29 X ) + USE SIGNAL
+ ROUTED met1 ( 135930 287810 ) ( 140530 * )
NEW met2 ( 135930 287810 ) ( * 296140 0 )
NEW li1 ( 140530 287810 ) L1M1_PR
NEW met1 ( 135930 287810 ) M1M2_PR ;
- io_out[17] ( PIN io_out[17] ) ( output30 X ) + USE SIGNAL
+ ROUTED met1 ( 142830 287810 ) ( 143750 * )
NEW met2 ( 142830 287810 ) ( * 296140 0 )
NEW li1 ( 143750 287810 ) L1M1_PR
NEW met1 ( 142830 287810 ) M1M2_PR ;
- io_out[4] ( PIN io_out[4] ) ( output31 X ) + USE SIGNAL
+ ROUTED met1 ( 53130 287810 ) ( 54050 * )
NEW met2 ( 53130 287810 ) ( * 296140 0 )
NEW li1 ( 54050 287810 ) L1M1_PR
NEW met1 ( 53130 287810 ) M1M2_PR ;
- io_out[5] ( PIN io_out[5] ) ( output32 X ) + USE SIGNAL
+ ROUTED met1 ( 60030 287810 ) ( 60950 * )
NEW met2 ( 60030 287810 ) ( * 296140 0 )
NEW li1 ( 60950 287810 ) L1M1_PR
NEW met1 ( 60030 287810 ) M1M2_PR ;
- io_out[6] ( PIN io_out[6] ) ( output33 X ) + USE SIGNAL
+ ROUTED met1 ( 66930 287810 ) ( 67850 * )
NEW met2 ( 66930 287810 ) ( * 296140 0 )
NEW li1 ( 67850 287810 ) L1M1_PR
NEW met1 ( 66930 287810 ) M1M2_PR ;
- io_out[7] ( PIN io_out[7] ) ( output34 X ) + USE SIGNAL
+ ROUTED met1 ( 73830 287810 ) ( 74750 * )
NEW met2 ( 73830 287810 ) ( * 296140 0 )
NEW li1 ( 74750 287810 ) L1M1_PR
NEW met1 ( 73830 287810 ) M1M2_PR ;
- io_out[8] ( PIN io_out[8] ) ( output35 X ) + USE SIGNAL
+ ROUTED met1 ( 80730 287810 ) ( 81650 * )
NEW met2 ( 80730 287810 ) ( * 296140 0 )
NEW li1 ( 81650 287810 ) L1M1_PR
NEW met1 ( 80730 287810 ) M1M2_PR ;
- io_out[9] ( PIN io_out[9] ) ( output36 X ) + USE SIGNAL
+ ROUTED met1 ( 87630 287810 ) ( 88550 * )
NEW met2 ( 87630 287810 ) ( * 296140 0 )
NEW li1 ( 88550 287810 ) L1M1_PR
NEW met1 ( 87630 287810 ) M1M2_PR ;
- la_data_in[0] ( PIN la_data_in[0] ) + USE SIGNAL ;
- la_data_in[100] ( PIN la_data_in[100] ) + USE SIGNAL ;
- la_data_in[101] ( PIN la_data_in[101] ) + USE SIGNAL ;
- la_data_in[102] ( PIN la_data_in[102] ) + USE SIGNAL ;
- la_data_in[103] ( PIN la_data_in[103] ) + USE SIGNAL ;
- la_data_in[104] ( PIN la_data_in[104] ) + USE SIGNAL ;
- la_data_in[105] ( PIN la_data_in[105] ) + USE SIGNAL ;
- la_data_in[106] ( PIN la_data_in[106] ) + USE SIGNAL ;
- la_data_in[107] ( PIN la_data_in[107] ) + USE SIGNAL ;
- la_data_in[108] ( PIN la_data_in[108] ) + USE SIGNAL ;
- la_data_in[109] ( PIN la_data_in[109] ) + USE SIGNAL ;
- la_data_in[10] ( PIN la_data_in[10] ) + USE SIGNAL ;
- la_data_in[110] ( PIN la_data_in[110] ) + USE SIGNAL ;
- la_data_in[111] ( PIN la_data_in[111] ) + USE SIGNAL ;
- la_data_in[112] ( PIN la_data_in[112] ) + USE SIGNAL ;
- la_data_in[113] ( PIN la_data_in[113] ) + USE SIGNAL ;
- la_data_in[114] ( PIN la_data_in[114] ) + USE SIGNAL ;
- la_data_in[115] ( PIN la_data_in[115] ) + USE SIGNAL ;
- la_data_in[116] ( PIN la_data_in[116] ) + USE SIGNAL ;
- la_data_in[117] ( PIN la_data_in[117] ) + USE SIGNAL ;
- la_data_in[118] ( PIN la_data_in[118] ) + USE SIGNAL ;
- la_data_in[119] ( PIN la_data_in[119] ) + USE SIGNAL ;
- la_data_in[11] ( PIN la_data_in[11] ) + USE SIGNAL ;
- la_data_in[120] ( PIN la_data_in[120] ) + USE SIGNAL ;
- la_data_in[121] ( PIN la_data_in[121] ) + USE SIGNAL ;
- la_data_in[122] ( PIN la_data_in[122] ) + USE SIGNAL ;
- la_data_in[123] ( PIN la_data_in[123] ) + USE SIGNAL ;
- la_data_in[124] ( PIN la_data_in[124] ) + USE SIGNAL ;
- la_data_in[125] ( PIN la_data_in[125] ) + USE SIGNAL ;
- la_data_in[126] ( PIN la_data_in[126] ) + USE SIGNAL ;
- la_data_in[127] ( PIN la_data_in[127] ) + USE SIGNAL ;
- la_data_in[12] ( PIN la_data_in[12] ) + USE SIGNAL ;
- la_data_in[13] ( PIN la_data_in[13] ) + USE SIGNAL ;
- la_data_in[14] ( PIN la_data_in[14] ) + USE SIGNAL ;
- la_data_in[15] ( PIN la_data_in[15] ) + USE SIGNAL ;
- la_data_in[16] ( PIN la_data_in[16] ) + USE SIGNAL ;
- la_data_in[17] ( PIN la_data_in[17] ) + USE SIGNAL ;
- la_data_in[18] ( PIN la_data_in[18] ) + USE SIGNAL ;
- la_data_in[19] ( PIN la_data_in[19] ) + USE SIGNAL ;
- la_data_in[1] ( PIN la_data_in[1] ) + USE SIGNAL ;
- la_data_in[20] ( PIN la_data_in[20] ) + USE SIGNAL ;
- la_data_in[21] ( PIN la_data_in[21] ) + USE SIGNAL ;
- la_data_in[22] ( PIN la_data_in[22] ) + USE SIGNAL ;
- la_data_in[23] ( PIN la_data_in[23] ) + USE SIGNAL ;
- la_data_in[24] ( PIN la_data_in[24] ) + USE SIGNAL ;
- la_data_in[25] ( PIN la_data_in[25] ) + USE SIGNAL ;
- la_data_in[26] ( PIN la_data_in[26] ) + USE SIGNAL ;
- la_data_in[27] ( PIN la_data_in[27] ) + USE SIGNAL ;
- la_data_in[28] ( PIN la_data_in[28] ) + USE SIGNAL ;
- la_data_in[29] ( PIN la_data_in[29] ) + USE SIGNAL ;
- la_data_in[2] ( PIN la_data_in[2] ) + USE SIGNAL ;
- la_data_in[30] ( PIN la_data_in[30] ) + USE SIGNAL ;
- la_data_in[31] ( PIN la_data_in[31] ) + USE SIGNAL ;
- la_data_in[32] ( PIN la_data_in[32] ) + USE SIGNAL ;
- la_data_in[33] ( PIN la_data_in[33] ) + USE SIGNAL ;
- la_data_in[34] ( PIN la_data_in[34] ) + USE SIGNAL ;
- la_data_in[35] ( PIN la_data_in[35] ) + USE SIGNAL ;
- la_data_in[36] ( PIN la_data_in[36] ) + USE SIGNAL ;
- la_data_in[37] ( PIN la_data_in[37] ) + USE SIGNAL ;
- la_data_in[38] ( PIN la_data_in[38] ) + USE SIGNAL ;
- la_data_in[39] ( PIN la_data_in[39] ) + USE SIGNAL ;
- la_data_in[3] ( PIN la_data_in[3] ) + USE SIGNAL ;
- la_data_in[40] ( PIN la_data_in[40] ) + USE SIGNAL ;
- la_data_in[41] ( PIN la_data_in[41] ) + USE SIGNAL ;
- la_data_in[42] ( PIN la_data_in[42] ) + USE SIGNAL ;
- la_data_in[43] ( PIN la_data_in[43] ) + USE SIGNAL ;
- la_data_in[44] ( PIN la_data_in[44] ) + USE SIGNAL ;
- la_data_in[45] ( PIN la_data_in[45] ) + USE SIGNAL ;
- la_data_in[46] ( PIN la_data_in[46] ) + USE SIGNAL ;
- la_data_in[47] ( PIN la_data_in[47] ) + USE SIGNAL ;
- la_data_in[48] ( PIN la_data_in[48] ) + USE SIGNAL ;
- la_data_in[49] ( PIN la_data_in[49] ) + USE SIGNAL ;
- la_data_in[4] ( PIN la_data_in[4] ) + USE SIGNAL ;
- la_data_in[50] ( PIN la_data_in[50] ) + USE SIGNAL ;
- la_data_in[51] ( PIN la_data_in[51] ) + USE SIGNAL ;
- la_data_in[52] ( PIN la_data_in[52] ) + USE SIGNAL ;
- la_data_in[53] ( PIN la_data_in[53] ) + USE SIGNAL ;
- la_data_in[54] ( PIN la_data_in[54] ) + USE SIGNAL ;
- la_data_in[55] ( PIN la_data_in[55] ) + USE SIGNAL ;
- la_data_in[56] ( PIN la_data_in[56] ) + USE SIGNAL ;
- la_data_in[57] ( PIN la_data_in[57] ) + USE SIGNAL ;
- la_data_in[58] ( PIN la_data_in[58] ) + USE SIGNAL ;
- la_data_in[59] ( PIN la_data_in[59] ) + USE SIGNAL ;
- la_data_in[5] ( PIN la_data_in[5] ) + USE SIGNAL ;
- la_data_in[60] ( PIN la_data_in[60] ) + USE SIGNAL ;
- la_data_in[61] ( PIN la_data_in[61] ) + USE SIGNAL ;
- la_data_in[62] ( PIN la_data_in[62] ) + USE SIGNAL ;
- la_data_in[63] ( PIN la_data_in[63] ) + USE SIGNAL ;
- la_data_in[64] ( PIN la_data_in[64] ) + USE SIGNAL ;
- la_data_in[65] ( PIN la_data_in[65] ) + USE SIGNAL ;
- la_data_in[66] ( PIN la_data_in[66] ) + USE SIGNAL ;
- la_data_in[67] ( PIN la_data_in[67] ) + USE SIGNAL ;
- la_data_in[68] ( PIN la_data_in[68] ) + USE SIGNAL ;
- la_data_in[69] ( PIN la_data_in[69] ) + USE SIGNAL ;
- la_data_in[6] ( PIN la_data_in[6] ) + USE SIGNAL ;
- la_data_in[70] ( PIN la_data_in[70] ) + USE SIGNAL ;
- la_data_in[71] ( PIN la_data_in[71] ) + USE SIGNAL ;
- la_data_in[72] ( PIN la_data_in[72] ) + USE SIGNAL ;
- la_data_in[73] ( PIN la_data_in[73] ) + USE SIGNAL ;
- la_data_in[74] ( PIN la_data_in[74] ) + USE SIGNAL ;
- la_data_in[75] ( PIN la_data_in[75] ) + USE SIGNAL ;
- la_data_in[76] ( PIN la_data_in[76] ) + USE SIGNAL ;
- la_data_in[77] ( PIN la_data_in[77] ) + USE SIGNAL ;
- la_data_in[78] ( PIN la_data_in[78] ) + USE SIGNAL ;
- la_data_in[79] ( PIN la_data_in[79] ) + USE SIGNAL ;
- la_data_in[7] ( PIN la_data_in[7] ) + USE SIGNAL ;
- la_data_in[80] ( PIN la_data_in[80] ) + USE SIGNAL ;
- la_data_in[81] ( PIN la_data_in[81] ) + USE SIGNAL ;
- la_data_in[82] ( PIN la_data_in[82] ) + USE SIGNAL ;
- la_data_in[83] ( PIN la_data_in[83] ) + USE SIGNAL ;
- la_data_in[84] ( PIN la_data_in[84] ) + USE SIGNAL ;
- la_data_in[85] ( PIN la_data_in[85] ) + USE SIGNAL ;
- la_data_in[86] ( PIN la_data_in[86] ) + USE SIGNAL ;
- la_data_in[87] ( PIN la_data_in[87] ) + USE SIGNAL ;
- la_data_in[88] ( PIN la_data_in[88] ) + USE SIGNAL ;
- la_data_in[89] ( PIN la_data_in[89] ) + USE SIGNAL ;
- la_data_in[8] ( PIN la_data_in[8] ) + USE SIGNAL ;
- la_data_in[90] ( PIN la_data_in[90] ) + USE SIGNAL ;
- la_data_in[91] ( PIN la_data_in[91] ) + USE SIGNAL ;
- la_data_in[92] ( PIN la_data_in[92] ) + USE SIGNAL ;
- la_data_in[93] ( PIN la_data_in[93] ) + USE SIGNAL ;
- la_data_in[94] ( PIN la_data_in[94] ) + USE SIGNAL ;
- la_data_in[95] ( PIN la_data_in[95] ) + USE SIGNAL ;
- la_data_in[96] ( PIN la_data_in[96] ) + USE SIGNAL ;
- la_data_in[97] ( PIN la_data_in[97] ) + USE SIGNAL ;
- la_data_in[98] ( PIN la_data_in[98] ) + USE SIGNAL ;
- la_data_in[99] ( PIN la_data_in[99] ) + USE SIGNAL ;
- la_data_in[9] ( PIN la_data_in[9] ) + USE SIGNAL ;
- la_data_out[32] ( PIN la_data_out[32] ) ( _519_ Z ) + USE SIGNAL
+ ROUTED met2 ( 130870 3740 0 ) ( * 13940 )
NEW met2 ( 131330 13940 ) ( * 25670 )
NEW met2 ( 130870 13940 ) ( 131330 * )
NEW li1 ( 131330 25670 ) L1M1_PR
NEW met1 ( 131330 25670 ) M1M2_PR
NEW met1 ( 131330 25670 ) RECT ( 0 -70 355 70 ) ;
- la_data_out[33] ( PIN la_data_out[33] ) ( _520_ Z ) + USE SIGNAL
+ ROUTED met2 ( 132250 3740 0 ) ( * 23290 )
NEW li1 ( 132250 23290 ) L1M1_PR
NEW met1 ( 132250 23290 ) M1M2_PR
NEW met1 ( 132250 23290 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[34] ( PIN la_data_out[34] ) ( _521_ Z ) + USE SIGNAL
+ ROUTED met2 ( 133630 3740 0 ) ( * 17170 )
NEW met1 ( 133630 17170 ) ( 138690 * )
NEW met1 ( 133630 17170 ) M1M2_PR
NEW li1 ( 138690 17170 ) L1M1_PR ;
- la_data_out[35] ( PIN la_data_out[35] ) ( _522_ Z ) + USE SIGNAL
+ ROUTED met2 ( 135010 3740 0 ) ( * 16830 )
NEW met1 ( 133170 16830 ) ( 135010 * )
NEW met1 ( 133170 16830 ) ( * 17170 )
NEW met1 ( 127190 17170 ) ( 133170 * )
NEW li1 ( 127190 17170 ) L1M1_PR
NEW met1 ( 135010 16830 ) M1M2_PR ;
- la_data_out[36] ( PIN la_data_out[36] ) ( _523_ Z ) + USE SIGNAL
+ ROUTED met2 ( 136390 3740 0 ) ( * 11730 )
NEW met1 ( 136390 11730 ) ( 136850 * )
NEW met1 ( 136390 11730 ) M1M2_PR
NEW li1 ( 136850 11730 ) L1M1_PR ;
- la_data_out[37] ( PIN la_data_out[37] ) ( _524_ Z ) + USE SIGNAL
+ ROUTED met2 ( 137770 3740 0 ) ( * 28730 )
NEW li1 ( 137770 28730 ) L1M1_PR
NEW met1 ( 137770 28730 ) M1M2_PR
NEW met1 ( 137770 28730 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[38] ( PIN la_data_out[38] ) ( _525_ Z ) + USE SIGNAL
+ ROUTED met2 ( 139150 3740 0 ) ( * 17170 )
NEW met1 ( 139150 17170 ) ( 146050 * )
NEW met2 ( 146050 17170 ) ( * 36550 )
NEW met1 ( 139150 17170 ) M1M2_PR
NEW met1 ( 146050 17170 ) M1M2_PR
NEW li1 ( 146050 36550 ) L1M1_PR
NEW met1 ( 146050 36550 ) M1M2_PR
NEW met1 ( 146050 36550 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[39] ( PIN la_data_out[39] ) ( _526_ Z ) + USE SIGNAL
+ ROUTED met2 ( 140530 3740 0 ) ( * 31110 )
NEW li1 ( 140530 31110 ) L1M1_PR
NEW met1 ( 140530 31110 ) M1M2_PR
NEW met1 ( 140530 31110 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[40] ( PIN la_data_out[40] ) ( _527_ Z ) + USE SIGNAL
+ ROUTED met2 ( 141910 3740 0 ) ( * 18190 )
NEW met1 ( 141910 18190 ) ( 143750 * )
NEW met1 ( 143750 17850 ) ( * 18190 )
NEW met1 ( 141910 18190 ) M1M2_PR
NEW li1 ( 143750 17850 ) L1M1_PR ;
- la_data_out[41] ( PIN la_data_out[41] ) ( _528_ Z ) + USE SIGNAL
+ ROUTED met2 ( 143290 3740 0 ) ( * 14790 )
NEW li1 ( 143290 14790 ) L1M1_PR
NEW met1 ( 143290 14790 ) M1M2_PR
NEW met1 ( 143290 14790 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[42] ( PIN la_data_out[42] ) ( _529_ Z ) + USE SIGNAL
+ ROUTED met2 ( 144670 3740 0 ) ( * 19890 )
NEW met1 ( 144670 19890 ) ( * 20230 )
NEW met1 ( 142370 20230 ) ( 144670 * )
NEW met1 ( 144670 19890 ) M1M2_PR
NEW li1 ( 142370 20230 ) L1M1_PR ;
- la_data_out[43] ( PIN la_data_out[43] ) ( _530_ Z ) + USE SIGNAL
+ ROUTED met2 ( 146050 3740 0 ) ( * 14110 )
NEW met1 ( 137310 14110 ) ( 146050 * )
NEW met1 ( 137310 14110 ) ( * 14790 )
NEW met1 ( 146050 14110 ) M1M2_PR
NEW li1 ( 137310 14790 ) L1M1_PR ;
- la_data_out[44] ( PIN la_data_out[44] ) ( _531_ Z ) + USE SIGNAL
+ ROUTED met2 ( 147430 3740 0 ) ( * 12410 )
NEW li1 ( 147430 12410 ) L1M1_PR
NEW met1 ( 147430 12410 ) M1M2_PR
NEW met1 ( 147430 12410 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[45] ( PIN la_data_out[45] ) ( _532_ Z ) + USE SIGNAL
+ ROUTED met2 ( 148810 3740 0 ) ( * 20230 )
NEW li1 ( 148810 20230 ) L1M1_PR
NEW met1 ( 148810 20230 ) M1M2_PR
NEW met1 ( 148810 20230 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[46] ( PIN la_data_out[46] ) ( _533_ Z ) + USE SIGNAL
+ ROUTED met2 ( 150190 3740 0 ) ( * 14110 )
NEW met1 ( 150190 14110 ) ( 152030 * )
NEW met2 ( 152030 14110 ) ( * 25670 )
NEW met1 ( 150190 14110 ) M1M2_PR
NEW met1 ( 152030 14110 ) M1M2_PR
NEW li1 ( 152030 25670 ) L1M1_PR
NEW met1 ( 152030 25670 ) M1M2_PR
NEW met1 ( 152030 25670 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[47] ( PIN la_data_out[47] ) ( _534_ Z ) + USE SIGNAL
+ ROUTED met2 ( 151570 3740 0 ) ( * 34170 )
NEW li1 ( 151570 34170 ) L1M1_PR
NEW met1 ( 151570 34170 ) M1M2_PR
NEW met1 ( 151570 34170 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[48] ( PIN la_data_out[48] ) ( _535_ Z ) + USE SIGNAL
+ ROUTED met2 ( 152950 3740 0 ) ( * 28730 )
NEW li1 ( 152950 28730 ) L1M1_PR
NEW met1 ( 152950 28730 ) M1M2_PR
NEW met1 ( 152950 28730 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[49] ( PIN la_data_out[49] ) ( _536_ Z ) + USE SIGNAL
+ ROUTED met2 ( 154330 3740 0 ) ( * 11220 )
NEW met2 ( 153870 11220 ) ( 154330 * )
NEW met2 ( 153870 11220 ) ( * 23290 )
NEW li1 ( 153870 23290 ) L1M1_PR
NEW met1 ( 153870 23290 ) M1M2_PR
NEW met1 ( 153870 23290 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[50] ( PIN la_data_out[50] ) ( _537_ Z ) + USE SIGNAL
+ ROUTED met2 ( 155710 3740 0 ) ( * 12410 )
NEW li1 ( 155710 12410 ) L1M1_PR
NEW met1 ( 155710 12410 ) M1M2_PR
NEW met1 ( 155710 12410 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[51] ( PIN la_data_out[51] ) ( _538_ Z ) + USE SIGNAL
+ ROUTED met2 ( 157090 3740 0 ) ( * 15130 )
NEW met1 ( 157090 15130 ) ( 158930 * )
NEW met2 ( 158930 15130 ) ( * 25670 )
NEW met1 ( 157090 15130 ) M1M2_PR
NEW met1 ( 158930 15130 ) M1M2_PR
NEW li1 ( 158930 25670 ) L1M1_PR
NEW met1 ( 158930 25670 ) M1M2_PR
NEW met1 ( 158930 25670 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[52] ( PIN la_data_out[52] ) ( _539_ Z ) + USE SIGNAL
+ ROUTED met2 ( 158470 3740 0 ) ( * 20060 )
NEW met2 ( 157550 20060 ) ( 158470 * )
NEW met2 ( 157550 20060 ) ( * 34170 )
NEW li1 ( 157550 34170 ) L1M1_PR
NEW met1 ( 157550 34170 ) M1M2_PR
NEW met1 ( 157550 34170 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[53] ( PIN la_data_out[53] ) ( _540_ Z ) + USE SIGNAL
+ ROUTED met2 ( 159850 3740 0 ) ( * 17850 )
NEW li1 ( 159850 17850 ) L1M1_PR
NEW met1 ( 159850 17850 ) M1M2_PR
NEW met1 ( 159850 17850 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[54] ( PIN la_data_out[54] ) ( _541_ Z ) + USE SIGNAL
+ ROUTED met2 ( 161230 3740 0 ) ( * 14790 )
NEW li1 ( 161230 14790 ) L1M1_PR
NEW met1 ( 161230 14790 ) M1M2_PR
NEW met1 ( 161230 14790 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[55] ( PIN la_data_out[55] ) ( _542_ Z ) + USE SIGNAL
+ ROUTED met2 ( 162610 3740 0 ) ( * 15980 )
NEW met2 ( 162610 15980 ) ( 163070 * )
NEW met2 ( 163070 15980 ) ( * 31110 )
NEW li1 ( 163070 31110 ) L1M1_PR
NEW met1 ( 163070 31110 ) M1M2_PR
NEW met1 ( 163070 31110 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[56] ( PIN la_data_out[56] ) ( _543_ Z ) + USE SIGNAL
+ ROUTED met2 ( 163990 3740 0 ) ( * 14110 )
NEW met1 ( 163990 14110 ) ( 170430 * )
NEW met2 ( 170430 14110 ) ( * 25670 )
NEW met1 ( 163990 14110 ) M1M2_PR
NEW met1 ( 170430 14110 ) M1M2_PR
NEW li1 ( 170430 25670 ) L1M1_PR
NEW met1 ( 170430 25670 ) M1M2_PR
NEW met1 ( 170430 25670 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[57] ( PIN la_data_out[57] ) ( _544_ Z ) + USE SIGNAL
+ ROUTED met2 ( 165370 3740 0 ) ( * 20230 )
NEW li1 ( 165370 20230 ) L1M1_PR
NEW met1 ( 165370 20230 ) M1M2_PR
NEW met1 ( 165370 20230 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[58] ( PIN la_data_out[58] ) ( _545_ Z ) + USE SIGNAL
+ ROUTED met2 ( 166750 3740 0 ) ( * 14450 )
NEW met1 ( 166750 14450 ) ( 169510 * )
NEW met1 ( 169510 14450 ) ( * 14790 )
NEW met1 ( 166750 14450 ) M1M2_PR
NEW li1 ( 169510 14790 ) L1M1_PR ;
- la_data_out[59] ( PIN la_data_out[59] ) ( _546_ Z ) + USE SIGNAL
+ ROUTED met2 ( 168130 3740 0 ) ( * 11730 )
NEW met1 ( 168130 11730 ) ( 169050 * )
NEW met1 ( 168130 11730 ) M1M2_PR
NEW li1 ( 169050 11730 ) L1M1_PR ;
- la_data_out[60] ( PIN la_data_out[60] ) ( _547_ Z ) + USE SIGNAL
+ ROUTED met2 ( 169510 3740 0 ) ( * 29070 )
NEW met1 ( 169510 29070 ) ( 173650 * )
NEW met1 ( 173650 28730 ) ( * 29070 )
NEW met1 ( 169510 29070 ) M1M2_PR
NEW li1 ( 173650 28730 ) L1M1_PR ;
- la_data_out[61] ( PIN la_data_out[61] ) ( _548_ Z ) + USE SIGNAL
+ ROUTED met2 ( 170890 3740 0 ) ( * 22610 )
NEW met1 ( 170890 22610 ) ( 171350 * )
NEW met1 ( 170890 22610 ) M1M2_PR
NEW li1 ( 171350 22610 ) L1M1_PR ;
- la_data_out[62] ( PIN la_data_out[62] ) ( _549_ Z ) + USE SIGNAL
+ ROUTED met2 ( 172270 3740 0 ) ( * 17850 )
NEW li1 ( 172270 17850 ) L1M1_PR
NEW met1 ( 172270 17850 ) M1M2_PR
NEW met1 ( 172270 17850 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[63] ( PIN la_data_out[63] ) ( _550_ Z ) + USE SIGNAL
+ ROUTED met2 ( 173650 3740 0 ) ( * 34500 )
NEW met2 ( 173650 34500 ) ( 179170 * )
NEW met2 ( 179170 34500 ) ( * 259590 )
NEW li1 ( 179170 259590 ) L1M1_PR
NEW met1 ( 179170 259590 ) M1M2_PR
NEW met1 ( 179170 259590 ) RECT ( -355 -70 0 70 ) ;
- la_oenb[0] ( PIN la_oenb[0] ) + USE SIGNAL ;
- la_oenb[100] ( PIN la_oenb[100] ) + USE SIGNAL ;
- la_oenb[101] ( PIN la_oenb[101] ) + USE SIGNAL ;
- la_oenb[102] ( PIN la_oenb[102] ) + USE SIGNAL ;
- la_oenb[103] ( PIN la_oenb[103] ) + USE SIGNAL ;
- la_oenb[104] ( PIN la_oenb[104] ) + USE SIGNAL ;
- la_oenb[105] ( PIN la_oenb[105] ) + USE SIGNAL ;
- la_oenb[106] ( PIN la_oenb[106] ) + USE SIGNAL ;
- la_oenb[107] ( PIN la_oenb[107] ) + USE SIGNAL ;
- la_oenb[108] ( PIN la_oenb[108] ) + USE SIGNAL ;
- la_oenb[109] ( PIN la_oenb[109] ) + USE SIGNAL ;
- la_oenb[10] ( PIN la_oenb[10] ) + USE SIGNAL ;
- la_oenb[110] ( PIN la_oenb[110] ) + USE SIGNAL ;
- la_oenb[111] ( PIN la_oenb[111] ) + USE SIGNAL ;
- la_oenb[112] ( PIN la_oenb[112] ) + USE SIGNAL ;
- la_oenb[113] ( PIN la_oenb[113] ) + USE SIGNAL ;
- la_oenb[114] ( PIN la_oenb[114] ) + USE SIGNAL ;
- la_oenb[115] ( PIN la_oenb[115] ) + USE SIGNAL ;
- la_oenb[116] ( PIN la_oenb[116] ) + USE SIGNAL ;
- la_oenb[117] ( PIN la_oenb[117] ) + USE SIGNAL ;
- la_oenb[118] ( PIN la_oenb[118] ) + USE SIGNAL ;
- la_oenb[119] ( PIN la_oenb[119] ) + USE SIGNAL ;
- la_oenb[11] ( PIN la_oenb[11] ) + USE SIGNAL ;
- la_oenb[120] ( PIN la_oenb[120] ) + USE SIGNAL ;
- la_oenb[121] ( PIN la_oenb[121] ) + USE SIGNAL ;
- la_oenb[122] ( PIN la_oenb[122] ) + USE SIGNAL ;
- la_oenb[123] ( PIN la_oenb[123] ) + USE SIGNAL ;
- la_oenb[124] ( PIN la_oenb[124] ) + USE SIGNAL ;
- la_oenb[125] ( PIN la_oenb[125] ) + USE SIGNAL ;
- la_oenb[126] ( PIN la_oenb[126] ) + USE SIGNAL ;
- la_oenb[127] ( PIN la_oenb[127] ) + USE SIGNAL ;
- la_oenb[12] ( PIN la_oenb[12] ) + USE SIGNAL ;
- la_oenb[13] ( PIN la_oenb[13] ) + USE SIGNAL ;
- la_oenb[14] ( PIN la_oenb[14] ) + USE SIGNAL ;
- la_oenb[15] ( PIN la_oenb[15] ) + USE SIGNAL ;
- la_oenb[16] ( PIN la_oenb[16] ) + USE SIGNAL ;
- la_oenb[17] ( PIN la_oenb[17] ) + USE SIGNAL ;
- la_oenb[18] ( PIN la_oenb[18] ) + USE SIGNAL ;
- la_oenb[19] ( PIN la_oenb[19] ) + USE SIGNAL ;
- la_oenb[1] ( PIN la_oenb[1] ) + USE SIGNAL ;
- la_oenb[20] ( PIN la_oenb[20] ) + USE SIGNAL ;
- la_oenb[21] ( PIN la_oenb[21] ) + USE SIGNAL ;
- la_oenb[22] ( PIN la_oenb[22] ) + USE SIGNAL ;
- la_oenb[23] ( PIN la_oenb[23] ) + USE SIGNAL ;
- la_oenb[24] ( PIN la_oenb[24] ) + USE SIGNAL ;
- la_oenb[25] ( PIN la_oenb[25] ) + USE SIGNAL ;
- la_oenb[26] ( PIN la_oenb[26] ) + USE SIGNAL ;
- la_oenb[27] ( PIN la_oenb[27] ) + USE SIGNAL ;
- la_oenb[28] ( PIN la_oenb[28] ) + USE SIGNAL ;
- la_oenb[29] ( PIN la_oenb[29] ) + USE SIGNAL ;
- la_oenb[2] ( PIN la_oenb[2] ) + USE SIGNAL ;
- la_oenb[30] ( PIN la_oenb[30] ) + USE SIGNAL ;
- la_oenb[31] ( PIN la_oenb[31] ) + USE SIGNAL ;
- la_oenb[32] ( PIN la_oenb[32] ) + USE SIGNAL ;
- la_oenb[33] ( PIN la_oenb[33] ) + USE SIGNAL ;
- la_oenb[34] ( PIN la_oenb[34] ) + USE SIGNAL ;
- la_oenb[35] ( PIN la_oenb[35] ) + USE SIGNAL ;
- la_oenb[36] ( PIN la_oenb[36] ) + USE SIGNAL ;
- la_oenb[37] ( PIN la_oenb[37] ) + USE SIGNAL ;
- la_oenb[38] ( PIN la_oenb[38] ) + USE SIGNAL ;
- la_oenb[39] ( PIN la_oenb[39] ) + USE SIGNAL ;
- la_oenb[3] ( PIN la_oenb[3] ) + USE SIGNAL ;
- la_oenb[40] ( PIN la_oenb[40] ) + USE SIGNAL ;
- la_oenb[41] ( PIN la_oenb[41] ) + USE SIGNAL ;
- la_oenb[42] ( PIN la_oenb[42] ) + USE SIGNAL ;
- la_oenb[43] ( PIN la_oenb[43] ) + USE SIGNAL ;
- la_oenb[44] ( PIN la_oenb[44] ) + USE SIGNAL ;
- la_oenb[45] ( PIN la_oenb[45] ) + USE SIGNAL ;
- la_oenb[46] ( PIN la_oenb[46] ) + USE SIGNAL ;
- la_oenb[47] ( PIN la_oenb[47] ) + USE SIGNAL ;
- la_oenb[48] ( PIN la_oenb[48] ) + USE SIGNAL ;
- la_oenb[49] ( PIN la_oenb[49] ) + USE SIGNAL ;
- la_oenb[4] ( PIN la_oenb[4] ) + USE SIGNAL ;
- la_oenb[50] ( PIN la_oenb[50] ) + USE SIGNAL ;
- la_oenb[51] ( PIN la_oenb[51] ) + USE SIGNAL ;
- la_oenb[52] ( PIN la_oenb[52] ) + USE SIGNAL ;
- la_oenb[53] ( PIN la_oenb[53] ) + USE SIGNAL ;
- la_oenb[54] ( PIN la_oenb[54] ) + USE SIGNAL ;
- la_oenb[55] ( PIN la_oenb[55] ) + USE SIGNAL ;
- la_oenb[56] ( PIN la_oenb[56] ) + USE SIGNAL ;
- la_oenb[57] ( PIN la_oenb[57] ) + USE SIGNAL ;
- la_oenb[58] ( PIN la_oenb[58] ) + USE SIGNAL ;
- la_oenb[59] ( PIN la_oenb[59] ) + USE SIGNAL ;
- la_oenb[5] ( PIN la_oenb[5] ) + USE SIGNAL ;
- la_oenb[60] ( PIN la_oenb[60] ) + USE SIGNAL ;
- la_oenb[61] ( PIN la_oenb[61] ) + USE SIGNAL ;
- la_oenb[62] ( PIN la_oenb[62] ) + USE SIGNAL ;
- la_oenb[63] ( PIN la_oenb[63] ) + USE SIGNAL ;
- la_oenb[64] ( PIN la_oenb[64] ) + USE SIGNAL ;
- la_oenb[65] ( PIN la_oenb[65] ) + USE SIGNAL ;
- la_oenb[66] ( PIN la_oenb[66] ) + USE SIGNAL ;
- la_oenb[67] ( PIN la_oenb[67] ) + USE SIGNAL ;
- la_oenb[68] ( PIN la_oenb[68] ) + USE SIGNAL ;
- la_oenb[69] ( PIN la_oenb[69] ) + USE SIGNAL ;
- la_oenb[6] ( PIN la_oenb[6] ) + USE SIGNAL ;
- la_oenb[70] ( PIN la_oenb[70] ) + USE SIGNAL ;
- la_oenb[71] ( PIN la_oenb[71] ) + USE SIGNAL ;
- la_oenb[72] ( PIN la_oenb[72] ) + USE SIGNAL ;
- la_oenb[73] ( PIN la_oenb[73] ) + USE SIGNAL ;
- la_oenb[74] ( PIN la_oenb[74] ) + USE SIGNAL ;
- la_oenb[75] ( PIN la_oenb[75] ) + USE SIGNAL ;
- la_oenb[76] ( PIN la_oenb[76] ) + USE SIGNAL ;
- la_oenb[77] ( PIN la_oenb[77] ) + USE SIGNAL ;
- la_oenb[78] ( PIN la_oenb[78] ) + USE SIGNAL ;
- la_oenb[79] ( PIN la_oenb[79] ) + USE SIGNAL ;
- la_oenb[7] ( PIN la_oenb[7] ) + USE SIGNAL ;
- la_oenb[80] ( PIN la_oenb[80] ) + USE SIGNAL ;
- la_oenb[81] ( PIN la_oenb[81] ) + USE SIGNAL ;
- la_oenb[82] ( PIN la_oenb[82] ) + USE SIGNAL ;
- la_oenb[83] ( PIN la_oenb[83] ) + USE SIGNAL ;
- la_oenb[84] ( PIN la_oenb[84] ) + USE SIGNAL ;
- la_oenb[85] ( PIN la_oenb[85] ) + USE SIGNAL ;
- la_oenb[86] ( PIN la_oenb[86] ) + USE SIGNAL ;
- la_oenb[87] ( PIN la_oenb[87] ) + USE SIGNAL ;
- la_oenb[88] ( PIN la_oenb[88] ) + USE SIGNAL ;
- la_oenb[89] ( PIN la_oenb[89] ) + USE SIGNAL ;
- la_oenb[8] ( PIN la_oenb[8] ) + USE SIGNAL ;
- la_oenb[90] ( PIN la_oenb[90] ) + USE SIGNAL ;
- la_oenb[91] ( PIN la_oenb[91] ) + USE SIGNAL ;
- la_oenb[92] ( PIN la_oenb[92] ) + USE SIGNAL ;
- la_oenb[93] ( PIN la_oenb[93] ) + USE SIGNAL ;
- la_oenb[94] ( PIN la_oenb[94] ) + USE SIGNAL ;
- la_oenb[95] ( PIN la_oenb[95] ) + USE SIGNAL ;
- la_oenb[96] ( PIN la_oenb[96] ) + USE SIGNAL ;
- la_oenb[97] ( PIN la_oenb[97] ) + USE SIGNAL ;
- la_oenb[98] ( PIN la_oenb[98] ) + USE SIGNAL ;
- la_oenb[99] ( PIN la_oenb[99] ) + USE SIGNAL ;
- la_oenb[9] ( PIN la_oenb[9] ) + USE SIGNAL ;
- net1 ( ANTENNA__157__A DIODE ) ( ANTENNA__158__A DIODE ) ( ANTENNA__276__A DIODE ) ( input1 X ) ( _276_ A ) ( _158_ A ) ( _157_ A ) + USE SIGNAL
+ ROUTED met2 ( 26910 280670 ) ( * 286450 )
NEW met1 ( 23230 286450 ) ( 26910 * )
NEW met1 ( 119830 281350 ) ( * 281690 )
NEW met1 ( 110630 281350 ) ( 119830 * )
NEW met1 ( 110630 280670 ) ( * 281350 )
NEW met2 ( 133170 280670 ) ( * 284070 )
NEW met1 ( 130870 280670 ) ( 133170 * )
NEW met1 ( 130870 280670 ) ( * 281010 )
NEW met1 ( 119830 281010 ) ( 130870 * )
NEW met1 ( 119830 281010 ) ( * 281350 )
NEW met1 ( 133170 276250 ) ( 134550 * )
NEW met2 ( 133170 276250 ) ( * 280670 )
NEW met1 ( 129030 274210 ) ( 133170 * )
NEW met2 ( 133170 274210 ) ( * 276250 )
NEW met1 ( 26910 280670 ) ( 110630 * )
NEW met1 ( 26910 280670 ) M1M2_PR
NEW met1 ( 26910 286450 ) M1M2_PR
NEW li1 ( 23230 286450 ) L1M1_PR
NEW li1 ( 110630 280670 ) L1M1_PR
NEW li1 ( 119830 281690 ) L1M1_PR
NEW li1 ( 133170 284070 ) L1M1_PR
NEW met1 ( 133170 284070 ) M1M2_PR
NEW met1 ( 133170 280670 ) M1M2_PR
NEW li1 ( 134550 276250 ) L1M1_PR
NEW met1 ( 133170 276250 ) M1M2_PR
NEW li1 ( 129030 274210 ) L1M1_PR
NEW met1 ( 133170 274210 ) M1M2_PR
NEW li1 ( 131330 274210 ) L1M1_PR
NEW met1 ( 133170 284070 ) RECT ( 0 -70 355 70 )
NEW met1 ( 131330 274210 ) RECT ( -595 -70 0 70 ) ;
- net10 ( input10 X ) ( _243_ A3 ) ( _242_ A2 ) ( _241_ A2_N ) ( _223_ A ) + USE SIGNAL
+ ROUTED met1 ( 201710 275230 ) ( 204010 * )
NEW met2 ( 201710 271490 ) ( * 275230 )
NEW met1 ( 201710 284750 ) ( 203090 * )
NEW met2 ( 201710 275230 ) ( * 284750 )
NEW met2 ( 201250 286790 ) ( 201710 * )
NEW met2 ( 201710 284750 ) ( * 286790 )
NEW met1 ( 201710 283390 ) ( 206770 * )
NEW li1 ( 204010 275230 ) L1M1_PR
NEW met1 ( 201710 275230 ) M1M2_PR
NEW li1 ( 201710 271490 ) L1M1_PR
NEW met1 ( 201710 271490 ) M1M2_PR
NEW li1 ( 203090 284750 ) L1M1_PR
NEW met1 ( 201710 284750 ) M1M2_PR
NEW li1 ( 201250 286790 ) L1M1_PR
NEW met1 ( 201250 286790 ) M1M2_PR
NEW li1 ( 206770 283390 ) L1M1_PR
NEW met1 ( 201710 283390 ) M1M2_PR
NEW met1 ( 201710 271490 ) RECT ( -355 -70 0 70 )
NEW met1 ( 201250 286790 ) RECT ( -355 -70 0 70 )
NEW met2 ( 201710 283390 ) RECT ( -70 -485 70 0 ) ;
- net100 ( PIN la_data_out[67] ) ( macro_15_100 LO ) + USE SIGNAL
+ ROUTED met2 ( 179170 3740 0 ) ( * 14450 )
NEW met1 ( 179170 14450 ) ( 192970 * )
NEW li1 ( 192970 14450 ) L1M1_PR
NEW met1 ( 179170 14450 ) M1M2_PR ;
- net101 ( PIN la_data_out[68] ) ( macro_15_101 LO ) + USE SIGNAL
+ ROUTED met2 ( 180550 3740 0 ) ( * 18530 )
NEW met1 ( 180550 18530 ) ( 189290 * )
NEW met1 ( 180550 18530 ) M1M2_PR
NEW li1 ( 189290 18530 ) L1M1_PR ;
- net102 ( PIN la_data_out[69] ) ( macro_15_102 LO ) + USE SIGNAL
+ ROUTED met2 ( 181930 3740 0 ) ( * 11390 )
NEW met1 ( 181930 11390 ) ( 187450 * )
NEW met1 ( 187450 11390 ) ( * 12070 )
NEW met1 ( 187450 12070 ) ( 198490 * )
NEW met1 ( 181930 11390 ) M1M2_PR
NEW li1 ( 198490 12070 ) L1M1_PR ;
- net103 ( PIN la_data_out[70] ) ( macro_15_103 LO ) + USE SIGNAL
+ ROUTED met2 ( 183310 3740 0 ) ( * 9180 )
NEW met2 ( 183310 9180 ) ( 184230 * )
NEW met2 ( 184230 9180 ) ( * 17510 )
NEW met1 ( 184230 17510 ) ( 192510 * )
NEW met1 ( 184230 17510 ) M1M2_PR
NEW li1 ( 192510 17510 ) L1M1_PR ;
- net104 ( PIN la_data_out[71] ) ( macro_15_104 LO ) + USE SIGNAL
+ ROUTED met2 ( 184690 3740 0 ) ( * 14110 )
NEW met1 ( 184690 14110 ) ( 196190 * )
NEW met1 ( 184690 14110 ) M1M2_PR
NEW li1 ( 196190 14110 ) L1M1_PR ;
- net105 ( PIN la_data_out[72] ) ( macro_15_105 LO ) + USE SIGNAL
+ ROUTED met2 ( 186070 3740 0 ) ( * 19890 )
NEW met1 ( 186070 19890 ) ( 189750 * )
NEW met1 ( 186070 19890 ) M1M2_PR
NEW li1 ( 189750 19890 ) L1M1_PR ;
- net106 ( PIN la_data_out[73] ) ( macro_15_106 LO ) + USE SIGNAL
+ ROUTED met2 ( 187450 3740 0 ) ( * 9180 )
NEW met2 ( 187450 9180 ) ( 187910 * )
NEW met2 ( 187910 9180 ) ( * 17850 )
NEW met1 ( 187910 17850 ) ( 195730 * )
NEW met1 ( 187910 17850 ) M1M2_PR
NEW li1 ( 195730 17850 ) L1M1_PR ;
- net107 ( PIN la_data_out[74] ) ( macro_15_107 LO ) + USE SIGNAL
+ ROUTED met2 ( 188830 3740 0 ) ( * 11730 )
NEW met1 ( 188830 11730 ) ( 201710 * )
NEW met1 ( 201710 11730 ) ( * 12070 )
NEW met1 ( 188830 11730 ) M1M2_PR
NEW li1 ( 201710 12070 ) L1M1_PR ;
- net108 ( PIN la_data_out[75] ) ( macro_15_108 LO ) + USE SIGNAL
+ ROUTED met2 ( 190210 3740 0 ) ( * 15130 )
NEW met1 ( 190210 15130 ) ( 199410 * )
NEW met1 ( 190210 15130 ) M1M2_PR
NEW li1 ( 199410 15130 ) L1M1_PR ;
- net109 ( PIN la_data_out[76] ) ( macro_15_109 LO ) + USE SIGNAL
+ ROUTED met2 ( 191590 3740 0 ) ( * 12750 )
NEW met1 ( 191590 12750 ) ( 204930 * )
NEW met1 ( 191590 12750 ) M1M2_PR
NEW li1 ( 204930 12750 ) L1M1_PR ;
- net11 ( input11 X ) ( _256_ B1 ) ( _224_ A ) ( _222_ B1 ) + USE SIGNAL
+ ROUTED met1 ( 212750 278630 ) ( 213210 * )
NEW met2 ( 213210 278630 ) ( * 283390 )
NEW met1 ( 213210 283390 ) ( 225170 * )
NEW met1 ( 210910 270470 ) ( * 270810 )
NEW met1 ( 210910 270470 ) ( 213210 * )
NEW met2 ( 213210 270470 ) ( * 278630 )
NEW met2 ( 211370 267410 ) ( * 270470 )
NEW li1 ( 212750 278630 ) L1M1_PR
NEW met1 ( 213210 278630 ) M1M2_PR
NEW met1 ( 213210 283390 ) M1M2_PR
NEW li1 ( 225170 283390 ) L1M1_PR
NEW li1 ( 210910 270810 ) L1M1_PR
NEW met1 ( 213210 270470 ) M1M2_PR
NEW li1 ( 211370 267410 ) L1M1_PR
NEW met1 ( 211370 267410 ) M1M2_PR
NEW met1 ( 211370 270470 ) M1M2_PR
NEW met1 ( 211370 267410 ) RECT ( -355 -70 0 70 )
NEW met1 ( 211370 270470 ) RECT ( -595 -70 0 70 ) ;
- net110 ( PIN la_data_out[77] ) ( macro_15_110 LO ) + USE SIGNAL
+ ROUTED met2 ( 192970 3740 0 ) ( * 19550 )
NEW met1 ( 192970 19550 ) ( 193430 * )
NEW met1 ( 192970 19550 ) M1M2_PR
NEW li1 ( 193430 19550 ) L1M1_PR ;
- net111 ( PIN la_data_out[78] ) ( macro_15_111 LO ) + USE SIGNAL
+ ROUTED met2 ( 194350 3740 0 ) ( * 14450 )
NEW met1 ( 194350 14450 ) ( 202630 * )
NEW met1 ( 194350 14450 ) M1M2_PR
NEW li1 ( 202630 14450 ) L1M1_PR ;
- net112 ( PIN la_data_out[79] ) ( macro_15_112 LO ) + USE SIGNAL
+ ROUTED met2 ( 195730 3740 0 ) ( * 12410 )
NEW met1 ( 195730 12410 ) ( 208150 * )
NEW met1 ( 208150 12070 ) ( * 12410 )
NEW met1 ( 195730 12410 ) M1M2_PR
NEW li1 ( 208150 12070 ) L1M1_PR ;
- net113 ( PIN la_data_out[80] ) ( macro_15_113 LO ) + USE SIGNAL
+ ROUTED met2 ( 197110 3740 0 ) ( * 17510 )
NEW met1 ( 197110 17510 ) ( 201250 * )
NEW met1 ( 197110 17510 ) M1M2_PR
NEW li1 ( 201250 17510 ) L1M1_PR ;
- net114 ( PIN la_data_out[81] ) ( macro_15_114 LO ) + USE SIGNAL
+ ROUTED met2 ( 198490 3740 0 ) ( * 14790 )
NEW met1 ( 198490 14790 ) ( 205850 * )
NEW met1 ( 198490 14790 ) M1M2_PR
NEW li1 ( 205850 14790 ) L1M1_PR ;
- net115 ( PIN la_data_out[82] ) ( macro_15_115 LO ) + USE SIGNAL
+ ROUTED met2 ( 199870 3740 0 ) ( * 18190 )
NEW met1 ( 199870 18190 ) ( 204470 * )
NEW met1 ( 199870 18190 ) M1M2_PR
NEW li1 ( 204470 18190 ) L1M1_PR ;
- net116 ( PIN la_data_out[83] ) ( macro_15_116 LO ) + USE SIGNAL
+ ROUTED met2 ( 201250 3740 0 ) ( * 15130 )
NEW met1 ( 201250 15130 ) ( 209070 * )
NEW met1 ( 201250 15130 ) M1M2_PR
NEW li1 ( 209070 15130 ) L1M1_PR ;
- net117 ( PIN la_data_out[84] ) ( macro_15_117 LO ) + USE SIGNAL
+ ROUTED met2 ( 202630 3740 0 ) ( * 11730 )
NEW met1 ( 202630 11730 ) ( 213210 * )
NEW met1 ( 213210 11730 ) ( * 12070 )
NEW met1 ( 202630 11730 ) M1M2_PR
NEW li1 ( 213210 12070 ) L1M1_PR ;
- net118 ( PIN la_data_out[85] ) ( macro_15_118 LO ) + USE SIGNAL
+ ROUTED met2 ( 204010 3740 0 ) ( * 17850 )
NEW met1 ( 204010 17850 ) ( 207690 * )
NEW met1 ( 204010 17850 ) M1M2_PR
NEW li1 ( 207690 17850 ) L1M1_PR ;
- net119 ( PIN la_data_out[86] ) ( macro_15_119 LO ) + USE SIGNAL
+ ROUTED met2 ( 205390 3740 0 ) ( * 14450 )
NEW met1 ( 205390 14450 ) ( 212290 * )
NEW met1 ( 205390 14450 ) M1M2_PR
NEW li1 ( 212290 14450 ) L1M1_PR ;
- net12 ( input12 X ) ( _272_ A ) ( _271_ B ) ( _270_ A2 ) ( _227_ A ) ( _219_ A ) + USE SIGNAL
+ ROUTED met1 ( 215510 281690 ) ( 216430 * )
NEW met2 ( 215510 281690 ) ( * 286790 )
NEW met1 ( 215510 286790 ) ( 215970 * )
NEW met1 ( 210450 286790 ) ( * 287130 )
NEW met1 ( 210450 286790 ) ( 215510 * )
NEW met2 ( 196650 278630 ) ( * 279820 )
NEW met3 ( 196650 279820 ) ( 215510 * )
NEW met2 ( 215510 279820 ) ( * 281690 )
NEW met1 ( 196650 275910 ) ( 197110 * )
NEW met2 ( 196650 275910 ) ( * 278630 )
NEW met2 ( 196190 273190 ) ( 196650 * )
NEW met2 ( 196650 273190 ) ( * 275910 )
NEW li1 ( 216430 281690 ) L1M1_PR
NEW met1 ( 215510 281690 ) M1M2_PR
NEW met1 ( 215510 286790 ) M1M2_PR
NEW li1 ( 215970 286790 ) L1M1_PR
NEW li1 ( 210450 287130 ) L1M1_PR
NEW li1 ( 196650 278630 ) L1M1_PR
NEW met1 ( 196650 278630 ) M1M2_PR
NEW met2 ( 196650 279820 ) M2M3_PR
NEW met2 ( 215510 279820 ) M2M3_PR
NEW li1 ( 197110 275910 ) L1M1_PR
NEW met1 ( 196650 275910 ) M1M2_PR
NEW li1 ( 196190 273190 ) L1M1_PR
NEW met1 ( 196190 273190 ) M1M2_PR
NEW met1 ( 196650 278630 ) RECT ( -355 -70 0 70 )
NEW met1 ( 196190 273190 ) RECT ( -355 -70 0 70 ) ;
- net120 ( PIN la_data_out[87] ) ( macro_15_120 LO ) + USE SIGNAL
+ ROUTED met2 ( 206770 3740 0 ) ( * 17510 )
NEW met1 ( 206770 17510 ) ( 210910 * )
NEW met1 ( 206770 17510 ) M1M2_PR
NEW li1 ( 210910 17510 ) L1M1_PR ;
- net121 ( PIN la_data_out[88] ) ( macro_15_121 LO ) + USE SIGNAL
+ ROUTED met2 ( 208150 3740 0 ) ( * 12750 )
NEW met1 ( 208150 12750 ) ( 216430 * )
NEW met1 ( 208150 12750 ) M1M2_PR
NEW li1 ( 216430 12750 ) L1M1_PR ;
- net122 ( PIN la_data_out[89] ) ( macro_15_122 LO ) + USE SIGNAL
+ ROUTED met2 ( 209530 3740 0 ) ( * 14110 )
NEW met1 ( 209530 14110 ) ( 216430 * )
NEW met1 ( 209530 14110 ) M1M2_PR
NEW li1 ( 216430 14110 ) L1M1_PR ;
- net123 ( PIN la_data_out[90] ) ( macro_15_123 LO ) + USE SIGNAL
+ ROUTED met2 ( 210910 3740 0 ) ( * 12410 )
NEW met1 ( 210910 12410 ) ( 219650 * )
NEW met1 ( 210910 12410 ) M1M2_PR
NEW li1 ( 219650 12410 ) L1M1_PR ;
- net124 ( PIN la_data_out[91] ) ( macro_15_124 LO ) + USE SIGNAL
+ ROUTED met2 ( 212290 3740 0 ) ( * 17510 )
NEW met1 ( 212290 17510 ) ( 214130 * )
NEW met1 ( 212290 17510 ) M1M2_PR
NEW li1 ( 214130 17510 ) L1M1_PR ;
- net125 ( PIN la_data_out[92] ) ( macro_15_125 LO ) + USE SIGNAL
+ ROUTED met2 ( 213670 3740 0 ) ( * 12070 )
NEW met1 ( 213670 12070 ) ( 222870 * )
NEW met1 ( 213670 12070 ) M1M2_PR
NEW li1 ( 222870 12070 ) L1M1_PR ;
- net126 ( PIN la_data_out[93] ) ( macro_15_126 LO ) + USE SIGNAL
+ ROUTED met2 ( 215050 3740 0 ) ( * 14450 )
NEW met1 ( 215050 14450 ) ( 219650 * )
NEW met1 ( 215050 14450 ) M1M2_PR
NEW li1 ( 219650 14450 ) L1M1_PR ;
- net127 ( PIN la_data_out[94] ) ( macro_15_127 LO ) + USE SIGNAL
+ ROUTED met2 ( 216430 3740 0 ) ( * 17510 )
NEW met1 ( 216430 17510 ) ( 217350 * )
NEW met1 ( 216430 17510 ) M1M2_PR
NEW li1 ( 217350 17510 ) L1M1_PR ;
- net128 ( PIN la_data_out[95] ) ( macro_15_128 LO ) + USE SIGNAL
+ ROUTED met2 ( 217810 3740 0 ) ( * 14110 )
NEW met1 ( 217810 14110 ) ( 222870 * )
NEW met1 ( 217810 14110 ) M1M2_PR
NEW li1 ( 222870 14110 ) L1M1_PR ;
- net129 ( PIN la_data_out[96] ) ( macro_15_129 LO ) + USE SIGNAL
+ ROUTED met2 ( 219190 3740 0 ) ( * 11730 )
NEW met1 ( 227930 11730 ) ( * 12070 )
NEW met1 ( 219190 11730 ) ( 227930 * )
NEW met1 ( 219190 11730 ) M1M2_PR
NEW li1 ( 227930 12070 ) L1M1_PR ;
- net13 ( input13 X ) ( _291_ B1 ) ( _226_ A ) ( _216_ A ) + USE SIGNAL
+ ROUTED met2 ( 202170 278290 ) ( * 279310 )
NEW met1 ( 202170 279310 ) ( 204010 * )
NEW met2 ( 204010 279310 ) ( * 285090 )
NEW met2 ( 194810 279310 ) ( * 281350 )
NEW met1 ( 194810 279310 ) ( 202170 * )
NEW met1 ( 215050 283730 ) ( * 284070 )
NEW met1 ( 215050 283730 ) ( 234370 * )
NEW met1 ( 234370 283390 ) ( * 283730 )
NEW met1 ( 213210 284070 ) ( * 285090 )
NEW met1 ( 213210 284070 ) ( 215050 * )
NEW met1 ( 204010 285090 ) ( 213210 * )
NEW li1 ( 202170 278290 ) L1M1_PR
NEW met1 ( 202170 278290 ) M1M2_PR
NEW met1 ( 202170 279310 ) M1M2_PR
NEW met1 ( 204010 279310 ) M1M2_PR
NEW met1 ( 204010 285090 ) M1M2_PR
NEW li1 ( 194810 281350 ) L1M1_PR
NEW met1 ( 194810 281350 ) M1M2_PR
NEW met1 ( 194810 279310 ) M1M2_PR
NEW li1 ( 215050 284070 ) L1M1_PR
NEW li1 ( 234370 283390 ) L1M1_PR
NEW met1 ( 202170 278290 ) RECT ( -355 -70 0 70 )
NEW met1 ( 194810 281350 ) RECT ( -355 -70 0 70 ) ;
- net130 ( PIN la_data_out[97] ) ( macro_15_130 LO ) + USE SIGNAL
+ ROUTED met2 ( 220570 3740 0 ) ( * 17510 )
NEW met1 ( 220570 17510 ) ( 221030 * )
NEW met1 ( 220570 17510 ) M1M2_PR
NEW li1 ( 221030 17510 ) L1M1_PR ;
- net131 ( PIN la_data_out[98] ) ( macro_15_131 LO ) + USE SIGNAL
+ ROUTED met2 ( 221950 3740 0 ) ( * 14450 )
NEW met1 ( 221950 14450 ) ( 226090 * )
NEW met1 ( 221950 14450 ) M1M2_PR
NEW li1 ( 226090 14450 ) L1M1_PR ;
- net132 ( PIN la_data_out[99] ) ( macro_15_132 LO ) + USE SIGNAL
+ ROUTED met2 ( 223330 3740 0 ) ( * 12410 )
NEW met1 ( 223330 12410 ) ( 231150 * )
NEW met1 ( 223330 12410 ) M1M2_PR
NEW li1 ( 231150 12410 ) L1M1_PR ;
- net133 ( PIN la_data_out[100] ) ( macro_15_133 LO ) + USE SIGNAL
+ ROUTED met2 ( 224710 3740 0 ) ( * 14110 )
NEW met1 ( 224710 14110 ) ( 229310 * )
NEW met1 ( 224710 14110 ) M1M2_PR
NEW li1 ( 229310 14110 ) L1M1_PR ;
- net134 ( PIN la_data_out[101] ) ( macro_15_134 LO ) + USE SIGNAL
+ ROUTED met2 ( 226090 3740 0 ) ( * 12750 )
NEW met1 ( 226090 12750 ) ( 234370 * )
NEW met1 ( 226090 12750 ) M1M2_PR
NEW li1 ( 234370 12750 ) L1M1_PR ;
- net135 ( PIN la_data_out[102] ) ( macro_15_135 LO ) + USE SIGNAL
+ ROUTED met2 ( 227470 3740 0 ) ( * 14790 )
NEW met1 ( 227470 14790 ) ( 232530 * )
NEW met1 ( 227470 14790 ) M1M2_PR
NEW li1 ( 232530 14790 ) L1M1_PR ;
- net136 ( PIN la_data_out[103] ) ( macro_15_136 LO ) + USE SIGNAL
+ ROUTED met2 ( 228850 3740 0 ) ( * 12070 )
NEW met1 ( 228850 12070 ) ( 237590 * )
NEW met1 ( 228850 12070 ) M1M2_PR
NEW li1 ( 237590 12070 ) L1M1_PR ;
- net137 ( PIN la_data_out[104] ) ( macro_15_137 LO ) + USE SIGNAL
+ ROUTED met2 ( 230230 3740 0 ) ( * 17510 )
NEW met1 ( 230230 17510 ) ( 231150 * )
NEW met1 ( 230230 17510 ) M1M2_PR
NEW li1 ( 231150 17510 ) L1M1_PR ;
- net138 ( PIN la_data_out[105] ) ( macro_15_138 LO ) + USE SIGNAL
+ ROUTED met2 ( 231610 3740 0 ) ( * 14110 )
NEW met1 ( 231610 14110 ) ( 235750 * )
NEW met1 ( 231610 14110 ) M1M2_PR
NEW li1 ( 235750 14110 ) L1M1_PR ;
- net139 ( PIN la_data_out[106] ) ( macro_15_139 LO ) + USE SIGNAL
+ ROUTED met2 ( 232990 3740 0 ) ( * 17510 )
NEW met1 ( 232990 17510 ) ( 234370 * )
NEW met1 ( 232990 17510 ) M1M2_PR
NEW li1 ( 234370 17510 ) L1M1_PR ;
- net14 ( input14 X ) ( _243_ A1 ) ( _242_ A1 ) ( _241_ A1_N ) ( _223_ B_N ) ( _221_ A1 ) ( _220_ C )
( _217_ A1 ) ( _214_ A2 ) + USE SIGNAL
+ ROUTED met2 ( 224710 278630 ) ( * 286110 )
NEW met1 ( 224710 286110 ) ( 228850 * )
NEW met1 ( 224710 273530 ) ( 225170 * )
NEW met2 ( 224710 273530 ) ( * 278630 )
NEW met1 ( 217810 270470 ) ( * 270810 )
NEW met1 ( 217810 270470 ) ( 224710 * )
NEW met2 ( 224710 270470 ) ( * 273530 )
NEW met2 ( 213670 270470 ) ( * 273190 )
NEW met1 ( 213670 270470 ) ( 217810 * )
NEW met1 ( 203550 275570 ) ( * 276250 )
NEW met1 ( 203550 275570 ) ( 213670 * )
NEW met2 ( 213670 273190 ) ( * 275570 )
NEW met2 ( 203550 281350 ) ( * 284070 )
NEW met1 ( 203550 281350 ) ( 205850 * )
NEW met2 ( 205850 275910 ) ( * 281350 )
NEW met1 ( 205850 275570 ) ( * 275910 )
NEW met1 ( 202170 287130 ) ( 203550 * )
NEW met2 ( 203550 284070 ) ( * 287130 )
NEW met1 ( 206755 284070 ) ( 206770 * )
NEW met1 ( 206770 284070 ) ( * 284150 )
NEW met2 ( 206770 284070 ) ( * 284150 )
NEW met2 ( 205850 284070 ) ( 206770 * )
NEW met2 ( 205850 281350 ) ( * 284070 )
NEW li1 ( 224710 278630 ) L1M1_PR
NEW met1 ( 224710 278630 ) M1M2_PR
NEW met1 ( 224710 286110 ) M1M2_PR
NEW li1 ( 228850 286110 ) L1M1_PR
NEW li1 ( 225170 273530 ) L1M1_PR
NEW met1 ( 224710 273530 ) M1M2_PR
NEW li1 ( 217810 270810 ) L1M1_PR
NEW met1 ( 224710 270470 ) M1M2_PR
NEW li1 ( 213670 273190 ) L1M1_PR
NEW met1 ( 213670 273190 ) M1M2_PR
NEW met1 ( 213670 270470 ) M1M2_PR
NEW li1 ( 203550 276250 ) L1M1_PR
NEW met1 ( 213670 275570 ) M1M2_PR
NEW li1 ( 203550 284070 ) L1M1_PR
NEW met1 ( 203550 284070 ) M1M2_PR
NEW met1 ( 203550 281350 ) M1M2_PR
NEW met1 ( 205850 281350 ) M1M2_PR
NEW met1 ( 205850 275910 ) M1M2_PR
NEW li1 ( 202170 287130 ) L1M1_PR
NEW met1 ( 203550 287130 ) M1M2_PR
NEW li1 ( 206755 284070 ) L1M1_PR
NEW met1 ( 206770 284150 ) M1M2_PR
NEW met1 ( 224710 278630 ) RECT ( 0 -70 355 70 )
NEW met1 ( 213670 273190 ) RECT ( 0 -70 355 70 )
NEW met1 ( 203550 284070 ) RECT ( -355 -70 0 70 ) ;
- net140 ( PIN la_data_out[107] ) ( macro_15_140 LO ) + USE SIGNAL
+ ROUTED met2 ( 234370 3740 0 ) ( * 14790 )
NEW met1 ( 234370 14790 ) ( 238970 * )
NEW met1 ( 234370 14790 ) M1M2_PR
NEW li1 ( 238970 14790 ) L1M1_PR ;
- net141 ( PIN la_data_out[108] ) ( macro_15_141 LO ) + USE SIGNAL
+ ROUTED met2 ( 235750 3740 0 ) ( * 12410 )
NEW met1 ( 235750 12410 ) ( 242650 * )
NEW met1 ( 235750 12410 ) M1M2_PR
NEW li1 ( 242650 12410 ) L1M1_PR ;
- net142 ( PIN la_data_out[109] ) ( macro_15_142 LO ) + USE SIGNAL
+ ROUTED met2 ( 237130 3740 0 ) ( * 11730 )
NEW met1 ( 237130 11730 ) ( 245870 * )
NEW met1 ( 245870 11730 ) ( * 12070 )
NEW met1 ( 237130 11730 ) M1M2_PR
NEW li1 ( 245870 12070 ) L1M1_PR ;
- net143 ( PIN la_data_out[110] ) ( macro_15_143 LO ) + USE SIGNAL
+ ROUTED met2 ( 238510 3740 0 ) ( * 14450 )
NEW met1 ( 238510 14450 ) ( 242190 * )
NEW met1 ( 238510 14450 ) M1M2_PR
NEW li1 ( 242190 14450 ) L1M1_PR ;
- net144 ( PIN la_data_out[111] ) ( macro_15_144 LO ) + USE SIGNAL
+ ROUTED met2 ( 239890 3740 0 ) ( * 13090 )
NEW met1 ( 239890 13090 ) ( 249090 * )
NEW met1 ( 239890 13090 ) M1M2_PR
NEW li1 ( 249090 13090 ) L1M1_PR ;
- net145 ( PIN la_data_out[112] ) ( macro_15_145 LO ) + USE SIGNAL
+ ROUTED met2 ( 241270 3740 0 ) ( * 17510 )
NEW met1 ( 241270 17510 ) ( 241730 * )
NEW met1 ( 241270 17510 ) M1M2_PR
NEW li1 ( 241730 17510 ) L1M1_PR ;
- net146 ( PIN la_data_out[113] ) ( macro_15_146 LO ) + USE SIGNAL
+ ROUTED met2 ( 242650 3740 0 ) ( * 14110 )
NEW met1 ( 242650 14110 ) ( 246330 * )
NEW met1 ( 242650 14110 ) M1M2_PR
NEW li1 ( 246330 14110 ) L1M1_PR ;
- net147 ( PIN la_data_out[114] ) ( macro_15_147 LO ) + USE SIGNAL
+ ROUTED met2 ( 244030 3740 0 ) ( * 12750 )
NEW met1 ( 244030 12750 ) ( 252310 * )
NEW met1 ( 244030 12750 ) M1M2_PR
NEW li1 ( 252310 12750 ) L1M1_PR ;
- net148 ( PIN la_data_out[115] ) ( macro_15_148 LO ) + USE SIGNAL
+ ROUTED met2 ( 245410 3740 0 ) ( * 14450 )
NEW met1 ( 245410 14450 ) ( 249550 * )
NEW met1 ( 245410 14450 ) M1M2_PR
NEW li1 ( 249550 14450 ) L1M1_PR ;
- net149 ( PIN la_data_out[116] ) ( macro_15_149 LO ) + USE SIGNAL
+ ROUTED met2 ( 246790 3740 0 ) ( * 17510 )
NEW met1 ( 246790 17510 ) ( 247250 * )
NEW met1 ( 246790 17510 ) M1M2_PR
NEW li1 ( 247250 17510 ) L1M1_PR ;
- net15 ( input15 X ) ( _257_ A1 ) ( _256_ A1 ) ( _221_ B1_N ) ( _220_ A_N ) ( _217_ A2 ) ( _214_ A3 ) + USE SIGNAL
+ ROUTED met2 ( 225170 279310 ) ( * 286790 )
NEW met1 ( 225170 286790 ) ( 236670 * )
NEW met1 ( 224710 274210 ) ( 225170 * )
NEW met2 ( 225170 274210 ) ( * 279310 )
NEW met1 ( 218270 270810 ) ( 225170 * )
NEW met2 ( 225170 270810 ) ( * 274210 )
NEW met1 ( 215510 272510 ) ( 221030 * )
NEW met2 ( 221030 270810 ) ( * 272510 )
NEW met2 ( 214130 272510 ) ( * 278630 )
NEW met1 ( 214130 272510 ) ( 215510 * )
NEW met2 ( 211370 279310 ) ( * 281690 )
NEW met1 ( 211370 279310 ) ( 214130 * )
NEW met2 ( 214130 278630 ) ( * 279310 )
NEW li1 ( 225170 279310 ) L1M1_PR
NEW met1 ( 225170 279310 ) M1M2_PR
NEW met1 ( 225170 286790 ) M1M2_PR
NEW li1 ( 236670 286790 ) L1M1_PR
NEW li1 ( 224710 274210 ) L1M1_PR
NEW met1 ( 225170 274210 ) M1M2_PR
NEW li1 ( 218270 270810 ) L1M1_PR
NEW met1 ( 225170 270810 ) M1M2_PR
NEW li1 ( 215510 272510 ) L1M1_PR
NEW met1 ( 221030 272510 ) M1M2_PR
NEW met1 ( 221030 270810 ) M1M2_PR
NEW li1 ( 214130 278630 ) L1M1_PR
NEW met1 ( 214130 278630 ) M1M2_PR
NEW met1 ( 214130 272510 ) M1M2_PR
NEW li1 ( 211370 281690 ) L1M1_PR
NEW met1 ( 211370 281690 ) M1M2_PR
NEW met1 ( 211370 279310 ) M1M2_PR
NEW met1 ( 214130 279310 ) M1M2_PR
NEW met1 ( 225170 279310 ) RECT ( -355 -70 0 70 )
NEW met1 ( 221030 270810 ) RECT ( -595 -70 0 70 )
NEW met1 ( 214130 278630 ) RECT ( -355 -70 0 70 )
NEW met1 ( 211370 281690 ) RECT ( 0 -70 355 70 ) ;
- net150 ( PIN la_data_out[117] ) ( macro_15_150 LO ) + USE SIGNAL
+ ROUTED met2 ( 248170 3740 0 ) ( * 14110 )
NEW met1 ( 248170 14110 ) ( 252770 * )
NEW met1 ( 248170 14110 ) M1M2_PR
NEW li1 ( 252770 14110 ) L1M1_PR ;
- net151 ( PIN la_data_out[118] ) ( macro_15_151 LO ) + USE SIGNAL
+ ROUTED met2 ( 249550 3740 0 ) ( * 12410 )
NEW met1 ( 249550 12410 ) ( 257370 * )
NEW met1 ( 249550 12410 ) M1M2_PR
NEW li1 ( 257370 12410 ) L1M1_PR ;
- net152 ( PIN la_data_out[119] ) ( macro_15_152 LO ) + USE SIGNAL
+ ROUTED met2 ( 250930 3740 0 ) ( * 17510 )
NEW met1 ( 250930 17510 ) ( 251390 * )
NEW met1 ( 250930 17510 ) M1M2_PR
NEW li1 ( 251390 17510 ) L1M1_PR ;
- net153 ( PIN la_data_out[120] ) ( macro_15_153 LO ) + USE SIGNAL
+ ROUTED met2 ( 252310 3740 0 ) ( * 7140 )
NEW met2 ( 252310 7140 ) ( 253230 * )
NEW met2 ( 253230 7140 ) ( * 14110 )
NEW met1 ( 253230 14110 ) ( 255990 * )
NEW met1 ( 253230 14110 ) M1M2_PR
NEW li1 ( 255990 14110 ) L1M1_PR ;
- net154 ( PIN la_data_out[121] ) ( macro_15_154 LO ) + USE SIGNAL
+ ROUTED met2 ( 253690 3740 0 ) ( * 12750 )
NEW met1 ( 253690 12750 ) ( 260590 * )
NEW met1 ( 253690 12750 ) M1M2_PR
NEW li1 ( 260590 12750 ) L1M1_PR ;
- net155 ( PIN la_data_out[122] ) ( macro_15_155 LO ) + USE SIGNAL
+ ROUTED met2 ( 255070 3740 0 ) ( * 14450 )
NEW met1 ( 255070 14450 ) ( 259210 * )
NEW met1 ( 255070 14450 ) M1M2_PR
NEW li1 ( 259210 14450 ) L1M1_PR ;
- net156 ( PIN la_data_out[123] ) ( macro_15_156 LO ) + USE SIGNAL
+ ROUTED met2 ( 256450 3740 0 ) ( * 11730 )
NEW met1 ( 256450 11730 ) ( 263810 * )
NEW met1 ( 263810 11730 ) ( * 12070 )
NEW met1 ( 256450 11730 ) M1M2_PR
NEW li1 ( 263810 12070 ) L1M1_PR ;
- net157 ( PIN la_data_out[124] ) ( macro_15_157 LO ) + USE SIGNAL
+ ROUTED met2 ( 257830 3740 0 ) ( * 14110 )
NEW met1 ( 257830 14110 ) ( 262430 * )
NEW met1 ( 257830 14110 ) M1M2_PR
NEW li1 ( 262430 14110 ) L1M1_PR ;
- net158 ( PIN la_data_out[125] ) ( macro_15_158 LO ) + USE SIGNAL
+ ROUTED met2 ( 259210 3740 0 ) ( * 12410 )
NEW met1 ( 259210 12410 ) ( 267030 * )
NEW met1 ( 259210 12410 ) M1M2_PR
NEW li1 ( 267030 12410 ) L1M1_PR ;
- net159 ( PIN la_data_out[126] ) ( macro_15_159 LO ) + USE SIGNAL
+ ROUTED met2 ( 260590 3740 0 ) ( * 17510 )
NEW met1 ( 260590 17510 ) ( 261050 * )
NEW met1 ( 260590 17510 ) M1M2_PR
NEW li1 ( 261050 17510 ) L1M1_PR ;
- net16 ( input16 X ) ( _270_ B1 ) ( _218_ A ) ( _214_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 224250 278630 ) ( * 278970 )
NEW met1 ( 224250 278970 ) ( 237590 * )
NEW met2 ( 237590 278970 ) ( * 286790 )
NEW met1 ( 237590 286790 ) ( 244030 * )
NEW met2 ( 217810 278970 ) ( * 281690 )
NEW met1 ( 217810 278970 ) ( 224250 * )
NEW met1 ( 217810 273190 ) ( 219650 * )
NEW met2 ( 217810 273190 ) ( * 278970 )
NEW li1 ( 224250 278630 ) L1M1_PR
NEW met1 ( 237590 278970 ) M1M2_PR
NEW met1 ( 237590 286790 ) M1M2_PR
NEW li1 ( 244030 286790 ) L1M1_PR
NEW li1 ( 217810 281690 ) L1M1_PR
NEW met1 ( 217810 281690 ) M1M2_PR
NEW met1 ( 217810 278970 ) M1M2_PR
NEW li1 ( 219650 273190 ) L1M1_PR
NEW met1 ( 217810 273190 ) M1M2_PR
NEW met1 ( 217810 281690 ) RECT ( -355 -70 0 70 ) ;
- net160 ( PIN la_data_out[127] ) ( macro_15_160 LO ) + USE SIGNAL
+ ROUTED met2 ( 261970 3740 0 ) ( * 14450 )
NEW met1 ( 261970 14450 ) ( 265650 * )
NEW met1 ( 261970 14450 ) M1M2_PR
NEW li1 ( 265650 14450 ) L1M1_PR ;
- net161 ( PIN wbs_ack_o ) ( macro_15_161 LO ) + USE SIGNAL
+ ROUTED met2 ( 38410 3740 0 ) ( * 14110 )
NEW met1 ( 38410 14110 ) ( 38870 * )
NEW met1 ( 38410 14110 ) M1M2_PR
NEW li1 ( 38870 14110 ) L1M1_PR ;
- net162 ( PIN wbs_dat_o[0] ) ( macro_15_162 LO ) + USE SIGNAL
+ ROUTED met2 ( 41170 3740 0 ) ( * 12070 )
NEW met1 ( 38870 12070 ) ( 41170 * )
NEW met1 ( 41170 12070 ) M1M2_PR
NEW li1 ( 38870 12070 ) L1M1_PR ;
- net163 ( PIN wbs_dat_o[1] ) ( macro_15_163 LO ) + USE SIGNAL
+ ROUTED met2 ( 43010 3740 0 ) ( * 14110 )
NEW met1 ( 43010 14110 ) ( 43470 * )
NEW met1 ( 43010 14110 ) M1M2_PR
NEW li1 ( 43470 14110 ) L1M1_PR ;
- net164 ( PIN wbs_dat_o[2] ) ( macro_15_164 LO ) + USE SIGNAL
+ ROUTED met2 ( 44850 3740 0 ) ( * 12070 )
NEW met1 ( 42090 12070 ) ( 44850 * )
NEW met1 ( 44850 12070 ) M1M2_PR
NEW li1 ( 42090 12070 ) L1M1_PR ;
- net165 ( PIN wbs_dat_o[3] ) ( macro_15_165 LO ) + USE SIGNAL
+ ROUTED met2 ( 46690 3740 0 ) ( * 17510 )
NEW met1 ( 46690 17510 ) ( 47150 * )
NEW met1 ( 46690 17510 ) M1M2_PR
NEW li1 ( 47150 17510 ) L1M1_PR ;
- net166 ( PIN wbs_dat_o[4] ) ( macro_15_166 LO ) + USE SIGNAL
+ ROUTED met2 ( 48530 3740 0 ) ( * 12750 )
NEW met1 ( 45310 12750 ) ( 48530 * )
NEW met1 ( 48530 12750 ) M1M2_PR
NEW li1 ( 45310 12750 ) L1M1_PR ;
- net167 ( PIN wbs_dat_o[5] ) ( macro_15_167 LO ) + USE SIGNAL
+ ROUTED met2 ( 49910 3740 0 ) ( * 14110 )
NEW met1 ( 48070 14110 ) ( 49910 * )
NEW met1 ( 49910 14110 ) M1M2_PR
NEW li1 ( 48070 14110 ) L1M1_PR ;
- net168 ( PIN wbs_dat_o[6] ) ( macro_15_168 LO ) + USE SIGNAL
+ ROUTED met2 ( 51290 3740 0 ) ( * 12070 )
NEW met1 ( 48530 12070 ) ( 51290 * )
NEW met1 ( 51290 12070 ) M1M2_PR
NEW li1 ( 48530 12070 ) L1M1_PR ;
- net169 ( PIN wbs_dat_o[7] ) ( macro_15_169 LO ) + USE SIGNAL
+ ROUTED met2 ( 52670 3740 0 ) ( * 14110 )
NEW met1 ( 51290 14110 ) ( 52670 * )
NEW met1 ( 52670 14110 ) M1M2_PR
NEW li1 ( 51290 14110 ) L1M1_PR ;
- net17 ( input17 X ) ( _292_ A1 ) ( _291_ A1 ) ( _229_ A1 ) ( _215_ A ) + USE SIGNAL
+ ROUTED met1 ( 220110 284070 ) ( 221030 * )
NEW met2 ( 220110 284070 ) ( * 286450 )
NEW met1 ( 220110 286450 ) ( 250470 * )
NEW met1 ( 250470 286450 ) ( * 286790 )
NEW met1 ( 220110 281690 ) ( 223330 * )
NEW met2 ( 220110 281690 ) ( * 284070 )
NEW met2 ( 220110 278630 ) ( * 281690 )
NEW met1 ( 216430 284070 ) ( 220110 * )
NEW li1 ( 221030 284070 ) L1M1_PR
NEW met1 ( 220110 284070 ) M1M2_PR
NEW met1 ( 220110 286450 ) M1M2_PR
NEW li1 ( 250470 286790 ) L1M1_PR
NEW li1 ( 223330 281690 ) L1M1_PR
NEW met1 ( 220110 281690 ) M1M2_PR
NEW li1 ( 220110 278630 ) L1M1_PR
NEW met1 ( 220110 278630 ) M1M2_PR
NEW li1 ( 216430 284070 ) L1M1_PR
NEW met1 ( 220110 278630 ) RECT ( 0 -70 355 70 ) ;
- net170 ( PIN wbs_dat_o[8] ) ( macro_15_170 LO ) + USE SIGNAL
+ ROUTED met2 ( 54050 3740 0 ) ( * 17510 )
NEW met1 ( 54050 17510 ) ( 54510 * )
NEW met1 ( 54050 17510 ) M1M2_PR
NEW li1 ( 54510 17510 ) L1M1_PR ;
- net171 ( PIN wbs_dat_o[9] ) ( macro_15_171 LO ) + USE SIGNAL
+ ROUTED met2 ( 55430 3740 0 ) ( * 14110 )
NEW met1 ( 54510 14110 ) ( 55430 * )
NEW met1 ( 55430 14110 ) M1M2_PR
NEW li1 ( 54510 14110 ) L1M1_PR ;
- net172 ( PIN wbs_dat_o[10] ) ( macro_15_172 LO ) + USE SIGNAL
+ ROUTED met2 ( 56810 3740 0 ) ( * 12750 )
NEW met1 ( 53590 12750 ) ( 56810 * )
NEW met1 ( 56810 12750 ) M1M2_PR
NEW li1 ( 53590 12750 ) L1M1_PR ;
- net173 ( PIN wbs_dat_o[11] ) ( macro_15_173 LO ) + USE SIGNAL
+ ROUTED met2 ( 58190 3740 0 ) ( * 14110 )
NEW met1 ( 57730 14110 ) ( 58190 * )
NEW met1 ( 58190 14110 ) M1M2_PR
NEW li1 ( 57730 14110 ) L1M1_PR ;
- net174 ( PIN wbs_dat_o[12] ) ( macro_15_174 LO ) + USE SIGNAL
+ ROUTED met2 ( 59570 3740 0 ) ( * 12070 )
NEW met1 ( 56810 12070 ) ( 59570 * )
NEW met1 ( 59570 12070 ) M1M2_PR
NEW li1 ( 56810 12070 ) L1M1_PR ;
- net175 ( PIN wbs_dat_o[13] ) ( macro_15_175 LO ) + USE SIGNAL
+ ROUTED met2 ( 60950 3740 0 ) ( * 14110 )
NEW li1 ( 60950 14110 ) L1M1_PR
NEW met1 ( 60950 14110 ) M1M2_PR
NEW met1 ( 60950 14110 ) RECT ( -355 -70 0 70 ) ;
- net176 ( PIN wbs_dat_o[14] ) ( macro_15_176 LO ) + USE SIGNAL
+ ROUTED met2 ( 62330 3740 0 ) ( * 17510 )
NEW met1 ( 62330 17510 ) ( 62790 * )
NEW met1 ( 62330 17510 ) M1M2_PR
NEW li1 ( 62790 17510 ) L1M1_PR ;
- net177 ( PIN wbs_dat_o[15] ) ( macro_15_177 LO ) + USE SIGNAL
+ ROUTED met2 ( 63710 3740 0 ) ( * 12410 )
NEW met1 ( 60030 12410 ) ( 63710 * )
NEW met1 ( 63710 12410 ) M1M2_PR
NEW li1 ( 60030 12410 ) L1M1_PR ;
- net178 ( PIN wbs_dat_o[16] ) ( macro_15_178 LO ) + USE SIGNAL
+ ROUTED met2 ( 65090 3740 0 ) ( * 14110 )
NEW met1 ( 64170 14110 ) ( 65090 * )
NEW met1 ( 65090 14110 ) M1M2_PR
NEW li1 ( 64170 14110 ) L1M1_PR ;
- net179 ( PIN wbs_dat_o[17] ) ( macro_15_179 LO ) + USE SIGNAL
+ ROUTED met2 ( 66470 3740 0 ) ( * 12070 )
NEW met1 ( 63250 12070 ) ( 66470 * )
NEW met1 ( 66470 12070 ) M1M2_PR
NEW li1 ( 63250 12070 ) L1M1_PR ;
- net18 ( ANTENNA__193__B DIODE ) ( ANTENNA__237__B2 DIODE ) ( ANTENNA__251__A2 DIODE ) ( ANTENNA__252__A2 DIODE ) ( ANTENNA__264__A1 DIODE ) ( ANTENNA__265__A DIODE ) ( ANTENNA__285__A2 DIODE )
( ANTENNA__286__A2 DIODE ) ( input18 X ) ( _286_ A2 ) ( _285_ A2 ) ( _265_ A ) ( _264_ A1 ) ( _252_ A2 ) ( _251_ A2 )
( _237_ B2 ) ( _193_ B ) + USE SIGNAL
+ ROUTED met2 ( 258290 278460 ) ( * 286110 )
NEW met1 ( 186070 274210 ) ( 186530 * )
NEW met2 ( 186530 274210 ) ( * 278460 )
NEW met1 ( 181465 275570 ) ( * 276250 )
NEW met1 ( 181465 275570 ) ( 186530 * )
NEW met1 ( 186530 275230 ) ( * 275570 )
NEW met1 ( 177330 268770 ) ( 177790 * )
NEW met2 ( 177790 268770 ) ( * 276250 )
NEW met1 ( 177790 276250 ) ( 181465 * )
NEW met1 ( 174570 268770 ) ( 177330 * )
NEW met2 ( 174570 265710 ) ( * 268770 )
NEW met1 ( 171810 265710 ) ( 174570 * )
NEW met1 ( 172730 280670 ) ( 178710 * )
NEW met2 ( 178710 276250 ) ( * 280670 )
NEW met1 ( 166290 265710 ) ( 171810 * )
NEW met2 ( 166750 280670 ) ( * 281690 )
NEW met1 ( 166750 280670 ) ( 172730 * )
NEW met1 ( 164450 276250 ) ( 166750 * )
NEW met2 ( 166750 276250 ) ( * 280670 )
NEW met1 ( 163070 265710 ) ( 166290 * )
NEW met2 ( 161690 275910 ) ( * 277950 )
NEW met1 ( 161690 275910 ) ( 164450 * )
NEW met1 ( 164450 275910 ) ( * 276250 )
NEW met2 ( 161690 277950 ) ( * 284070 )
NEW met1 ( 166290 284750 ) ( 166750 * )
NEW met2 ( 166750 281690 ) ( * 284750 )
NEW met1 ( 166290 286110 ) ( 166750 * )
NEW met2 ( 166750 284750 ) ( * 286110 )
NEW met2 ( 171350 286110 ) ( * 287130 )
NEW met1 ( 166750 286110 ) ( 171350 * )
NEW met3 ( 186530 278460 ) ( 258290 * )
NEW met2 ( 258290 278460 ) M2M3_PR
NEW li1 ( 258290 286110 ) L1M1_PR
NEW met1 ( 258290 286110 ) M1M2_PR
NEW li1 ( 186070 274210 ) L1M1_PR
NEW met1 ( 186530 274210 ) M1M2_PR
NEW met2 ( 186530 278460 ) M2M3_PR
NEW li1 ( 181465 276250 ) L1M1_PR
NEW met1 ( 186530 275230 ) M1M2_PR
NEW li1 ( 177330 268770 ) L1M1_PR
NEW met1 ( 177790 268770 ) M1M2_PR
NEW met1 ( 177790 276250 ) M1M2_PR
NEW li1 ( 174570 268770 ) L1M1_PR
NEW li1 ( 174570 265710 ) L1M1_PR
NEW met1 ( 174570 265710 ) M1M2_PR
NEW met1 ( 174570 268770 ) M1M2_PR
NEW li1 ( 171810 265710 ) L1M1_PR
NEW li1 ( 172730 280670 ) L1M1_PR
NEW met1 ( 178710 280670 ) M1M2_PR
NEW met1 ( 178710 276250 ) M1M2_PR
NEW li1 ( 166290 265710 ) L1M1_PR
NEW li1 ( 166750 281690 ) L1M1_PR
NEW met1 ( 166750 281690 ) M1M2_PR
NEW met1 ( 166750 280670 ) M1M2_PR
NEW li1 ( 164450 276250 ) L1M1_PR
NEW met1 ( 166750 276250 ) M1M2_PR
NEW li1 ( 163070 265710 ) L1M1_PR
NEW li1 ( 161690 277950 ) L1M1_PR
NEW met1 ( 161690 277950 ) M1M2_PR
NEW met1 ( 161690 275910 ) M1M2_PR
NEW li1 ( 161690 284070 ) L1M1_PR
NEW met1 ( 161690 284070 ) M1M2_PR
NEW li1 ( 166290 284750 ) L1M1_PR
NEW met1 ( 166750 284750 ) M1M2_PR
NEW li1 ( 166290 286110 ) L1M1_PR
NEW met1 ( 166750 286110 ) M1M2_PR
NEW li1 ( 171350 287130 ) L1M1_PR
NEW met1 ( 171350 287130 ) M1M2_PR
NEW met1 ( 171350 286110 ) M1M2_PR
NEW met1 ( 258290 286110 ) RECT ( -355 -70 0 70 )
NEW met2 ( 186530 275230 ) RECT ( -70 -485 70 0 )
NEW met1 ( 174570 265710 ) RECT ( -355 -70 0 70 )
NEW met1 ( 174570 268770 ) RECT ( -595 -70 0 70 )
NEW met1 ( 178710 276250 ) RECT ( -595 -70 0 70 )
NEW met1 ( 166750 281690 ) RECT ( -355 -70 0 70 )
NEW met1 ( 161690 277950 ) RECT ( -355 -70 0 70 )
NEW met1 ( 161690 284070 ) RECT ( -355 -70 0 70 )
NEW met1 ( 171350 287130 ) RECT ( 0 -70 355 70 ) ;
- net180 ( PIN wbs_dat_o[18] ) ( macro_15_180 LO ) + USE SIGNAL
+ ROUTED met2 ( 67850 3740 0 ) ( * 17510 )
NEW met1 ( 67850 17510 ) ( 68310 * )
NEW met1 ( 67850 17510 ) M1M2_PR
NEW li1 ( 68310 17510 ) L1M1_PR ;
- net181 ( PIN wbs_dat_o[19] ) ( macro_15_181 LO ) + USE SIGNAL
+ ROUTED met2 ( 69230 3740 0 ) ( * 14110 )
NEW met1 ( 68310 14110 ) ( 69230 * )
NEW met1 ( 69230 14110 ) M1M2_PR
NEW li1 ( 68310 14110 ) L1M1_PR ;
- net182 ( PIN wbs_dat_o[20] ) ( macro_15_182 LO ) + USE SIGNAL
+ ROUTED met2 ( 70610 3740 0 ) ( * 12070 )
NEW met1 ( 68310 12070 ) ( 70610 * )
NEW met1 ( 70610 12070 ) M1M2_PR
NEW li1 ( 68310 12070 ) L1M1_PR ;
- net183 ( PIN wbs_dat_o[21] ) ( macro_15_183 LO ) + USE SIGNAL
+ ROUTED met2 ( 71990 3740 0 ) ( * 14110 )
NEW met1 ( 71530 14110 ) ( 71990 * )
NEW met1 ( 71990 14110 ) M1M2_PR
NEW li1 ( 71530 14110 ) L1M1_PR ;
- net184 ( PIN wbs_dat_o[22] ) ( macro_15_184 LO ) + USE SIGNAL
+ ROUTED met2 ( 73370 3740 0 ) ( * 17510 )
NEW met1 ( 73370 17510 ) ( 73830 * )
NEW met1 ( 73370 17510 ) M1M2_PR
NEW li1 ( 73830 17510 ) L1M1_PR ;
- net185 ( PIN wbs_dat_o[23] ) ( macro_15_185 LO ) + USE SIGNAL
+ ROUTED met2 ( 74750 3740 0 ) ( * 12750 )
NEW met1 ( 71530 12750 ) ( 74750 * )
NEW met1 ( 74750 12750 ) M1M2_PR
NEW li1 ( 71530 12750 ) L1M1_PR ;
- net186 ( PIN wbs_dat_o[24] ) ( macro_15_186 LO ) + USE SIGNAL
+ ROUTED met2 ( 76130 3740 0 ) ( * 14110 )
NEW met1 ( 74750 14110 ) ( 76130 * )
NEW met1 ( 76130 14110 ) M1M2_PR
NEW li1 ( 74750 14110 ) L1M1_PR ;
- net187 ( PIN wbs_dat_o[25] ) ( macro_15_187 LO ) + USE SIGNAL
+ ROUTED met2 ( 77510 3740 0 ) ( * 17510 )
NEW met1 ( 77510 17510 ) ( 77970 * )
NEW met1 ( 77510 17510 ) M1M2_PR
NEW li1 ( 77970 17510 ) L1M1_PR ;
- net188 ( PIN wbs_dat_o[26] ) ( macro_15_188 LO ) + USE SIGNAL
+ ROUTED met2 ( 78890 3740 0 ) ( * 11730 )
NEW met1 ( 74750 11730 ) ( 78890 * )
NEW met1 ( 74750 11730 ) ( * 12070 )
NEW met1 ( 78890 11730 ) M1M2_PR
NEW li1 ( 74750 12070 ) L1M1_PR ;
- net189 ( PIN wbs_dat_o[27] ) ( macro_15_189 LO ) + USE SIGNAL
+ ROUTED met2 ( 80270 3740 0 ) ( * 14110 )
NEW met1 ( 77970 14110 ) ( 80270 * )
NEW met1 ( 80270 14110 ) M1M2_PR
NEW li1 ( 77970 14110 ) L1M1_PR ;
- net19 ( ANTENNA__193__A_N DIODE ) ( ANTENNA__211__B1 DIODE ) ( ANTENNA__236__A DIODE ) ( ANTENNA__239__A2 DIODE ) ( ANTENNA__253__A1 DIODE ) ( ANTENNA__268__B1 DIODE ) ( ANTENNA__269__A1 DIODE )
( ANTENNA__284__A DIODE ) ( ANTENNA__295__A1 DIODE ) ( input19 X ) ( _295_ A1 ) ( _284_ A ) ( _269_ A1 ) ( _268_ B1 ) ( _253_ A1 )
( _239_ A2 ) ( _236_ A ) ( _211_ B1 ) ( _193_ A_N ) + USE SIGNAL
+ ROUTED met2 ( 263810 276420 ) ( * 286110 )
NEW met2 ( 135930 286110 ) ( * 287130 )
NEW met1 ( 133630 286110 ) ( 135930 * )
NEW met2 ( 144210 284070 ) ( * 286110 )
NEW met1 ( 137770 286110 ) ( 144210 * )
NEW met2 ( 137770 286110 ) ( * 286620 )
NEW met2 ( 135930 286620 ) ( 137770 * )
NEW met1 ( 181930 276250 ) ( 182390 * )
NEW met2 ( 182390 276250 ) ( * 276420 )
NEW met1 ( 182390 271490 ) ( 183310 * )
NEW met2 ( 182390 271490 ) ( * 276250 )
NEW met1 ( 171810 268430 ) ( 182390 * )
NEW met2 ( 182390 268430 ) ( * 271490 )
NEW met2 ( 168590 268430 ) ( * 276250 )
NEW met1 ( 168590 268430 ) ( 171810 * )
NEW met2 ( 168590 266050 ) ( * 268430 )
NEW met1 ( 166750 278630 ) ( 168590 * )
NEW met2 ( 168590 276250 ) ( * 278630 )
NEW met1 ( 157090 269790 ) ( 168590 * )
NEW met2 ( 155710 269790 ) ( * 278290 )
NEW met1 ( 155710 269790 ) ( 157090 * )
NEW met1 ( 155250 272850 ) ( 155710 * )
NEW met1 ( 152030 277950 ) ( 155710 * )
NEW met1 ( 155710 277950 ) ( * 278290 )
NEW met2 ( 153410 277950 ) ( * 280670 )
NEW met1 ( 153410 284410 ) ( 155250 * )
NEW met2 ( 153410 280670 ) ( * 284410 )
NEW met2 ( 146510 283900 ) ( * 284070 )
NEW met3 ( 146510 283900 ) ( 153410 * )
NEW met1 ( 146050 268430 ) ( 155710 * )
NEW met2 ( 155710 268430 ) ( * 269790 )
NEW met2 ( 146970 278970 ) ( * 283900 )
NEW met2 ( 146510 283900 ) ( 146970 * )
NEW met1 ( 137770 268430 ) ( 146050 * )
NEW met1 ( 144670 278970 ) ( 146970 * )
NEW met1 ( 144210 284070 ) ( 146510 * )
NEW met3 ( 182390 276420 ) ( 263810 * )
NEW met2 ( 263810 276420 ) M2M3_PR
NEW li1 ( 263810 286110 ) L1M1_PR
NEW met1 ( 263810 286110 ) M1M2_PR
NEW li1 ( 135930 287130 ) L1M1_PR
NEW met1 ( 135930 287130 ) M1M2_PR
NEW met1 ( 135930 286110 ) M1M2_PR
NEW li1 ( 133630 286110 ) L1M1_PR
NEW met1 ( 144210 284070 ) M1M2_PR
NEW met1 ( 144210 286110 ) M1M2_PR
NEW met1 ( 137770 286110 ) M1M2_PR
NEW li1 ( 144670 278970 ) L1M1_PR
NEW li1 ( 137770 268430 ) L1M1_PR
NEW li1 ( 181930 276250 ) L1M1_PR
NEW met1 ( 182390 276250 ) M1M2_PR
NEW met2 ( 182390 276420 ) M2M3_PR
NEW li1 ( 183310 271490 ) L1M1_PR
NEW met1 ( 182390 271490 ) M1M2_PR
NEW li1 ( 171810 268430 ) L1M1_PR
NEW met1 ( 182390 268430 ) M1M2_PR
NEW li1 ( 168590 276250 ) L1M1_PR
NEW met1 ( 168590 276250 ) M1M2_PR
NEW met1 ( 168590 268430 ) M1M2_PR
NEW li1 ( 168590 266050 ) L1M1_PR
NEW met1 ( 168590 266050 ) M1M2_PR
NEW li1 ( 166750 278630 ) L1M1_PR
NEW met1 ( 168590 278630 ) M1M2_PR
NEW li1 ( 157090 269790 ) L1M1_PR
NEW met1 ( 168590 269790 ) M1M2_PR
NEW li1 ( 155710 278290 ) L1M1_PR
NEW met1 ( 155710 278290 ) M1M2_PR
NEW met1 ( 155710 269790 ) M1M2_PR
NEW li1 ( 155250 272850 ) L1M1_PR
NEW met1 ( 155710 272850 ) M1M2_PR
NEW li1 ( 152030 277950 ) L1M1_PR
NEW li1 ( 153410 280670 ) L1M1_PR
NEW met1 ( 153410 280670 ) M1M2_PR
NEW met1 ( 153410 277950 ) M1M2_PR
NEW li1 ( 155250 284410 ) L1M1_PR
NEW met1 ( 153410 284410 ) M1M2_PR
NEW li1 ( 146510 284070 ) L1M1_PR
NEW met1 ( 146510 284070 ) M1M2_PR
NEW met2 ( 146510 283900 ) M2M3_PR
NEW met2 ( 153410 283900 ) M2M3_PR
NEW li1 ( 146050 268430 ) L1M1_PR
NEW met1 ( 155710 268430 ) M1M2_PR
NEW met1 ( 146970 278970 ) M1M2_PR
NEW met1 ( 263810 286110 ) RECT ( -355 -70 0 70 )
NEW met1 ( 135930 287130 ) RECT ( 0 -70 355 70 )
NEW met1 ( 168590 276250 ) RECT ( -355 -70 0 70 )
NEW met1 ( 168590 266050 ) RECT ( -355 -70 0 70 )
NEW met2 ( 168590 269790 ) RECT ( -70 -485 70 0 )
NEW met1 ( 155710 278290 ) RECT ( -355 -70 0 70 )
NEW met2 ( 155710 272850 ) RECT ( -70 -485 70 0 )
NEW met1 ( 153410 280670 ) RECT ( -355 -70 0 70 )
NEW met1 ( 153410 277950 ) RECT ( -595 -70 0 70 )
NEW met1 ( 146510 284070 ) RECT ( -355 -70 0 70 )
NEW met2 ( 153410 283900 ) RECT ( -70 -485 70 0 ) ;
- net190 ( PIN wbs_dat_o[28] ) ( macro_15_190 LO ) + USE SIGNAL
+ ROUTED met2 ( 81650 3740 0 ) ( * 12070 )
NEW met1 ( 77970 12070 ) ( 81650 * )
NEW met1 ( 81650 12070 ) M1M2_PR
NEW li1 ( 77970 12070 ) L1M1_PR ;
- net191 ( PIN wbs_dat_o[29] ) ( macro_15_191 LO ) + USE SIGNAL
+ ROUTED met2 ( 83030 3740 0 ) ( * 17510 )
NEW met1 ( 83030 17510 ) ( 83490 * )
NEW met1 ( 83030 17510 ) M1M2_PR
NEW li1 ( 83490 17510 ) L1M1_PR ;
- net192 ( PIN wbs_dat_o[30] ) ( macro_15_192 LO ) + USE SIGNAL
+ ROUTED met2 ( 84410 3740 0 ) ( * 14790 )
NEW met1 ( 81190 14790 ) ( 84410 * )
NEW met1 ( 84410 14790 ) M1M2_PR
NEW li1 ( 81190 14790 ) L1M1_PR ;
- net193 ( PIN wbs_dat_o[31] ) ( macro_15_193 LO ) + USE SIGNAL
+ ROUTED met2 ( 85790 3740 0 ) ( * 14110 )
NEW met1 ( 84410 14110 ) ( 85790 * )
NEW met1 ( 85790 14110 ) M1M2_PR
NEW li1 ( 84410 14110 ) L1M1_PR ;
- net194 ( _519_ A ) ( _519__194 LO ) + USE SIGNAL
+ ROUTED met2 ( 126730 26010 ) ( * 30430 )
NEW li1 ( 126730 26010 ) L1M1_PR
NEW met1 ( 126730 26010 ) M1M2_PR
NEW li1 ( 126730 30430 ) L1M1_PR
NEW met1 ( 126730 30430 ) M1M2_PR
NEW met1 ( 126730 26010 ) RECT ( -355 -70 0 70 )
NEW met1 ( 126730 30430 ) RECT ( -355 -70 0 70 ) ;
- net195 ( _520_ A ) ( _520__195 LO ) + USE SIGNAL
+ ROUTED met2 ( 129490 23290 ) ( * 24990 )
NEW met1 ( 123970 24990 ) ( 129490 * )
NEW li1 ( 129490 23290 ) L1M1_PR
NEW met1 ( 129490 23290 ) M1M2_PR
NEW met1 ( 129490 24990 ) M1M2_PR
NEW li1 ( 123970 24990 ) L1M1_PR
NEW met1 ( 129490 23290 ) RECT ( -355 -70 0 70 ) ;
- net196 ( _521_ A ) ( _521__196 LO ) + USE SIGNAL
+ ROUTED met2 ( 129490 17510 ) ( * 20230 )
NEW met1 ( 117530 20230 ) ( 129490 * )
NEW li1 ( 129490 17510 ) L1M1_PR
NEW met1 ( 129490 17510 ) M1M2_PR
NEW met1 ( 129490 20230 ) M1M2_PR
NEW li1 ( 117530 20230 ) L1M1_PR
NEW met1 ( 129490 17510 ) RECT ( -355 -70 0 70 ) ;
- net197 ( _522_ A ) ( _522__197 LO ) + USE SIGNAL
+ ROUTED met1 ( 112470 13090 ) ( 117990 * )
NEW met2 ( 117990 13090 ) ( * 17510 )
NEW li1 ( 112470 13090 ) L1M1_PR
NEW met1 ( 117990 13090 ) M1M2_PR
NEW li1 ( 117990 17510 ) L1M1_PR
NEW met1 ( 117990 17510 ) M1M2_PR
NEW met1 ( 117990 17510 ) RECT ( -355 -70 0 70 ) ;
- net198 ( _523_ A ) ( _523__198 LO ) + USE SIGNAL
+ ROUTED met1 ( 115690 12410 ) ( 127650 * )
NEW li1 ( 127650 12410 ) L1M1_PR
NEW li1 ( 115690 12410 ) L1M1_PR ;
- net199 ( _524_ A ) ( _524__199 LO ) + USE SIGNAL
+ ROUTED met1 ( 127190 28390 ) ( 129490 * )
NEW li1 ( 129490 28390 ) L1M1_PR
NEW li1 ( 127190 28390 ) L1M1_PR ;
- net2 ( input2 X ) ( _239_ A3 ) ( _238_ A2 ) ( _237_ A2_N ) ( _203_ A ) + USE SIGNAL
+ ROUTED met2 ( 159390 275230 ) ( * 280670 )
NEW met2 ( 159850 280670 ) ( * 283390 )
NEW met2 ( 159390 280670 ) ( 159850 * )
NEW met2 ( 154790 279650 ) ( * 284070 )
NEW met1 ( 138690 279650 ) ( 154790 * )
NEW met1 ( 154790 280670 ) ( 159390 * )
NEW li1 ( 159390 280670 ) L1M1_PR
NEW met1 ( 159390 280670 ) M1M2_PR
NEW li1 ( 159390 275230 ) L1M1_PR
NEW met1 ( 159390 275230 ) M1M2_PR
NEW li1 ( 159850 283390 ) L1M1_PR
NEW met1 ( 159850 283390 ) M1M2_PR
NEW li1 ( 154790 284070 ) L1M1_PR
NEW met1 ( 154790 284070 ) M1M2_PR
NEW met1 ( 154790 279650 ) M1M2_PR
NEW li1 ( 138690 279650 ) L1M1_PR
NEW met1 ( 154790 280670 ) M1M2_PR
NEW met1 ( 159390 280670 ) RECT ( -355 -70 0 70 )
NEW met1 ( 159390 275230 ) RECT ( -355 -70 0 70 )
NEW met1 ( 159850 283390 ) RECT ( 0 -70 355 70 )
NEW met1 ( 154790 284070 ) RECT ( -355 -70 0 70 )
NEW met2 ( 154790 280670 ) RECT ( -70 -485 70 0 ) ;
- net20 ( input20 X ) ( _292_ A2 ) ( _291_ A2 ) ( _271_ A ) ( _270_ A1 ) ( _257_ A2 ) ( _256_ A2 )
( _241_ B2 ) ( _213_ B ) + USE SIGNAL
+ ROUTED met1 ( 227470 281690 ) ( 227925 * )
NEW met2 ( 227470 281690 ) ( * 287130 )
NEW met1 ( 227470 287130 ) ( 229770 * )
NEW met1 ( 229770 287130 ) ( * 287470 )
NEW met1 ( 229770 287470 ) ( 250930 * )
NEW met1 ( 250930 286450 ) ( * 287470 )
NEW met1 ( 222870 284070 ) ( 223330 * )
NEW met1 ( 223330 284070 ) ( * 284750 )
NEW met1 ( 223330 284750 ) ( 227470 * )
NEW met2 ( 216890 282030 ) ( * 286790 )
NEW met1 ( 216890 286790 ) ( 221030 * )
NEW met1 ( 221030 286790 ) ( * 287130 )
NEW met1 ( 221030 287130 ) ( 227470 * )
NEW met2 ( 215970 282030 ) ( * 284070 )
NEW met1 ( 215970 282030 ) ( 216890 * )
NEW met1 ( 213670 279650 ) ( 215970 * )
NEW met2 ( 215970 279650 ) ( * 282030 )
NEW met1 ( 209530 287470 ) ( * 287810 )
NEW met1 ( 209530 287810 ) ( 216890 * )
NEW met2 ( 216890 286790 ) ( * 287810 )
NEW met1 ( 208610 284070 ) ( 210910 * )
NEW met2 ( 210910 284070 ) ( * 287810 )
NEW met2 ( 210910 281690 ) ( * 284070 )
NEW met1 ( 250930 286450 ) ( 273010 * )
NEW li1 ( 273010 286450 ) L1M1_PR
NEW li1 ( 227925 281690 ) L1M1_PR
NEW met1 ( 227470 281690 ) M1M2_PR
NEW met1 ( 227470 287130 ) M1M2_PR
NEW li1 ( 222870 284070 ) L1M1_PR
NEW met1 ( 227470 284750 ) M1M2_PR
NEW li1 ( 216890 282030 ) L1M1_PR
NEW met1 ( 216890 282030 ) M1M2_PR
NEW met1 ( 216890 286790 ) M1M2_PR
NEW li1 ( 215970 284070 ) L1M1_PR
NEW met1 ( 215970 284070 ) M1M2_PR
NEW met1 ( 215970 282030 ) M1M2_PR
NEW li1 ( 213670 279650 ) L1M1_PR
NEW met1 ( 215970 279650 ) M1M2_PR
NEW li1 ( 209530 287470 ) L1M1_PR
NEW met1 ( 216890 287810 ) M1M2_PR
NEW li1 ( 208610 284070 ) L1M1_PR
NEW met1 ( 210910 284070 ) M1M2_PR
NEW met1 ( 210910 287810 ) M1M2_PR
NEW li1 ( 210910 281690 ) L1M1_PR
NEW met1 ( 210910 281690 ) M1M2_PR
NEW met2 ( 227470 284750 ) RECT ( -70 -485 70 0 )
NEW met1 ( 216890 282030 ) RECT ( -355 -70 0 70 )
NEW met1 ( 215970 284070 ) RECT ( -355 -70 0 70 )
NEW met1 ( 210910 287810 ) RECT ( -595 -70 0 70 )
NEW met1 ( 210910 281690 ) RECT ( -355 -70 0 70 ) ;
- net200 ( _525_ A ) ( _525__200 LO ) + USE SIGNAL
+ ROUTED met1 ( 131330 30770 ) ( 138690 * )
NEW met2 ( 138690 30770 ) ( * 36550 )
NEW li1 ( 131330 30770 ) L1M1_PR
NEW met1 ( 138690 30770 ) M1M2_PR
NEW li1 ( 138690 36550 ) L1M1_PR
NEW met1 ( 138690 36550 ) M1M2_PR
NEW met1 ( 138690 36550 ) RECT ( -355 -70 0 70 ) ;
- net201 ( _526_ A ) ( _526__201 LO ) + USE SIGNAL
+ ROUTED met2 ( 138230 31450 ) ( * 33830 )
NEW met1 ( 138230 33830 ) ( 138690 * )
NEW li1 ( 138230 31450 ) L1M1_PR
NEW met1 ( 138230 31450 ) M1M2_PR
NEW met1 ( 138230 33830 ) M1M2_PR
NEW li1 ( 138690 33830 ) L1M1_PR
NEW met1 ( 138230 31450 ) RECT ( -355 -70 0 70 ) ;
- net202 ( _527_ A ) ( _527__202 LO ) + USE SIGNAL
+ ROUTED met2 ( 136390 17510 ) ( * 23630 )
NEW met1 ( 136390 17510 ) ( 141450 * )
NEW met1 ( 127190 23630 ) ( 136390 * )
NEW li1 ( 127190 23630 ) L1M1_PR
NEW met1 ( 136390 23630 ) M1M2_PR
NEW met1 ( 136390 17510 ) M1M2_PR
NEW li1 ( 141450 17510 ) L1M1_PR ;
- net203 ( _528_ A ) ( _528__203 LO ) + USE SIGNAL
+ ROUTED met2 ( 139610 15130 ) ( * 23970 )
NEW met1 ( 123970 23970 ) ( 139610 * )
NEW li1 ( 123970 23970 ) L1M1_PR
NEW met1 ( 139610 23970 ) M1M2_PR
NEW li1 ( 139610 15130 ) L1M1_PR
NEW met1 ( 139610 15130 ) M1M2_PR
NEW met1 ( 139610 15130 ) RECT ( -355 -70 0 70 ) ;
- net204 ( _529_ A ) ( _529__204 LO ) + USE SIGNAL
+ ROUTED met2 ( 114310 15130 ) ( * 18530 )
NEW met2 ( 133170 18530 ) ( * 20230 )
NEW met1 ( 114310 18530 ) ( 133170 * )
NEW li1 ( 114310 15130 ) L1M1_PR
NEW met1 ( 114310 15130 ) M1M2_PR
NEW met1 ( 114310 18530 ) M1M2_PR
NEW met1 ( 133170 18530 ) M1M2_PR
NEW li1 ( 133170 20230 ) L1M1_PR
NEW met1 ( 133170 20230 ) M1M2_PR
NEW met1 ( 114310 15130 ) RECT ( -355 -70 0 70 )
NEW met1 ( 133170 20230 ) RECT ( -355 -70 0 70 ) ;
- net205 ( _530_ A ) ( _530__205 LO ) + USE SIGNAL
+ ROUTED met1 ( 118910 13090 ) ( 128110 * )
NEW met2 ( 128110 13090 ) ( * 14790 )
NEW li1 ( 118910 13090 ) L1M1_PR
NEW met1 ( 128110 13090 ) M1M2_PR
NEW li1 ( 128110 14790 ) L1M1_PR
NEW met1 ( 128110 14790 ) M1M2_PR
NEW met1 ( 128110 14790 ) RECT ( -355 -70 0 70 ) ;
- net206 ( _531_ A ) ( _531__206 LO ) + USE SIGNAL
+ ROUTED met1 ( 136850 14450 ) ( * 15130 )
NEW met1 ( 136850 15130 ) ( 138230 * )
NEW met2 ( 138230 12410 ) ( * 15130 )
NEW met1 ( 138230 12410 ) ( 142370 * )
NEW met1 ( 120750 14450 ) ( 136850 * )
NEW li1 ( 120750 14450 ) L1M1_PR
NEW met1 ( 138230 15130 ) M1M2_PR
NEW met1 ( 138230 12410 ) M1M2_PR
NEW li1 ( 142370 12410 ) L1M1_PR ;
- net207 ( _532_ A ) ( _532__207 LO ) + USE SIGNAL
+ ROUTED met1 ( 142830 20570 ) ( 144670 * )
NEW met2 ( 142830 20570 ) ( * 22950 )
NEW li1 ( 144670 20570 ) L1M1_PR
NEW met1 ( 142830 20570 ) M1M2_PR
NEW li1 ( 142830 22950 ) L1M1_PR
NEW met1 ( 142830 22950 ) M1M2_PR
NEW met1 ( 142830 22950 ) RECT ( -355 -70 0 70 ) ;
- net208 ( _533_ A ) ( _533__208 LO ) + USE SIGNAL
+ ROUTED met2 ( 144670 26010 ) ( * 28390 )
NEW li1 ( 144670 26010 ) L1M1_PR
NEW met1 ( 144670 26010 ) M1M2_PR
NEW li1 ( 144670 28390 ) L1M1_PR
NEW met1 ( 144670 28390 ) M1M2_PR
NEW met1 ( 144670 26010 ) RECT ( -355 -70 0 70 )
NEW met1 ( 144670 28390 ) RECT ( -355 -70 0 70 ) ;
- net209 ( _534_ A ) ( _534__209 LO ) + USE SIGNAL
+ ROUTED met1 ( 143750 34170 ) ( 147430 * )
NEW met2 ( 147430 34170 ) ( * 39270 )
NEW met1 ( 147430 34170 ) M1M2_PR
NEW li1 ( 143750 34170 ) L1M1_PR
NEW li1 ( 147430 39270 ) L1M1_PR
NEW met1 ( 147430 39270 ) M1M2_PR
NEW met1 ( 147430 39270 ) RECT ( -355 -70 0 70 ) ;
- net21 ( ANTENNA__213__A_N DIODE ) ( ANTENNA__231__B1 DIODE ) ( ANTENNA__240__A DIODE ) ( ANTENNA__243__A2 DIODE ) ( ANTENNA__258__A1 DIODE ) ( ANTENNA__274__B1 DIODE ) ( ANTENNA__275__A1 DIODE )
( input21 X ) ( _275_ A1 ) ( _274_ B1 ) ( _258_ A1 ) ( _243_ A2 ) ( _240_ A ) ( _231_ B1 ) ( _213_ A_N ) + USE SIGNAL
+ ROUTED met2 ( 277150 279310 ) ( * 286110 )
NEW met1 ( 191130 284070 ) ( 193200 * )
NEW met2 ( 228390 279310 ) ( * 281690 )
NEW met1 ( 228390 279310 ) ( 231610 * )
NEW met1 ( 220110 276590 ) ( 228390 * )
NEW met2 ( 228390 276590 ) ( * 279310 )
NEW met1 ( 211830 283390 ) ( 212290 * )
NEW met2 ( 212290 276930 ) ( * 283390 )
NEW met1 ( 212290 276930 ) ( 220110 * )
NEW met1 ( 220110 276590 ) ( * 276930 )
NEW met1 ( 207230 281350 ) ( 207690 * )
NEW met2 ( 207230 281350 ) ( * 283390 )
NEW met1 ( 207230 283390 ) ( 211830 * )
NEW met2 ( 201250 281690 ) ( * 283220 )
NEW met3 ( 201250 283220 ) ( 207230 * )
NEW met1 ( 200790 276590 ) ( 201250 * )
NEW met2 ( 201250 276590 ) ( * 281690 )
NEW met1 ( 201710 286450 ) ( * 286790 )
NEW met1 ( 201250 286450 ) ( 201710 * )
NEW met1 ( 201250 286110 ) ( * 286450 )
NEW met2 ( 201250 283220 ) ( * 286110 )
NEW met1 ( 198490 271150 ) ( 201250 * )
NEW met2 ( 201250 271150 ) ( * 276590 )
NEW met1 ( 201250 268090 ) ( 204010 * )
NEW met2 ( 201250 268090 ) ( * 271150 )
NEW met1 ( 204010 268090 ) ( 206770 * )
NEW met2 ( 204010 266050 ) ( * 268090 )
NEW met1 ( 195730 270810 ) ( 198490 * )
NEW met1 ( 198490 270810 ) ( * 271150 )
NEW met1 ( 193200 284070 ) ( * 284410 )
NEW met1 ( 193200 284410 ) ( 201250 * )
NEW met1 ( 231610 279310 ) ( 277150 * )
NEW met1 ( 277150 279310 ) M1M2_PR
NEW li1 ( 277150 286110 ) L1M1_PR
NEW met1 ( 277150 286110 ) M1M2_PR
NEW li1 ( 191130 284070 ) L1M1_PR
NEW li1 ( 231610 279310 ) L1M1_PR
NEW li1 ( 228390 281690 ) L1M1_PR
NEW met1 ( 228390 281690 ) M1M2_PR
NEW met1 ( 228390 279310 ) M1M2_PR
NEW li1 ( 220110 276590 ) L1M1_PR
NEW met1 ( 228390 276590 ) M1M2_PR
NEW li1 ( 211830 283390 ) L1M1_PR
NEW met1 ( 212290 283390 ) M1M2_PR
NEW met1 ( 212290 276930 ) M1M2_PR
NEW li1 ( 207690 281350 ) L1M1_PR
NEW met1 ( 207230 281350 ) M1M2_PR
NEW met1 ( 207230 283390 ) M1M2_PR
NEW li1 ( 201250 281690 ) L1M1_PR
NEW met1 ( 201250 281690 ) M1M2_PR
NEW met2 ( 201250 283220 ) M2M3_PR
NEW met2 ( 207230 283220 ) M2M3_PR
NEW li1 ( 200790 276590 ) L1M1_PR
NEW met1 ( 201250 276590 ) M1M2_PR
NEW li1 ( 201710 286790 ) L1M1_PR
NEW met1 ( 201250 286110 ) M1M2_PR
NEW li1 ( 198490 271150 ) L1M1_PR
NEW met1 ( 201250 271150 ) M1M2_PR
NEW li1 ( 204010 268090 ) L1M1_PR
NEW met1 ( 201250 268090 ) M1M2_PR
NEW li1 ( 206770 268090 ) L1M1_PR
NEW li1 ( 204010 266050 ) L1M1_PR
NEW met1 ( 204010 266050 ) M1M2_PR
NEW met1 ( 204010 268090 ) M1M2_PR
NEW li1 ( 195730 270810 ) L1M1_PR
NEW met1 ( 201250 284410 ) M1M2_PR
NEW met1 ( 277150 286110 ) RECT ( -355 -70 0 70 )
NEW met1 ( 228390 281690 ) RECT ( 0 -70 355 70 )
NEW met1 ( 201250 281690 ) RECT ( 0 -70 355 70 )
NEW met2 ( 207230 283220 ) RECT ( -70 -485 70 0 )
NEW met1 ( 204010 266050 ) RECT ( -355 -70 0 70 )
NEW met1 ( 204010 268090 ) RECT ( -595 -70 0 70 )
NEW met2 ( 201250 284410 ) RECT ( -70 -485 70 0 ) ;
- net210 ( _535_ A ) ( _535__210 LO ) + USE SIGNAL
+ ROUTED met1 ( 150190 39270 ) ( 150650 * )
NEW met2 ( 150190 28730 ) ( * 39270 )
NEW li1 ( 150190 28730 ) L1M1_PR
NEW met1 ( 150190 28730 ) M1M2_PR
NEW met1 ( 150190 39270 ) M1M2_PR
NEW li1 ( 150650 39270 ) L1M1_PR
NEW met1 ( 150190 28730 ) RECT ( -355 -70 0 70 ) ;
- net211 ( _536_ A ) ( _536__211 LO ) + USE SIGNAL
+ ROUTED met1 ( 149270 22950 ) ( 151570 * )
NEW li1 ( 151570 22950 ) L1M1_PR
NEW li1 ( 149270 22950 ) L1M1_PR ;
- net212 ( _537_ A ) ( _537__212 LO ) + USE SIGNAL
+ ROUTED met2 ( 181010 12410 ) ( * 14110 )
NEW met1 ( 163530 12410 ) ( 181010 * )
NEW met1 ( 181010 12410 ) M1M2_PR
NEW li1 ( 181010 14110 ) L1M1_PR
NEW met1 ( 181010 14110 ) M1M2_PR
NEW li1 ( 163530 12410 ) L1M1_PR
NEW met1 ( 181010 14110 ) RECT ( -355 -70 0 70 ) ;
- net213 ( _538_ A ) ( _538__213 LO ) + USE SIGNAL
+ ROUTED met2 ( 156630 20570 ) ( * 25670 )
NEW li1 ( 156630 20570 ) L1M1_PR
NEW met1 ( 156630 20570 ) M1M2_PR
NEW li1 ( 156630 25670 ) L1M1_PR
NEW met1 ( 156630 25670 ) M1M2_PR
NEW met1 ( 156630 20570 ) RECT ( -355 -70 0 70 )
NEW met1 ( 156630 25670 ) RECT ( -355 -70 0 70 ) ;
- net214 ( _539_ A ) ( _539__214 LO ) + USE SIGNAL
+ ROUTED met1 ( 155250 33490 ) ( 156630 * )
NEW met1 ( 155250 33490 ) ( * 33830 )
NEW met2 ( 156630 33490 ) ( * 35870 )
NEW met1 ( 156630 33490 ) M1M2_PR
NEW li1 ( 155250 33830 ) L1M1_PR
NEW li1 ( 156630 35870 ) L1M1_PR
NEW met1 ( 156630 35870 ) M1M2_PR
NEW met1 ( 156630 35870 ) RECT ( -355 -70 0 70 ) ;
- net215 ( _540_ A ) ( _540__215 LO ) + USE SIGNAL
+ ROUTED met2 ( 157550 17850 ) ( * 19550 )
NEW met1 ( 157550 19550 ) ( 159850 * )
NEW li1 ( 157550 17850 ) L1M1_PR
NEW met1 ( 157550 17850 ) M1M2_PR
NEW met1 ( 157550 19550 ) M1M2_PR
NEW li1 ( 159850 19550 ) L1M1_PR
NEW met1 ( 157550 17850 ) RECT ( -355 -70 0 70 ) ;
- net216 ( _541_ A ) ( _541__216 LO ) + USE SIGNAL
+ ROUTED met2 ( 167210 15130 ) ( * 19550 )
NEW met1 ( 167210 19550 ) ( 178250 * )
NEW li1 ( 167210 15130 ) L1M1_PR
NEW met1 ( 167210 15130 ) M1M2_PR
NEW met1 ( 167210 19550 ) M1M2_PR
NEW li1 ( 178250 19550 ) L1M1_PR
NEW met1 ( 167210 15130 ) RECT ( 0 -70 355 70 ) ;
- net217 ( _542_ A ) ( _542__217 LO ) + USE SIGNAL
+ ROUTED met2 ( 162610 29410 ) ( * 30770 )
NEW met1 ( 162610 30770 ) ( 168590 * )
NEW met1 ( 168590 30770 ) ( * 31110 )
NEW li1 ( 162610 29410 ) L1M1_PR
NEW met1 ( 162610 29410 ) M1M2_PR
NEW met1 ( 162610 30770 ) M1M2_PR
NEW li1 ( 168590 31110 ) L1M1_PR
NEW met1 ( 162610 29410 ) RECT ( -355 -70 0 70 ) ;
- net218 ( _543_ A ) ( _543__218 LO ) + USE SIGNAL
+ ROUTED met1 ( 163990 23970 ) ( 168130 * )
NEW met2 ( 168130 23970 ) ( * 25670 )
NEW li1 ( 163990 23970 ) L1M1_PR
NEW met1 ( 168130 23970 ) M1M2_PR
NEW li1 ( 168130 25670 ) L1M1_PR
NEW met1 ( 168130 25670 ) M1M2_PR
NEW met1 ( 168130 25670 ) RECT ( -355 -70 0 70 ) ;
- net219 ( _544_ A ) ( _544__219 LO ) + USE SIGNAL
+ ROUTED met2 ( 172730 20570 ) ( * 22950 )
NEW met1 ( 167210 22950 ) ( 172730 * )
NEW li1 ( 172730 20570 ) L1M1_PR
NEW met1 ( 172730 20570 ) M1M2_PR
NEW met1 ( 172730 22950 ) M1M2_PR
NEW li1 ( 167210 22950 ) L1M1_PR
NEW met1 ( 172730 20570 ) RECT ( 0 -70 355 70 ) ;
- net22 ( ANTENNA_output22_A DIODE ) ( output22 A ) ( _235_ X ) + USE SIGNAL
+ ROUTED met2 ( 27370 283390 ) ( * 287130 )
NEW met1 ( 27370 283390 ) ( 28290 * )
NEW met1 ( 28290 283390 ) ( 34500 * )
NEW met1 ( 34500 283390 ) ( * 283730 )
NEW met1 ( 34500 283730 ) ( 135930 * )
NEW li1 ( 28290 283390 ) L1M1_PR
NEW li1 ( 27370 287130 ) L1M1_PR
NEW met1 ( 27370 287130 ) M1M2_PR
NEW met1 ( 27370 283390 ) M1M2_PR
NEW li1 ( 135930 283730 ) L1M1_PR
NEW met1 ( 27370 287130 ) RECT ( -355 -70 0 70 ) ;
- net220 ( _545_ A ) ( _545__220 LO ) + USE SIGNAL
+ ROUTED met2 ( 178710 15130 ) ( * 19550 )
NEW met1 ( 178710 19550 ) ( 181470 * )
NEW li1 ( 181470 19550 ) L1M1_PR
NEW met1 ( 178710 19550 ) M1M2_PR
NEW li1 ( 178710 15130 ) L1M1_PR
NEW met1 ( 178710 15130 ) M1M2_PR
NEW met1 ( 178710 15130 ) RECT ( 0 -70 355 70 ) ;
- net221 ( _546_ A ) ( _546__221 LO ) + USE SIGNAL
+ ROUTED met2 ( 182850 12070 ) ( * 18190 )
NEW met1 ( 182850 18190 ) ( 186070 * )
NEW met1 ( 178250 12070 ) ( 182850 * )
NEW met1 ( 182850 12070 ) M1M2_PR
NEW met1 ( 182850 18190 ) M1M2_PR
NEW li1 ( 186070 18190 ) L1M1_PR
NEW li1 ( 178250 12070 ) L1M1_PR ;
- net222 ( _547_ A ) ( _547__222 LO ) + USE SIGNAL
+ ROUTED met2 ( 171350 28730 ) ( * 30430 )
NEW met1 ( 171350 30430 ) ( 171810 * )
NEW li1 ( 171350 28730 ) L1M1_PR
NEW met1 ( 171350 28730 ) M1M2_PR
NEW met1 ( 171350 30430 ) M1M2_PR
NEW li1 ( 171810 30430 ) L1M1_PR
NEW met1 ( 171350 28730 ) RECT ( -355 -70 0 70 ) ;
- net223 ( _548_ A ) ( _548__223 LO ) + USE SIGNAL
+ ROUTED met2 ( 180550 23290 ) ( * 24990 )
NEW li1 ( 180550 23290 ) L1M1_PR
NEW met1 ( 180550 23290 ) M1M2_PR
NEW li1 ( 180550 24990 ) L1M1_PR
NEW met1 ( 180550 24990 ) M1M2_PR
NEW met1 ( 180550 23290 ) RECT ( -355 -70 0 70 )
NEW met1 ( 180550 24990 ) RECT ( -355 -70 0 70 ) ;
- net224 ( _549_ A ) ( _549__224 LO ) + USE SIGNAL
+ ROUTED met1 ( 180550 17850 ) ( 186530 * )
NEW met2 ( 186530 17850 ) ( * 19550 )
NEW li1 ( 180550 17850 ) L1M1_PR
NEW met1 ( 186530 17850 ) M1M2_PR
NEW li1 ( 186530 19550 ) L1M1_PR
NEW met1 ( 186530 19550 ) M1M2_PR
NEW met1 ( 186530 19550 ) RECT ( -355 -70 0 70 ) ;
- net225 ( _550_ A ) ( _550__225 LO ) + USE SIGNAL
+ ROUTED met1 ( 170430 257890 ) ( 171350 * )
NEW met2 ( 170430 257890 ) ( * 259590 )
NEW li1 ( 171350 257890 ) L1M1_PR
NEW met1 ( 170430 257890 ) M1M2_PR
NEW li1 ( 170430 259590 ) L1M1_PR
NEW met1 ( 170430 259590 ) M1M2_PR
NEW met1 ( 170430 259590 ) RECT ( -355 -70 0 70 ) ;
- net226 ( PIN io_oeb[0] ) ( macro_15_226 LO ) + USE SIGNAL
+ ROUTED met1 ( 23230 285090 ) ( 23690 * )
NEW met2 ( 23230 285090 ) ( * 296140 0 )
NEW li1 ( 23690 285090 ) L1M1_PR
NEW met1 ( 23230 285090 ) M1M2_PR ;
- net227 ( PIN io_oeb[1] ) ( macro_15_227 LO ) + USE SIGNAL
+ ROUTED met1 ( 29670 287130 ) ( 30130 * )
NEW met2 ( 30130 287130 ) ( * 296140 0 )
NEW li1 ( 29670 287130 ) L1M1_PR
NEW met1 ( 30130 287130 ) M1M2_PR ;
- net228 ( PIN io_oeb[2] ) ( macro_15_228 LO ) + USE SIGNAL
+ ROUTED met1 ( 36570 287130 ) ( 37030 * )
NEW met2 ( 37030 287130 ) ( * 296140 0 )
NEW li1 ( 36570 287130 ) L1M1_PR
NEW met1 ( 37030 287130 ) M1M2_PR ;
- net229 ( PIN io_oeb[3] ) ( macro_15_229 LO ) + USE SIGNAL
+ ROUTED met1 ( 43470 287130 ) ( 43930 * )
NEW met2 ( 43930 287130 ) ( * 296140 0 )
NEW li1 ( 43470 287130 ) L1M1_PR
NEW met1 ( 43930 287130 ) M1M2_PR ;
- net23 ( output23 A ) ( _248_ B1 ) ( _245_ X ) + USE SIGNAL
+ ROUTED met1 ( 104190 286450 ) ( * 286790 )
NEW met1 ( 102810 286450 ) ( 104190 * )
NEW met1 ( 102810 286450 ) ( * 287130 )
NEW met1 ( 96830 287130 ) ( 102810 * )
NEW met1 ( 104190 286790 ) ( 110400 * )
NEW met2 ( 117070 276930 ) ( * 284070 )
NEW met1 ( 117070 276930 ) ( 119370 * )
NEW met1 ( 110400 286450 ) ( * 286790 )
NEW met1 ( 110400 286450 ) ( 117070 * )
NEW met2 ( 117070 284070 ) ( * 286450 )
NEW li1 ( 96830 287130 ) L1M1_PR
NEW li1 ( 117070 284070 ) L1M1_PR
NEW met1 ( 117070 284070 ) M1M2_PR
NEW met1 ( 117070 276930 ) M1M2_PR
NEW li1 ( 119370 276930 ) L1M1_PR
NEW met1 ( 117070 286450 ) M1M2_PR
NEW met1 ( 117070 284070 ) RECT ( -355 -70 0 70 ) ;
- net230 ( PIN io_oeb[4] ) ( macro_15_230 LO ) + USE SIGNAL
+ ROUTED met1 ( 50830 285090 ) ( 51750 * )
NEW met2 ( 50830 285090 ) ( * 296140 0 )
NEW li1 ( 51750 285090 ) L1M1_PR
NEW met1 ( 50830 285090 ) M1M2_PR ;
- net231 ( PIN io_oeb[5] ) ( macro_15_231 LO ) + USE SIGNAL
+ ROUTED met1 ( 57270 287130 ) ( 57730 * )
NEW met2 ( 57730 287130 ) ( * 296140 0 )
NEW li1 ( 57270 287130 ) L1M1_PR
NEW met1 ( 57730 287130 ) M1M2_PR ;
- net232 ( PIN io_oeb[6] ) ( macro_15_232 LO ) + USE SIGNAL
+ ROUTED met1 ( 64630 285090 ) ( 65090 * )
NEW met2 ( 64630 285090 ) ( * 296140 0 )
NEW li1 ( 65090 285090 ) L1M1_PR
NEW met1 ( 64630 285090 ) M1M2_PR ;
- net233 ( PIN io_oeb[7] ) ( macro_15_233 LO ) + USE SIGNAL
+ ROUTED met1 ( 71070 287130 ) ( 71530 * )
NEW met2 ( 71530 287130 ) ( * 296140 0 )
NEW li1 ( 71070 287130 ) L1M1_PR
NEW met1 ( 71530 287130 ) M1M2_PR ;
- net234 ( PIN io_oeb[8] ) ( macro_15_234 LO ) + USE SIGNAL
+ ROUTED met1 ( 78430 285090 ) ( 81650 * )
NEW met2 ( 78430 285090 ) ( * 296140 0 )
NEW li1 ( 81650 285090 ) L1M1_PR
NEW met1 ( 78430 285090 ) M1M2_PR ;
- net235 ( PIN io_oeb[9] ) ( macro_15_235 LO ) + USE SIGNAL
+ ROUTED met1 ( 84870 287130 ) ( 85330 * )
NEW met2 ( 85330 287130 ) ( * 296140 0 )
NEW li1 ( 84870 287130 ) L1M1_PR
NEW met1 ( 85330 287130 ) M1M2_PR ;
- net236 ( PIN io_oeb[10] ) ( macro_15_236 LO ) + USE SIGNAL
+ ROUTED met1 ( 91770 287130 ) ( 92230 * )
NEW met2 ( 92230 287130 ) ( * 296140 0 )
NEW li1 ( 91770 287130 ) L1M1_PR
NEW met1 ( 92230 287130 ) M1M2_PR ;
- net237 ( PIN io_oeb[11] ) ( macro_15_237 LO ) + USE SIGNAL
+ ROUTED met1 ( 99590 285090 ) ( 100050 * )
NEW met2 ( 100050 285090 ) ( * 290020 )
NEW met2 ( 99130 290020 ) ( 100050 * )
NEW met2 ( 99130 290020 ) ( * 296140 0 )
NEW li1 ( 99590 285090 ) L1M1_PR
NEW met1 ( 100050 285090 ) M1M2_PR ;
- net238 ( PIN io_oeb[12] ) ( macro_15_238 LO ) + USE SIGNAL
+ ROUTED met1 ( 106030 285090 ) ( 106490 * )
NEW met2 ( 106030 285090 ) ( * 296140 0 )
NEW li1 ( 106490 285090 ) L1M1_PR
NEW met1 ( 106030 285090 ) M1M2_PR ;
- net239 ( PIN io_oeb[13] ) ( macro_15_239 LO ) + USE SIGNAL
+ ROUTED met1 ( 112930 281690 ) ( 113390 * )
NEW met2 ( 112930 281690 ) ( * 296140 0 )
NEW li1 ( 113390 281690 ) L1M1_PR
NEW met1 ( 112930 281690 ) M1M2_PR ;
- net24 ( output24 A ) ( _263_ B1 ) ( _260_ X ) + USE SIGNAL
+ ROUTED met2 ( 103270 286110 ) ( * 287130 )
NEW met1 ( 103270 287130 ) ( 103330 * )
NEW met2 ( 128570 284070 ) ( * 286110 )
NEW met1 ( 119830 286110 ) ( 128570 * )
NEW met1 ( 119830 286110 ) ( * 286450 )
NEW met1 ( 118450 286450 ) ( 119830 * )
NEW met1 ( 118450 286110 ) ( * 286450 )
NEW met1 ( 127650 276930 ) ( 129030 * )
NEW met2 ( 129030 276930 ) ( * 281180 )
NEW met2 ( 128570 281180 ) ( 129030 * )
NEW met2 ( 128570 281180 ) ( * 284070 )
NEW met1 ( 103270 286110 ) ( 118450 * )
NEW met1 ( 103270 286110 ) M1M2_PR
NEW met1 ( 103270 287130 ) M1M2_PR
NEW li1 ( 103330 287130 ) L1M1_PR
NEW li1 ( 128570 284070 ) L1M1_PR
NEW met1 ( 128570 284070 ) M1M2_PR
NEW met1 ( 128570 286110 ) M1M2_PR
NEW li1 ( 127650 276930 ) L1M1_PR
NEW met1 ( 129030 276930 ) M1M2_PR
NEW met1 ( 128570 284070 ) RECT ( 0 -70 355 70 ) ;
- net240 ( PIN io_oeb[14] ) ( macro_15_240 LO ) + USE SIGNAL
+ ROUTED met2 ( 107410 286450 ) ( * 287300 )
NEW met3 ( 107410 287300 ) ( 119830 * )
NEW met2 ( 119830 287300 ) ( * 296140 0 )
NEW li1 ( 107410 286450 ) L1M1_PR
NEW met1 ( 107410 286450 ) M1M2_PR
NEW met2 ( 107410 287300 ) M2M3_PR
NEW met2 ( 119830 287300 ) M2M3_PR
NEW met1 ( 107410 286450 ) RECT ( -355 -70 0 70 ) ;
- net241 ( PIN io_oeb[15] ) ( macro_15_241 LO ) + USE SIGNAL
+ ROUTED met1 ( 114770 284750 ) ( 126730 * )
NEW met2 ( 126730 284750 ) ( * 296140 0 )
NEW li1 ( 114770 284750 ) L1M1_PR
NEW met1 ( 126730 284750 ) M1M2_PR ;
- net242 ( PIN io_oeb[16] ) ( macro_15_242 LO ) + USE SIGNAL
+ ROUTED met1 ( 133630 274210 ) ( 134090 * )
NEW met2 ( 133630 274210 ) ( * 296140 0 )
NEW li1 ( 134090 274210 ) L1M1_PR
NEW met1 ( 133630 274210 ) M1M2_PR ;
- net243 ( PIN io_oeb[17] ) ( macro_15_243 LO ) + USE SIGNAL
+ ROUTED met1 ( 138690 274210 ) ( 140530 * )
NEW met2 ( 140530 274210 ) ( * 296140 0 )
NEW li1 ( 138690 274210 ) L1M1_PR
NEW met1 ( 140530 274210 ) M1M2_PR ;
- net244 ( PIN io_oeb[18] ) ( macro_15_244 LO ) + USE SIGNAL
+ ROUTED met1 ( 147890 274210 ) ( 150650 * )
NEW met2 ( 147890 274210 ) ( * 278460 )
NEW met2 ( 147430 278460 ) ( 147890 * )
NEW met2 ( 147430 278460 ) ( * 296140 0 )
NEW li1 ( 150650 274210 ) L1M1_PR
NEW met1 ( 147890 274210 ) M1M2_PR ;
- net245 ( PIN io_oeb[19] ) ( macro_15_245 LO ) + USE SIGNAL
+ ROUTED met1 ( 154330 287130 ) ( 154790 * )
NEW met2 ( 154330 287130 ) ( * 296140 0 )
NEW li1 ( 154790 287130 ) L1M1_PR
NEW met1 ( 154330 287130 ) M1M2_PR ;
- net246 ( PIN io_oeb[20] ) ( macro_15_246 LO ) + USE SIGNAL
+ ROUTED met1 ( 161230 281690 ) ( 162150 * )
NEW met2 ( 161230 281690 ) ( * 296140 0 )
NEW li1 ( 162150 281690 ) L1M1_PR
NEW met1 ( 161230 281690 ) M1M2_PR ;
- net247 ( PIN io_oeb[21] ) ( macro_15_247 LO ) + USE SIGNAL
+ ROUTED met1 ( 169050 279650 ) ( 178710 * )
NEW met2 ( 169050 279650 ) ( * 290020 )
NEW met2 ( 168130 290020 ) ( 169050 * )
NEW met2 ( 168130 290020 ) ( * 296140 0 )
NEW li1 ( 178710 279650 ) L1M1_PR
NEW met1 ( 169050 279650 ) M1M2_PR ;
- net248 ( PIN io_oeb[22] ) ( macro_15_248 LO ) + USE SIGNAL
+ ROUTED met1 ( 176870 274210 ) ( 179170 * )
NEW met2 ( 176870 274210 ) ( * 296140 )
NEW met2 ( 175490 296140 ) ( 176870 * )
NEW met2 ( 175490 295460 ) ( * 296140 )
NEW met2 ( 175030 295460 ) ( 175490 * )
NEW met2 ( 175030 295460 ) ( * 296140 0 )
NEW li1 ( 179170 274210 ) L1M1_PR
NEW met1 ( 176870 274210 ) M1M2_PR ;
- net249 ( PIN io_oeb[23] ) ( macro_15_249 LO ) + USE SIGNAL
+ ROUTED met1 ( 181930 274210 ) ( 182390 * )
NEW met2 ( 181930 274210 ) ( * 296140 0 )
NEW li1 ( 182390 274210 ) L1M1_PR
NEW met1 ( 181930 274210 ) M1M2_PR ;
- net25 ( output25 A ) ( _280_ B1 ) ( _277_ X ) + USE SIGNAL
+ ROUTED met2 ( 131330 281690 ) ( * 283220 )
NEW met3 ( 111550 283220 ) ( 131330 * )
NEW met2 ( 111550 283220 ) ( * 287130 )
NEW met2 ( 131330 276930 ) ( * 281690 )
NEW li1 ( 131330 281690 ) L1M1_PR
NEW met1 ( 131330 281690 ) M1M2_PR
NEW met2 ( 131330 283220 ) M2M3_PR
NEW met2 ( 111550 283220 ) M2M3_PR
NEW li1 ( 111550 287130 ) L1M1_PR
NEW met1 ( 111550 287130 ) M1M2_PR
NEW li1 ( 131330 276930 ) L1M1_PR
NEW met1 ( 131330 276930 ) M1M2_PR
NEW met1 ( 131330 281690 ) RECT ( -355 -70 0 70 )
NEW met1 ( 111550 287130 ) RECT ( 0 -70 355 70 )
NEW met1 ( 131330 276930 ) RECT ( -355 -70 0 70 ) ;
- net250 ( PIN io_oeb[24] ) ( macro_15_250 LO ) + USE SIGNAL
+ ROUTED met1 ( 188830 274210 ) ( 189290 * )
NEW met2 ( 188830 274210 ) ( * 296140 0 )
NEW li1 ( 189290 274210 ) L1M1_PR
NEW met1 ( 188830 274210 ) M1M2_PR ;
- net251 ( PIN io_oeb[25] ) ( macro_15_251 LO ) + USE SIGNAL
+ ROUTED met2 ( 195730 282540 ) ( * 296140 0 )
NEW met2 ( 221030 282540 ) ( * 286110 )
NEW met3 ( 195730 282540 ) ( 221030 * )
NEW met2 ( 195730 282540 ) M2M3_PR
NEW met2 ( 221030 282540 ) M2M3_PR
NEW li1 ( 221030 286110 ) L1M1_PR
NEW met1 ( 221030 286110 ) M1M2_PR
NEW met1 ( 221030 286110 ) RECT ( -355 -70 0 70 ) ;
- net252 ( PIN io_oeb[26] ) ( macro_15_252 LO ) + USE SIGNAL
+ ROUTED met2 ( 203090 278970 ) ( * 287300 )
NEW met2 ( 202630 287300 ) ( 203090 * )
NEW met2 ( 202630 287300 ) ( * 296140 0 )
NEW met1 ( 203090 278970 ) ( 216430 * )
NEW met1 ( 203090 278970 ) M1M2_PR
NEW li1 ( 216430 278970 ) L1M1_PR ;
- net253 ( PIN io_oeb[27] ) ( macro_15_253 LO ) + USE SIGNAL
+ ROUTED met1 ( 209530 275910 ) ( 212750 * )
NEW met2 ( 209530 275910 ) ( * 296140 0 )
NEW li1 ( 212750 275910 ) L1M1_PR
NEW met1 ( 209530 275910 ) M1M2_PR ;
- net254 ( PIN io_oeb[28] ) ( macro_15_254 LO ) + USE SIGNAL
+ ROUTED met2 ( 232070 282370 ) ( * 286110 )
NEW met1 ( 216430 282370 ) ( 232070 * )
NEW met2 ( 216430 282370 ) ( * 296140 0 )
NEW li1 ( 232070 286110 ) L1M1_PR
NEW met1 ( 232070 286110 ) M1M2_PR
NEW met1 ( 232070 282370 ) M1M2_PR
NEW met1 ( 216430 282370 ) M1M2_PR
NEW met1 ( 232070 286110 ) RECT ( -355 -70 0 70 ) ;
- net255 ( PIN io_oeb[29] ) ( macro_15_255 LO ) + USE SIGNAL
+ ROUTED met1 ( 230690 280670 ) ( * 281010 )
NEW met1 ( 227930 280670 ) ( 230690 * )
NEW met1 ( 227930 280670 ) ( * 281010 )
NEW met1 ( 223330 281010 ) ( 227930 * )
NEW met2 ( 223330 281010 ) ( * 296140 0 )
NEW li1 ( 230690 281010 ) L1M1_PR
NEW met1 ( 223330 281010 ) M1M2_PR ;
- net256 ( PIN io_oeb[30] ) ( macro_15_256 LO ) + USE SIGNAL
+ ROUTED met1 ( 230230 284750 ) ( 237590 * )
NEW met2 ( 230230 284750 ) ( * 296140 0 )
NEW li1 ( 237590 284750 ) L1M1_PR
NEW met1 ( 230230 284750 ) M1M2_PR ;
- net257 ( PIN io_oeb[31] ) ( macro_15_257 LO ) + USE SIGNAL
+ ROUTED met1 ( 237130 285090 ) ( 240810 * )
NEW met2 ( 237130 285090 ) ( * 296140 0 )
NEW li1 ( 240810 285090 ) L1M1_PR
NEW met1 ( 237130 285090 ) M1M2_PR ;
- net258 ( PIN io_oeb[32] ) ( macro_15_258 LO ) + USE SIGNAL
+ ROUTED met1 ( 244030 285090 ) ( 247250 * )
NEW met2 ( 244030 285090 ) ( * 296140 0 )
NEW li1 ( 247250 285090 ) L1M1_PR
NEW met1 ( 244030 285090 ) M1M2_PR ;
- net26 ( output26 A ) ( _296_ B1 ) ( _294_ Y ) + USE SIGNAL
+ ROUTED met2 ( 180550 282540 ) ( * 283390 )
NEW met1 ( 147890 281690 ) ( * 282030 )
NEW met1 ( 146510 282030 ) ( 147890 * )
NEW met2 ( 146510 282030 ) ( * 282540 )
NEW met3 ( 116610 282540 ) ( 146510 * )
NEW met2 ( 116610 282540 ) ( * 287130 )
NEW met2 ( 116610 287130 ) ( 117070 * )
NEW met3 ( 146510 282540 ) ( 180550 * )
NEW met2 ( 180550 282540 ) M2M3_PR
NEW li1 ( 180550 283390 ) L1M1_PR
NEW met1 ( 180550 283390 ) M1M2_PR
NEW li1 ( 147890 281690 ) L1M1_PR
NEW met1 ( 146510 282030 ) M1M2_PR
NEW met2 ( 146510 282540 ) M2M3_PR
NEW met2 ( 116610 282540 ) M2M3_PR
NEW li1 ( 117070 287130 ) L1M1_PR
NEW met1 ( 117070 287130 ) M1M2_PR
NEW met1 ( 180550 283390 ) RECT ( -355 -70 0 70 )
NEW met1 ( 117070 287130 ) RECT ( 0 -70 355 70 ) ;
- net27 ( output27 A ) ( _248_ B2 ) ( _247_ X ) + USE SIGNAL
+ ROUTED met1 ( 117530 285090 ) ( 120750 * )
NEW met2 ( 120750 285090 ) ( * 287130 )
NEW met1 ( 120750 287130 ) ( 124890 * )
NEW met2 ( 117530 282370 ) ( * 285090 )
NEW li1 ( 117530 285090 ) L1M1_PR
NEW met1 ( 120750 285090 ) M1M2_PR
NEW met1 ( 120750 287130 ) M1M2_PR
NEW li1 ( 124890 287130 ) L1M1_PR
NEW li1 ( 117530 282370 ) L1M1_PR
NEW met1 ( 117530 282370 ) M1M2_PR
NEW met1 ( 117530 285090 ) M1M2_PR
NEW met1 ( 117530 282370 ) RECT ( -355 -70 0 70 )
NEW met1 ( 117530 285090 ) RECT ( -595 -70 0 70 ) ;
- net28 ( output28 A ) ( _263_ B2 ) ( _262_ X ) + USE SIGNAL
+ ROUTED met2 ( 128110 279650 ) ( * 284070 )
NEW met1 ( 123970 279650 ) ( 128110 * )
NEW met1 ( 128110 287130 ) ( 129490 * )
NEW met2 ( 128110 284070 ) ( * 287130 )
NEW li1 ( 128110 284070 ) L1M1_PR
NEW met1 ( 128110 284070 ) M1M2_PR
NEW met1 ( 128110 279650 ) M1M2_PR
NEW li1 ( 123970 279650 ) L1M1_PR
NEW li1 ( 129490 287130 ) L1M1_PR
NEW met1 ( 128110 287130 ) M1M2_PR
NEW met1 ( 128110 284070 ) RECT ( -355 -70 0 70 ) ;
- net29 ( output29 A ) ( _280_ B2 ) ( _279_ X ) + USE SIGNAL
+ ROUTED met2 ( 131790 281010 ) ( * 286790 )
NEW met1 ( 131790 286790 ) ( 139610 * )
NEW met1 ( 139610 286790 ) ( * 287130 )
NEW met1 ( 131330 279650 ) ( 131790 * )
NEW met2 ( 131790 279650 ) ( * 281010 )
NEW li1 ( 131790 281010 ) L1M1_PR
NEW met1 ( 131790 281010 ) M1M2_PR
NEW met1 ( 131790 286790 ) M1M2_PR
NEW li1 ( 139610 287130 ) L1M1_PR
NEW li1 ( 131330 279650 ) L1M1_PR
NEW met1 ( 131790 279650 ) M1M2_PR
NEW met1 ( 131790 281010 ) RECT ( -355 -70 0 70 ) ;
- net3 ( input3 X ) ( _251_ B1 ) ( _204_ A ) ( _202_ B1 ) + USE SIGNAL
+ ROUTED met1 ( 171810 281350 ) ( * 281690 )
NEW met1 ( 165830 281350 ) ( 171810 * )
NEW met2 ( 165830 281350 ) ( * 286110 )
NEW met2 ( 165370 286110 ) ( 165830 * )
NEW met1 ( 165370 273190 ) ( 165830 * )
NEW met2 ( 165830 273190 ) ( * 281350 )
NEW met1 ( 168590 267410 ) ( * 268090 )
NEW met1 ( 165830 268090 ) ( 168590 * )
NEW met2 ( 165830 268090 ) ( * 273190 )
NEW met1 ( 153410 286110 ) ( * 286450 )
NEW met1 ( 148350 286450 ) ( 153410 * )
NEW met1 ( 153410 286110 ) ( 165370 * )
NEW li1 ( 171810 281690 ) L1M1_PR
NEW met1 ( 165830 281350 ) M1M2_PR
NEW met1 ( 165370 286110 ) M1M2_PR
NEW li1 ( 165370 273190 ) L1M1_PR
NEW met1 ( 165830 273190 ) M1M2_PR
NEW li1 ( 168590 267410 ) L1M1_PR
NEW met1 ( 165830 268090 ) M1M2_PR
NEW li1 ( 148350 286450 ) L1M1_PR ;
- net30 ( output30 A ) ( _296_ B2 ) ( _295_ X ) + USE SIGNAL
+ ROUTED met2 ( 145590 285090 ) ( * 287130 )
NEW met1 ( 144670 287130 ) ( 145590 * )
NEW met1 ( 146050 281690 ) ( 146970 * )
NEW met2 ( 145590 281690 ) ( 146050 * )
NEW met2 ( 145590 281690 ) ( * 285090 )
NEW li1 ( 145590 285090 ) L1M1_PR
NEW met1 ( 145590 285090 ) M1M2_PR
NEW met1 ( 145590 287130 ) M1M2_PR
NEW li1 ( 144670 287130 ) L1M1_PR
NEW li1 ( 146970 281690 ) L1M1_PR
NEW met1 ( 146050 281690 ) M1M2_PR
NEW met1 ( 145590 285090 ) RECT ( -355 -70 0 70 ) ;
- net31 ( ANTENNA__235__B1 DIODE ) ( ANTENNA_output31_A DIODE ) ( output31 A ) ( _235_ B1 ) ( _233_ Y ) + USE SIGNAL
+ ROUTED met2 ( 54970 284750 ) ( * 287130 )
NEW met1 ( 54970 284750 ) ( 55890 * )
NEW met2 ( 168590 283390 ) ( * 289170 )
NEW met1 ( 168590 283390 ) ( 175030 * )
NEW met1 ( 55890 284750 ) ( 110400 * )
NEW met1 ( 135470 284070 ) ( 136850 * )
NEW met2 ( 135470 284070 ) ( * 289170 )
NEW met1 ( 135470 271490 ) ( 136390 * )
NEW met2 ( 135470 271490 ) ( * 284070 )
NEW met1 ( 110400 284750 ) ( * 285090 )
NEW met1 ( 110400 285090 ) ( 116150 * )
NEW met2 ( 116150 285090 ) ( * 289850 )
NEW met1 ( 116150 289850 ) ( 125810 * )
NEW met1 ( 125810 289510 ) ( * 289850 )
NEW met1 ( 125810 289510 ) ( 135470 * )
NEW met1 ( 135470 289170 ) ( * 289510 )
NEW met1 ( 135470 289170 ) ( 168590 * )
NEW li1 ( 55890 284750 ) L1M1_PR
NEW li1 ( 54970 287130 ) L1M1_PR
NEW met1 ( 54970 287130 ) M1M2_PR
NEW met1 ( 54970 284750 ) M1M2_PR
NEW met1 ( 168590 289170 ) M1M2_PR
NEW met1 ( 168590 283390 ) M1M2_PR
NEW li1 ( 175030 283390 ) L1M1_PR
NEW li1 ( 136850 284070 ) L1M1_PR
NEW met1 ( 135470 284070 ) M1M2_PR
NEW met1 ( 135470 289170 ) M1M2_PR
NEW li1 ( 136390 271490 ) L1M1_PR
NEW met1 ( 135470 271490 ) M1M2_PR
NEW met1 ( 116150 285090 ) M1M2_PR
NEW met1 ( 116150 289850 ) M1M2_PR
NEW met1 ( 54970 287130 ) RECT ( -355 -70 0 70 ) ;
- net32 ( output32 A ) ( _235_ B2 ) ( _234_ Y ) + USE SIGNAL
+ ROUTED met2 ( 61870 287130 ) ( * 289170 )
NEW met1 ( 137310 284070 ) ( * 284410 )
NEW met1 ( 135010 284410 ) ( 137310 * )
NEW met2 ( 135010 284410 ) ( * 289170 )
NEW met2 ( 138230 275230 ) ( * 278460 )
NEW met2 ( 137770 278460 ) ( 138230 * )
NEW met2 ( 137770 278460 ) ( * 284070 )
NEW met1 ( 137310 284070 ) ( 137770 * )
NEW met1 ( 61870 289170 ) ( 135010 * )
NEW met1 ( 61870 289170 ) M1M2_PR
NEW li1 ( 61870 287130 ) L1M1_PR
NEW met1 ( 61870 287130 ) M1M2_PR
NEW li1 ( 137310 284070 ) L1M1_PR
NEW met1 ( 135010 284410 ) M1M2_PR
NEW met1 ( 135010 289170 ) M1M2_PR
NEW li1 ( 138230 275230 ) L1M1_PR
NEW met1 ( 138230 275230 ) M1M2_PR
NEW met1 ( 137770 284070 ) M1M2_PR
NEW met1 ( 61870 287130 ) RECT ( -355 -70 0 70 )
NEW met1 ( 138230 275230 ) RECT ( -355 -70 0 70 ) ;
- net33 ( output33 A ) ( _248_ X ) + USE SIGNAL
+ ROUTED met1 ( 106950 287130 ) ( * 287470 )
NEW met1 ( 68770 287470 ) ( 106950 * )
NEW met1 ( 68770 287130 ) ( * 287470 )
NEW met1 ( 119830 283390 ) ( 120290 * )
NEW met2 ( 119830 283390 ) ( * 286790 )
NEW met1 ( 111090 286790 ) ( 119830 * )
NEW met1 ( 111090 286790 ) ( * 287130 )
NEW met1 ( 106950 287130 ) ( 111090 * )
NEW li1 ( 68770 287130 ) L1M1_PR
NEW li1 ( 120290 283390 ) L1M1_PR
NEW met1 ( 119830 283390 ) M1M2_PR
NEW met1 ( 119830 286790 ) M1M2_PR ;
- net34 ( output34 A ) ( _263_ X ) + USE SIGNAL
+ ROUTED met2 ( 75670 287130 ) ( * 289510 )
NEW met2 ( 125350 285090 ) ( * 289510 )
NEW met1 ( 75670 289510 ) ( 125350 * )
NEW met1 ( 75670 289510 ) M1M2_PR
NEW li1 ( 75670 287130 ) L1M1_PR
NEW met1 ( 75670 287130 ) M1M2_PR
NEW li1 ( 125350 285090 ) L1M1_PR
NEW met1 ( 125350 285090 ) M1M2_PR
NEW met1 ( 125350 289510 ) M1M2_PR
NEW met1 ( 75670 287130 ) RECT ( -355 -70 0 70 )
NEW met1 ( 125350 285090 ) RECT ( -355 -70 0 70 ) ;
- net35 ( output35 A ) ( _280_ X ) + USE SIGNAL
+ ROUTED met2 ( 82570 284410 ) ( * 287130 )
NEW met2 ( 134550 282370 ) ( * 284410 )
NEW met1 ( 82570 284410 ) ( 134550 * )
NEW met1 ( 82570 284410 ) M1M2_PR
NEW li1 ( 82570 287130 ) L1M1_PR
NEW met1 ( 82570 287130 ) M1M2_PR
NEW li1 ( 134550 282370 ) L1M1_PR
NEW met1 ( 134550 282370 ) M1M2_PR
NEW met1 ( 134550 284410 ) M1M2_PR
NEW met1 ( 82570 287130 ) RECT ( -355 -70 0 70 )
NEW met1 ( 134550 282370 ) RECT ( -355 -70 0 70 ) ;
- net36 ( output36 A ) ( _296_ X ) + USE SIGNAL
+ ROUTED met2 ( 89470 282030 ) ( * 287130 )
NEW met1 ( 131790 281350 ) ( * 282030 )
NEW met1 ( 131790 281350 ) ( 144670 * )
NEW met1 ( 89470 282030 ) ( 131790 * )
NEW met1 ( 89470 282030 ) M1M2_PR
NEW li1 ( 89470 287130 ) L1M1_PR
NEW met1 ( 89470 287130 ) M1M2_PR
NEW li1 ( 144670 281350 ) L1M1_PR
NEW met1 ( 89470 287130 ) RECT ( -355 -70 0 70 ) ;
- net37 ( PIN io_oeb[33] ) ( macro_15_37 LO ) + USE SIGNAL
+ ROUTED met1 ( 250930 285090 ) ( 253690 * )
NEW met2 ( 250930 285090 ) ( * 296140 0 )
NEW li1 ( 253690 285090 ) L1M1_PR
NEW met1 ( 250930 285090 ) M1M2_PR ;
- net38 ( PIN io_oeb[34] ) ( macro_15_38 LO ) + USE SIGNAL
+ ROUTED met1 ( 257830 285090 ) ( 261050 * )
NEW met2 ( 257830 285090 ) ( * 296140 0 )
NEW li1 ( 261050 285090 ) L1M1_PR
NEW met1 ( 257830 285090 ) M1M2_PR ;
- net39 ( PIN io_oeb[35] ) ( macro_15_39 LO ) + USE SIGNAL
+ ROUTED met1 ( 264730 287130 ) ( 267490 * )
NEW met2 ( 264730 287130 ) ( * 296140 0 )
NEW li1 ( 267490 287130 ) L1M1_PR
NEW met1 ( 264730 287130 ) M1M2_PR ;
- net4 ( input4 X ) ( _266_ A ) ( _265_ B ) ( _264_ A2 ) ( _207_ A ) ( _199_ A ) + USE SIGNAL
+ ROUTED met2 ( 163530 271150 ) ( * 276250 )
NEW met1 ( 162150 278630 ) ( 163530 * )
NEW met2 ( 163530 276250 ) ( * 278630 )
NEW met2 ( 162150 278630 ) ( * 286790 )
NEW met1 ( 152030 270810 ) ( * 271150 )
NEW met2 ( 148810 270470 ) ( * 271150 )
NEW met1 ( 148810 271150 ) ( 152030 * )
NEW met1 ( 148810 267750 ) ( 150190 * )
NEW met2 ( 148810 267750 ) ( * 270470 )
NEW met1 ( 152030 271150 ) ( 163530 * )
NEW li1 ( 163530 276250 ) L1M1_PR
NEW met1 ( 163530 276250 ) M1M2_PR
NEW met1 ( 163530 271150 ) M1M2_PR
NEW li1 ( 162150 278630 ) L1M1_PR
NEW met1 ( 163530 278630 ) M1M2_PR
NEW li1 ( 162150 286790 ) L1M1_PR
NEW met1 ( 162150 286790 ) M1M2_PR
NEW met1 ( 162150 278630 ) M1M2_PR
NEW li1 ( 152030 270810 ) L1M1_PR
NEW li1 ( 148810 270470 ) L1M1_PR
NEW met1 ( 148810 270470 ) M1M2_PR
NEW met1 ( 148810 271150 ) M1M2_PR
NEW li1 ( 150190 267750 ) L1M1_PR
NEW met1 ( 148810 267750 ) M1M2_PR
NEW met1 ( 163530 276250 ) RECT ( -355 -70 0 70 )
NEW met1 ( 162150 286790 ) RECT ( -355 -70 0 70 )
NEW met1 ( 162150 278630 ) RECT ( -595 -70 0 70 )
NEW met1 ( 148810 270470 ) RECT ( -355 -70 0 70 ) ;
- net40 ( PIN io_oeb[36] ) ( macro_15_40 LO ) + USE SIGNAL
+ ROUTED met1 ( 271630 285090 ) ( 272090 * )
NEW met2 ( 271630 285090 ) ( * 296140 0 )
NEW li1 ( 272090 285090 ) L1M1_PR
NEW met1 ( 271630 285090 ) M1M2_PR ;
- net41 ( PIN io_oeb[37] ) ( macro_15_41 LO ) + USE SIGNAL
+ ROUTED met1 ( 278530 287130 ) ( 280370 * )
NEW met2 ( 278530 287130 ) ( * 296140 0 )
NEW li1 ( 280370 287130 ) L1M1_PR
NEW met1 ( 278530 287130 ) M1M2_PR ;
- net42 ( PIN io_out[1] ) ( macro_15_42 LO ) + USE SIGNAL
+ ROUTED met1 ( 32430 287130 ) ( 32890 * )
NEW met2 ( 32430 287130 ) ( * 296140 0 )
NEW li1 ( 32890 287130 ) L1M1_PR
NEW met1 ( 32430 287130 ) M1M2_PR ;
- net43 ( PIN io_out[2] ) ( macro_15_43 LO ) + USE SIGNAL
+ ROUTED met1 ( 39330 287130 ) ( 39790 * )
NEW met2 ( 39330 287130 ) ( * 296140 0 )
NEW li1 ( 39790 287130 ) L1M1_PR
NEW met1 ( 39330 287130 ) M1M2_PR ;
- net44 ( PIN io_out[3] ) ( macro_15_44 LO ) + USE SIGNAL
+ ROUTED met1 ( 46230 287130 ) ( 46690 * )
NEW met2 ( 46230 287130 ) ( * 296140 0 )
NEW li1 ( 46690 287130 ) L1M1_PR
NEW met1 ( 46230 287130 ) M1M2_PR ;
- net45 ( PIN io_out[18] ) ( macro_15_45 LO ) + USE SIGNAL
+ ROUTED met1 ( 149730 281690 ) ( 150190 * )
NEW met2 ( 149730 281690 ) ( * 296140 0 )
NEW li1 ( 150190 281690 ) L1M1_PR
NEW met1 ( 149730 281690 ) M1M2_PR ;
- net46 ( PIN io_out[19] ) ( macro_15_46 LO ) + USE SIGNAL
+ ROUTED met1 ( 151570 286790 ) ( 156630 * )
NEW met2 ( 156630 286790 ) ( * 296140 0 )
NEW li1 ( 151570 286790 ) L1M1_PR
NEW met1 ( 156630 286790 ) M1M2_PR ;
- net47 ( PIN io_out[20] ) ( macro_15_47 LO ) + USE SIGNAL
+ ROUTED met1 ( 163530 285090 ) ( 171350 * )
NEW met2 ( 163530 285090 ) ( * 296140 0 )
NEW li1 ( 171350 285090 ) L1M1_PR
NEW met1 ( 163530 285090 ) M1M2_PR ;
- net48 ( PIN io_out[21] ) ( macro_15_48 LO ) + USE SIGNAL
+ ROUTED met1 ( 174110 274210 ) ( 175950 * )
NEW met2 ( 174110 274210 ) ( * 278630 )
NEW met1 ( 170430 278630 ) ( 174110 * )
NEW met2 ( 170430 278630 ) ( * 296140 0 )
NEW li1 ( 175950 274210 ) L1M1_PR
NEW met1 ( 174110 274210 ) M1M2_PR
NEW met1 ( 174110 278630 ) M1M2_PR
NEW met1 ( 170430 278630 ) M1M2_PR ;
- net49 ( PIN io_out[22] ) ( macro_15_49 LO ) + USE SIGNAL
+ ROUTED met1 ( 186530 275910 ) ( * 276590 )
NEW met1 ( 178250 276590 ) ( 186530 * )
NEW met2 ( 178250 276590 ) ( * 286620 )
NEW met2 ( 177330 286620 ) ( 178250 * )
NEW met2 ( 177330 286620 ) ( * 296140 0 )
NEW li1 ( 186530 275910 ) L1M1_PR
NEW met1 ( 178250 276590 ) M1M2_PR ;
- net5 ( input5 X ) ( _285_ B1 ) ( _206_ A ) ( _196_ A ) + USE SIGNAL
+ ROUTED met2 ( 164910 284070 ) ( 165370 * )
NEW met2 ( 164910 284070 ) ( * 286790 )
NEW met1 ( 164910 286790 ) ( 169510 * )
NEW met1 ( 169510 286450 ) ( * 286790 )
NEW met1 ( 169510 286450 ) ( 177330 * )
NEW met1 ( 149270 278630 ) ( 150650 * )
NEW met2 ( 150650 278630 ) ( * 282030 )
NEW met1 ( 150650 282030 ) ( 164910 * )
NEW met2 ( 164910 282030 ) ( * 284070 )
NEW met2 ( 148810 276590 ) ( * 278630 )
NEW met1 ( 148810 278630 ) ( 149270 * )
NEW li1 ( 165370 284070 ) L1M1_PR
NEW met1 ( 165370 284070 ) M1M2_PR
NEW met1 ( 164910 286790 ) M1M2_PR
NEW li1 ( 177330 286450 ) L1M1_PR
NEW li1 ( 149270 278630 ) L1M1_PR
NEW met1 ( 150650 278630 ) M1M2_PR
NEW met1 ( 150650 282030 ) M1M2_PR
NEW met1 ( 164910 282030 ) M1M2_PR
NEW li1 ( 148810 276590 ) L1M1_PR
NEW met1 ( 148810 276590 ) M1M2_PR
NEW met1 ( 148810 278630 ) M1M2_PR
NEW met1 ( 165370 284070 ) RECT ( 0 -70 355 70 )
NEW met1 ( 148810 276590 ) RECT ( 0 -70 355 70 ) ;
- net50 ( PIN io_out[23] ) ( macro_15_50 LO ) + USE SIGNAL
+ ROUTED met2 ( 205390 282370 ) ( * 286110 )
NEW met1 ( 184230 282370 ) ( 205390 * )
NEW met2 ( 184230 282370 ) ( * 296140 0 )
NEW li1 ( 205390 286110 ) L1M1_PR
NEW met1 ( 205390 286110 ) M1M2_PR
NEW met1 ( 205390 282370 ) M1M2_PR
NEW met1 ( 184230 282370 ) M1M2_PR
NEW met1 ( 205390 286110 ) RECT ( -355 -70 0 70 ) ;
- net51 ( PIN io_out[24] ) ( macro_15_51 LO ) + USE SIGNAL
+ ROUTED met1 ( 191130 270810 ) ( 191590 * )
NEW met2 ( 191130 270810 ) ( * 296140 0 )
NEW li1 ( 191590 270810 ) L1M1_PR
NEW met1 ( 191130 270810 ) M1M2_PR ;
- net52 ( PIN io_out[25] ) ( macro_15_52 LO ) + USE SIGNAL
+ ROUTED met2 ( 198030 281180 ) ( * 296140 0 )
NEW met2 ( 224250 281180 ) ( * 286110 )
NEW met3 ( 198030 281180 ) ( 224250 * )
NEW met2 ( 198030 281180 ) M2M3_PR
NEW met2 ( 224250 281180 ) M2M3_PR
NEW li1 ( 224250 286110 ) L1M1_PR
NEW met1 ( 224250 286110 ) M1M2_PR
NEW met1 ( 224250 286110 ) RECT ( -355 -70 0 70 ) ;
- net53 ( PIN io_out[26] ) ( macro_15_53 LO ) + USE SIGNAL
+ ROUTED met2 ( 204930 279310 ) ( * 296140 0 )
NEW met2 ( 208610 274210 ) ( * 279310 )
NEW met1 ( 204930 279310 ) ( 208610 * )
NEW met1 ( 204930 279310 ) M1M2_PR
NEW li1 ( 208610 274210 ) L1M1_PR
NEW met1 ( 208610 274210 ) M1M2_PR
NEW met1 ( 208610 279310 ) M1M2_PR
NEW met1 ( 208610 274210 ) RECT ( -355 -70 0 70 ) ;
- net54 ( PIN io_out[27] ) ( macro_15_54 LO ) + USE SIGNAL
+ ROUTED met1 ( 216430 275910 ) ( * 276250 )
NEW met1 ( 211830 276250 ) ( 216430 * )
NEW met2 ( 211830 276250 ) ( * 296140 0 )
NEW li1 ( 216430 275910 ) L1M1_PR
NEW met1 ( 211830 276250 ) M1M2_PR ;
- net55 ( PIN io_out[28] ) ( macro_15_55 LO ) + USE SIGNAL
+ ROUTED met2 ( 231150 282030 ) ( * 284070 )
NEW met1 ( 218730 282030 ) ( 231150 * )
NEW met2 ( 218730 282030 ) ( * 296140 0 )
NEW li1 ( 231150 284070 ) L1M1_PR
NEW met1 ( 231150 284070 ) M1M2_PR
NEW met1 ( 231150 282030 ) M1M2_PR
NEW met1 ( 218730 282030 ) M1M2_PR
NEW met1 ( 231150 284070 ) RECT ( -355 -70 0 70 ) ;
- net56 ( PIN io_out[29] ) ( macro_15_56 LO ) + USE SIGNAL
+ ROUTED met1 ( 225630 281350 ) ( 233910 * )
NEW met2 ( 225630 281350 ) ( * 296140 0 )
NEW li1 ( 233910 281350 ) L1M1_PR
NEW met1 ( 225630 281350 ) M1M2_PR ;
- net57 ( PIN io_out[30] ) ( macro_15_57 LO ) + USE SIGNAL
+ ROUTED met1 ( 232530 281690 ) ( 237130 * )
NEW met2 ( 232530 281690 ) ( * 296140 0 )
NEW li1 ( 237130 281690 ) L1M1_PR
NEW met1 ( 232530 281690 ) M1M2_PR ;
- net58 ( PIN io_out[31] ) ( macro_15_58 LO ) + USE SIGNAL
+ ROUTED met1 ( 239430 284410 ) ( 244030 * )
NEW met2 ( 239430 284410 ) ( * 296140 0 )
NEW li1 ( 244030 284410 ) L1M1_PR
NEW met1 ( 239430 284410 ) M1M2_PR ;
- net59 ( PIN io_out[32] ) ( macro_15_59 LO ) + USE SIGNAL
+ ROUTED met1 ( 246330 284750 ) ( 250470 * )
NEW met2 ( 246330 284750 ) ( * 296140 0 )
NEW li1 ( 250470 284750 ) L1M1_PR
NEW met1 ( 246330 284750 ) M1M2_PR ;
- net6 ( input6 X ) ( _239_ A1 ) ( _238_ A1 ) ( _237_ A1_N ) ( _203_ B_N ) ( _201_ A1 ) ( _200_ C )
( _197_ A1 ) ( _194_ A2 ) + USE SIGNAL
+ ROUTED met1 ( 176410 275570 ) ( 178710 * )
NEW met2 ( 178710 270810 ) ( * 275570 )
NEW met1 ( 172730 273190 ) ( * 273530 )
NEW met1 ( 172730 273530 ) ( 178710 * )
NEW met2 ( 169510 270470 ) ( * 273530 )
NEW met1 ( 169510 273530 ) ( 172730 * )
NEW met2 ( 174110 279140 ) ( * 286110 )
NEW met2 ( 174110 279140 ) ( 174570 * )
NEW met2 ( 174570 275570 ) ( * 279140 )
NEW met1 ( 174570 275570 ) ( 176410 * )
NEW met1 ( 159850 281350 ) ( 165370 * )
NEW met1 ( 165370 281350 ) ( * 281690 )
NEW met1 ( 165370 281690 ) ( 165830 * )
NEW met1 ( 165830 281690 ) ( * 282370 )
NEW met1 ( 165830 282370 ) ( 174110 * )
NEW met2 ( 155710 281350 ) ( * 284410 )
NEW met1 ( 155710 281350 ) ( 159850 * )
NEW met1 ( 158010 276250 ) ( 158930 * )
NEW met2 ( 158010 276250 ) ( * 281350 )
NEW met1 ( 159695 284070 ) ( 160310 * )
NEW met1 ( 160310 284070 ) ( * 284410 )
NEW met1 ( 155710 284410 ) ( 160310 * )
NEW li1 ( 176410 275570 ) L1M1_PR
NEW met1 ( 178710 275570 ) M1M2_PR
NEW li1 ( 178710 270810 ) L1M1_PR
NEW met1 ( 178710 270810 ) M1M2_PR
NEW li1 ( 172730 273190 ) L1M1_PR
NEW met1 ( 178710 273530 ) M1M2_PR
NEW li1 ( 169510 270470 ) L1M1_PR
NEW met1 ( 169510 270470 ) M1M2_PR
NEW met1 ( 169510 273530 ) M1M2_PR
NEW li1 ( 174110 286110 ) L1M1_PR
NEW met1 ( 174110 286110 ) M1M2_PR
NEW met1 ( 174570 275570 ) M1M2_PR
NEW li1 ( 159850 281350 ) L1M1_PR
NEW met1 ( 174110 282370 ) M1M2_PR
NEW li1 ( 155710 284410 ) L1M1_PR
NEW met1 ( 155710 284410 ) M1M2_PR
NEW met1 ( 155710 281350 ) M1M2_PR
NEW li1 ( 158930 276250 ) L1M1_PR
NEW met1 ( 158010 276250 ) M1M2_PR
NEW met1 ( 158010 281350 ) M1M2_PR
NEW li1 ( 159695 284070 ) L1M1_PR
NEW met1 ( 178710 270810 ) RECT ( -355 -70 0 70 )
NEW met2 ( 178710 273530 ) RECT ( -70 -485 70 0 )
NEW met1 ( 169510 270470 ) RECT ( -355 -70 0 70 )
NEW met1 ( 174110 286110 ) RECT ( -355 -70 0 70 )
NEW met2 ( 174110 282370 ) RECT ( -70 -485 70 0 )
NEW met1 ( 155710 284410 ) RECT ( 0 -70 355 70 )
NEW met1 ( 158010 281350 ) RECT ( -595 -70 0 70 ) ;
- net60 ( PIN io_out[33] ) ( macro_15_60 LO ) + USE SIGNAL
+ ROUTED met2 ( 253230 284750 ) ( * 296140 0 )
NEW met1 ( 253230 284750 ) ( 256910 * )
NEW li1 ( 256910 284750 ) L1M1_PR
NEW met1 ( 253230 284750 ) M1M2_PR ;
- net61 ( PIN io_out[34] ) ( macro_15_61 LO ) + USE SIGNAL
+ ROUTED met1 ( 260130 284750 ) ( 264270 * )
NEW met2 ( 260130 284750 ) ( * 296140 0 )
NEW li1 ( 264270 284750 ) L1M1_PR
NEW met1 ( 260130 284750 ) M1M2_PR ;
- net62 ( PIN io_out[35] ) ( macro_15_62 LO ) + USE SIGNAL
+ ROUTED met1 ( 267030 285090 ) ( 267490 * )
NEW met2 ( 267030 285090 ) ( * 296140 0 )
NEW li1 ( 267490 285090 ) L1M1_PR
NEW met1 ( 267030 285090 ) M1M2_PR ;
- net63 ( PIN io_out[36] ) ( macro_15_63 LO ) + USE SIGNAL
+ ROUTED met1 ( 273930 285090 ) ( 275310 * )
NEW met2 ( 273930 285090 ) ( * 296140 0 )
NEW li1 ( 275310 285090 ) L1M1_PR
NEW met1 ( 273930 285090 ) M1M2_PR ;
- net64 ( PIN io_out[37] ) ( macro_15_64 LO ) + USE SIGNAL
+ ROUTED met1 ( 280830 285090 ) ( 281290 * )
NEW met2 ( 280830 285090 ) ( * 296140 0 )
NEW li1 ( 281290 285090 ) L1M1_PR
NEW met1 ( 280830 285090 ) M1M2_PR ;
- net65 ( PIN la_data_out[0] ) ( macro_15_65 LO ) + USE SIGNAL
+ ROUTED met2 ( 86710 3740 0 ) ( * 17510 )
NEW met1 ( 86710 17510 ) ( 87170 * )
NEW met1 ( 86710 17510 ) M1M2_PR
NEW li1 ( 87170 17510 ) L1M1_PR ;
- net66 ( PIN la_data_out[1] ) ( macro_15_66 LO ) + USE SIGNAL
+ ROUTED met2 ( 88090 3740 0 ) ( * 12410 )
NEW met1 ( 83030 12410 ) ( 88090 * )
NEW met1 ( 88090 12410 ) M1M2_PR
NEW li1 ( 83030 12410 ) L1M1_PR ;
- net67 ( PIN la_data_out[2] ) ( macro_15_67 LO ) + USE SIGNAL
+ ROUTED met2 ( 89470 3740 0 ) ( * 14110 )
NEW met1 ( 87630 14110 ) ( 89470 * )
NEW met1 ( 89470 14110 ) M1M2_PR
NEW li1 ( 87630 14110 ) L1M1_PR ;
- net68 ( PIN la_data_out[3] ) ( macro_15_68 LO ) + USE SIGNAL
+ ROUTED met2 ( 90850 3740 0 ) ( * 17510 )
NEW met1 ( 90850 17510 ) ( 91310 * )
NEW met1 ( 90850 17510 ) M1M2_PR
NEW li1 ( 91310 17510 ) L1M1_PR ;
- net69 ( PIN la_data_out[4] ) ( macro_15_69 LO ) + USE SIGNAL
+ ROUTED met2 ( 92230 3740 0 ) ( * 12750 )
NEW met1 ( 86250 12750 ) ( 92230 * )
NEW met1 ( 92230 12750 ) M1M2_PR
NEW li1 ( 86250 12750 ) L1M1_PR ;
- net7 ( input7 X ) ( _252_ A1 ) ( _251_ A1 ) ( _201_ B1_N ) ( _200_ A_N ) ( _197_ A2 ) ( _194_ A3 ) + USE SIGNAL
+ ROUTED met2 ( 173190 281690 ) ( * 286790 )
NEW met1 ( 173190 286790 ) ( 185150 * )
NEW met1 ( 176870 276250 ) ( * 276590 )
NEW met1 ( 173190 276590 ) ( 176870 * )
NEW met2 ( 173190 276590 ) ( * 281690 )
NEW met2 ( 173190 273190 ) ( * 276590 )
NEW met1 ( 173190 269790 ) ( 179170 * )
NEW met2 ( 173190 269790 ) ( * 273190 )
NEW met1 ( 171350 271490 ) ( 173190 * )
NEW met1 ( 167210 281690 ) ( * 282030 )
NEW met1 ( 167210 282030 ) ( 173190 * )
NEW met1 ( 173190 281690 ) ( * 282030 )
NEW li1 ( 173190 281690 ) L1M1_PR
NEW met1 ( 173190 281690 ) M1M2_PR
NEW met1 ( 173190 286790 ) M1M2_PR
NEW li1 ( 185150 286790 ) L1M1_PR
NEW li1 ( 176870 276250 ) L1M1_PR
NEW met1 ( 173190 276590 ) M1M2_PR
NEW li1 ( 173190 273190 ) L1M1_PR
NEW met1 ( 173190 273190 ) M1M2_PR
NEW li1 ( 179170 269790 ) L1M1_PR
NEW met1 ( 173190 269790 ) M1M2_PR
NEW li1 ( 171350 271490 ) L1M1_PR
NEW met1 ( 173190 271490 ) M1M2_PR
NEW li1 ( 167210 281690 ) L1M1_PR
NEW met1 ( 173190 281690 ) RECT ( -355 -70 0 70 )
NEW met1 ( 173190 273190 ) RECT ( 0 -70 355 70 )
NEW met2 ( 173190 271490 ) RECT ( -70 -485 70 0 ) ;
- net70 ( PIN la_data_out[5] ) ( macro_15_70 LO ) + USE SIGNAL
+ ROUTED met2 ( 93610 3740 0 ) ( * 14110 )
NEW met1 ( 90850 14110 ) ( 93610 * )
NEW met1 ( 93610 14110 ) M1M2_PR
NEW li1 ( 90850 14110 ) L1M1_PR ;
- net71 ( PIN la_data_out[6] ) ( macro_15_71 LO ) + USE SIGNAL
+ ROUTED met2 ( 94990 3740 0 ) ( * 17510 )
NEW li1 ( 94990 17510 ) L1M1_PR
NEW met1 ( 94990 17510 ) M1M2_PR
NEW met1 ( 94990 17510 ) RECT ( -355 -70 0 70 ) ;
- net72 ( PIN la_data_out[7] ) ( macro_15_72 LO ) + USE SIGNAL
+ ROUTED met2 ( 96370 3740 0 ) ( * 12410 )
NEW met1 ( 89470 12410 ) ( 96370 * )
NEW met1 ( 96370 12410 ) M1M2_PR
NEW li1 ( 89470 12410 ) L1M1_PR ;
- net73 ( PIN la_data_out[8] ) ( macro_15_73 LO ) + USE SIGNAL
+ ROUTED met2 ( 97750 3740 0 ) ( * 7140 )
NEW met2 ( 97290 7140 ) ( 97750 * )
NEW met2 ( 97290 7140 ) ( * 14110 )
NEW met1 ( 94070 14110 ) ( 97290 * )
NEW met1 ( 97290 14110 ) M1M2_PR
NEW li1 ( 94070 14110 ) L1M1_PR ;
- net74 ( PIN la_data_out[9] ) ( macro_15_74 LO ) + USE SIGNAL
+ ROUTED met2 ( 99130 3740 0 ) ( * 9860 )
NEW met2 ( 99130 9860 ) ( 100050 * )
NEW met2 ( 100050 9860 ) ( * 19550 )
NEW met1 ( 99590 19550 ) ( 100050 * )
NEW met1 ( 100050 19550 ) M1M2_PR
NEW li1 ( 99590 19550 ) L1M1_PR ;
- net75 ( PIN la_data_out[10] ) ( macro_15_75 LO ) + USE SIGNAL
+ ROUTED met2 ( 100510 3740 0 ) ( * 12750 )
NEW met1 ( 92690 12750 ) ( 100510 * )
NEW met1 ( 100510 12750 ) M1M2_PR
NEW li1 ( 92690 12750 ) L1M1_PR ;
- net76 ( PIN la_data_out[11] ) ( macro_15_76 LO ) + USE SIGNAL
+ ROUTED met2 ( 101890 3740 0 ) ( * 17510 )
NEW met1 ( 99130 17510 ) ( 101890 * )
NEW met1 ( 101890 17510 ) M1M2_PR
NEW li1 ( 99130 17510 ) L1M1_PR ;
- net77 ( PIN la_data_out[12] ) ( macro_15_77 LO ) + USE SIGNAL
+ ROUTED met2 ( 103270 3740 0 ) ( * 19550 )
NEW met1 ( 103270 19550 ) ( 103730 * )
NEW met1 ( 103270 19550 ) M1M2_PR
NEW li1 ( 103730 19550 ) L1M1_PR ;
- net78 ( PIN la_data_out[13] ) ( macro_15_78 LO ) + USE SIGNAL
+ ROUTED met2 ( 104650 3740 0 ) ( * 17510 )
NEW met1 ( 102350 17510 ) ( 104650 * )
NEW met1 ( 104650 17510 ) M1M2_PR
NEW li1 ( 102350 17510 ) L1M1_PR ;
- net79 ( PIN la_data_out[14] ) ( macro_15_79 LO ) + USE SIGNAL
+ ROUTED met2 ( 106030 3740 0 ) ( * 14790 )
NEW met1 ( 98210 14790 ) ( 106030 * )
NEW met1 ( 106030 14790 ) M1M2_PR
NEW li1 ( 98210 14790 ) L1M1_PR ;
- net8 ( input8 X ) ( _264_ B1 ) ( _198_ A ) ( _194_ A1 ) + USE SIGNAL
+ ROUTED met2 ( 175950 276250 ) ( * 276930 )
NEW met1 ( 175950 276930 ) ( 177330 * )
NEW met2 ( 177330 276930 ) ( * 284580 )
NEW met2 ( 177330 284580 ) ( 177790 * )
NEW met2 ( 177790 284580 ) ( * 286110 )
NEW met1 ( 177790 286110 ) ( 191590 * )
NEW met1 ( 191590 286110 ) ( * 286790 )
NEW met1 ( 175030 270810 ) ( 175100 * )
NEW met2 ( 175030 270810 ) ( * 271490 )
NEW met1 ( 175030 271490 ) ( 177330 * )
NEW met2 ( 177330 271490 ) ( * 276930 )
NEW met1 ( 160770 278290 ) ( * 278630 )
NEW met1 ( 160770 278290 ) ( 162150 * )
NEW met1 ( 162150 277950 ) ( * 278290 )
NEW met1 ( 162150 277950 ) ( 175950 * )
NEW met2 ( 175950 276930 ) ( * 277950 )
NEW li1 ( 175950 276250 ) L1M1_PR
NEW met1 ( 175950 276250 ) M1M2_PR
NEW met1 ( 175950 276930 ) M1M2_PR
NEW met1 ( 177330 276930 ) M1M2_PR
NEW met1 ( 177790 286110 ) M1M2_PR
NEW li1 ( 191590 286790 ) L1M1_PR
NEW li1 ( 175100 270810 ) L1M1_PR
NEW met1 ( 175030 270810 ) M1M2_PR
NEW met1 ( 175030 271490 ) M1M2_PR
NEW met1 ( 177330 271490 ) M1M2_PR
NEW li1 ( 160770 278630 ) L1M1_PR
NEW met1 ( 175950 277950 ) M1M2_PR
NEW met1 ( 175950 276250 ) RECT ( -355 -70 0 70 ) ;
- net80 ( PIN la_data_out[15] ) ( macro_15_80 LO ) + USE SIGNAL
+ ROUTED met2 ( 107410 3740 0 ) ( * 22950 )
NEW met1 ( 107410 22950 ) ( 107870 * )
NEW met1 ( 107410 22950 ) M1M2_PR
NEW li1 ( 107870 22950 ) L1M1_PR ;
- net81 ( PIN la_data_out[16] ) ( macro_15_81 LO ) + USE SIGNAL
+ ROUTED met2 ( 108790 3740 0 ) ( * 14450 )
NEW met1 ( 101430 14450 ) ( 108790 * )
NEW met1 ( 108790 14450 ) M1M2_PR
NEW li1 ( 101430 14450 ) L1M1_PR ;
- net82 ( PIN la_data_out[17] ) ( macro_15_82 LO ) + USE SIGNAL
+ ROUTED met2 ( 110170 3740 0 ) ( * 19550 )
NEW met1 ( 107870 19550 ) ( 110170 * )
NEW met1 ( 110170 19550 ) M1M2_PR
NEW li1 ( 107870 19550 ) L1M1_PR ;
- net83 ( PIN la_data_out[18] ) ( macro_15_83 LO ) + USE SIGNAL
+ ROUTED met2 ( 111550 3740 0 ) ( * 18190 )
NEW met1 ( 105570 18190 ) ( 111550 * )
NEW met1 ( 111550 18190 ) M1M2_PR
NEW li1 ( 105570 18190 ) L1M1_PR ;
- net84 ( PIN la_data_out[19] ) ( macro_15_84 LO ) + USE SIGNAL
+ ROUTED met2 ( 112930 3740 0 ) ( * 22950 )
NEW met1 ( 112930 22950 ) ( 113390 * )
NEW met1 ( 112930 22950 ) M1M2_PR
NEW li1 ( 113390 22950 ) L1M1_PR ;
- net85 ( PIN la_data_out[20] ) ( macro_15_85 LO ) + USE SIGNAL
+ ROUTED met2 ( 114310 3740 0 ) ( * 12410 )
NEW met1 ( 97750 12410 ) ( 114310 * )
NEW met1 ( 114310 12410 ) M1M2_PR
NEW li1 ( 97750 12410 ) L1M1_PR ;
- net86 ( PIN la_data_out[21] ) ( macro_15_86 LO ) + USE SIGNAL
+ ROUTED met2 ( 115690 3740 0 ) ( * 24990 )
NEW met1 ( 115690 24990 ) ( 116150 * )
NEW met1 ( 115690 24990 ) M1M2_PR
NEW li1 ( 116150 24990 ) L1M1_PR ;
- net87 ( PIN la_data_out[22] ) ( macro_15_87 LO ) + USE SIGNAL
+ ROUTED met2 ( 117070 3740 0 ) ( * 14790 )
NEW met1 ( 110630 14790 ) ( 117070 * )
NEW met1 ( 110630 14790 ) ( * 15130 )
NEW met1 ( 104650 15130 ) ( 110630 * )
NEW met1 ( 117070 14790 ) M1M2_PR
NEW li1 ( 104650 15130 ) L1M1_PR ;
- net88 ( PIN la_data_out[23] ) ( macro_15_88 LO ) + USE SIGNAL
+ ROUTED met2 ( 118450 3740 0 ) ( * 19890 )
NEW met1 ( 111090 19890 ) ( 118450 * )
NEW met1 ( 118450 19890 ) M1M2_PR
NEW li1 ( 111090 19890 ) L1M1_PR ;
- net89 ( PIN la_data_out[24] ) ( macro_15_89 LO ) + USE SIGNAL
+ ROUTED met2 ( 119830 3740 0 ) ( * 23630 )
NEW met1 ( 117530 23630 ) ( 119830 * )
NEW met1 ( 119830 23630 ) M1M2_PR
NEW li1 ( 117530 23630 ) L1M1_PR ;
- net9 ( input9 X ) ( _286_ A1 ) ( _285_ A1 ) ( _209_ A1 ) ( _195_ A ) + USE SIGNAL
+ ROUTED met1 ( 175490 278630 ) ( 180550 * )
NEW met2 ( 180550 278630 ) ( * 282030 )
NEW met2 ( 180550 282030 ) ( 181010 * )
NEW met2 ( 181010 282030 ) ( * 283390 )
NEW met1 ( 181010 283390 ) ( 195040 * )
NEW met2 ( 171810 276590 ) ( * 278290 )
NEW met1 ( 171810 278290 ) ( 175490 * )
NEW met1 ( 175490 278290 ) ( * 278630 )
NEW met1 ( 170890 286790 ) ( * 287130 )
NEW met1 ( 170890 286790 ) ( 171810 * )
NEW met2 ( 171810 278290 ) ( * 286790 )
NEW met1 ( 166750 284070 ) ( 171810 * )
NEW li1 ( 175490 278630 ) L1M1_PR
NEW met1 ( 180550 278630 ) M1M2_PR
NEW met1 ( 181010 283390 ) M1M2_PR
NEW li1 ( 195040 283390 ) L1M1_PR
NEW li1 ( 171810 276590 ) L1M1_PR
NEW met1 ( 171810 276590 ) M1M2_PR
NEW met1 ( 171810 278290 ) M1M2_PR
NEW li1 ( 170890 287130 ) L1M1_PR
NEW met1 ( 171810 286790 ) M1M2_PR
NEW li1 ( 166750 284070 ) L1M1_PR
NEW met1 ( 171810 284070 ) M1M2_PR
NEW met1 ( 171810 276590 ) RECT ( -355 -70 0 70 )
NEW met2 ( 171810 284070 ) RECT ( -70 -485 70 0 ) ;
- net90 ( PIN la_data_out[25] ) ( macro_15_90 LO ) + USE SIGNAL
+ ROUTED met2 ( 121210 3740 0 ) ( * 5780 )
NEW met2 ( 121210 5780 ) ( 121670 * )
NEW met2 ( 121670 5780 ) ( * 16830 )
NEW met1 ( 108790 16830 ) ( 121670 * )
NEW met1 ( 108790 16830 ) ( * 17510 )
NEW met1 ( 121670 16830 ) M1M2_PR
NEW li1 ( 108790 17510 ) L1M1_PR ;
- net91 ( PIN la_data_out[26] ) ( macro_15_91 LO ) + USE SIGNAL
+ ROUTED met2 ( 122590 3740 0 ) ( * 6970 )
NEW met1 ( 118910 6970 ) ( 122590 * )
NEW met2 ( 118910 6970 ) ( * 11730 )
NEW met1 ( 100970 11730 ) ( 118910 * )
NEW met1 ( 100970 11730 ) ( * 12070 )
NEW met1 ( 122590 6970 ) M1M2_PR
NEW met1 ( 118910 6970 ) M1M2_PR
NEW met1 ( 118910 11730 ) M1M2_PR
NEW li1 ( 100970 12070 ) L1M1_PR ;
- net92 ( PIN la_data_out[27] ) ( macro_15_92 LO ) + USE SIGNAL
+ ROUTED met2 ( 123970 3740 0 ) ( * 25670 )
NEW met1 ( 120750 25670 ) ( 123970 * )
NEW met1 ( 123970 25670 ) M1M2_PR
NEW li1 ( 120750 25670 ) L1M1_PR ;
- net93 ( PIN la_data_out[28] ) ( macro_15_93 LO ) + USE SIGNAL
+ ROUTED met2 ( 125350 3740 0 ) ( * 12750 )
NEW met1 ( 104190 12750 ) ( 125350 * )
NEW met1 ( 125350 12750 ) M1M2_PR
NEW li1 ( 104190 12750 ) L1M1_PR ;
- net94 ( PIN la_data_out[29] ) ( macro_15_94 LO ) + USE SIGNAL
+ ROUTED met2 ( 126730 3740 0 ) ( * 18190 )
NEW met1 ( 122590 18190 ) ( 126730 * )
NEW met2 ( 122590 18190 ) ( * 19550 )
NEW met1 ( 114310 19550 ) ( 122590 * )
NEW met1 ( 126730 18190 ) M1M2_PR
NEW met1 ( 122590 18190 ) M1M2_PR
NEW met1 ( 122590 19550 ) M1M2_PR
NEW li1 ( 114310 19550 ) L1M1_PR ;
- net95 ( PIN la_data_out[30] ) ( macro_15_95 LO ) + USE SIGNAL
+ ROUTED met2 ( 128110 3740 0 ) ( * 7140 )
NEW met2 ( 127650 7140 ) ( 128110 * )
NEW met2 ( 127650 7140 ) ( * 14110 )
NEW met1 ( 107870 14110 ) ( 127650 * )
NEW met1 ( 127650 14110 ) M1M2_PR
NEW li1 ( 107870 14110 ) L1M1_PR ;
- net96 ( PIN la_data_out[31] ) ( macro_15_96 LO ) + USE SIGNAL
+ ROUTED met2 ( 129490 3740 0 ) ( * 10370 )
NEW met1 ( 120750 10370 ) ( 129490 * )
NEW met2 ( 120750 10370 ) ( * 12070 )
NEW met1 ( 107410 12070 ) ( 120750 * )
NEW met1 ( 129490 10370 ) M1M2_PR
NEW met1 ( 120750 10370 ) M1M2_PR
NEW met1 ( 120750 12070 ) M1M2_PR
NEW li1 ( 107410 12070 ) L1M1_PR ;
- net97 ( PIN la_data_out[64] ) ( macro_15_97 LO ) + USE SIGNAL
+ ROUTED met2 ( 175030 3740 0 ) ( * 12750 )
NEW met1 ( 175030 12750 ) ( 190210 * )
NEW li1 ( 190210 12750 ) L1M1_PR
NEW met1 ( 175030 12750 ) M1M2_PR ;
- net98 ( PIN la_data_out[65] ) ( macro_15_98 LO ) + USE SIGNAL
+ ROUTED met2 ( 176410 3740 0 ) ( * 7820 )
NEW met2 ( 176410 7820 ) ( 176870 * )
NEW met2 ( 176870 7820 ) ( * 14790 )
NEW met1 ( 176870 14790 ) ( 189750 * )
NEW li1 ( 189750 14790 ) L1M1_PR
NEW met1 ( 176870 14790 ) M1M2_PR ;
- net99 ( PIN la_data_out[66] ) ( macro_15_99 LO ) + USE SIGNAL
+ ROUTED met2 ( 193430 13090 ) ( * 13940 )
NEW met2 ( 177790 3740 0 ) ( * 13940 )
NEW met3 ( 177790 13940 ) ( 193430 * )
NEW li1 ( 193430 13090 ) L1M1_PR
NEW met1 ( 193430 13090 ) M1M2_PR
NEW met2 ( 193430 13940 ) M2M3_PR
NEW met2 ( 177790 13940 ) M2M3_PR
NEW met1 ( 193430 13090 ) RECT ( -355 -70 0 70 ) ;
- wb_clk_i ( PIN wb_clk_i ) + USE SIGNAL ;
- wb_rst_i ( PIN wb_rst_i ) + USE SIGNAL ;
- wbs_adr_i[0] ( PIN wbs_adr_i[0] ) + USE SIGNAL ;
- wbs_adr_i[10] ( PIN wbs_adr_i[10] ) + USE SIGNAL ;
- wbs_adr_i[11] ( PIN wbs_adr_i[11] ) + USE SIGNAL ;
- wbs_adr_i[12] ( PIN wbs_adr_i[12] ) + USE SIGNAL ;
- wbs_adr_i[13] ( PIN wbs_adr_i[13] ) + USE SIGNAL ;
- wbs_adr_i[14] ( PIN wbs_adr_i[14] ) + USE SIGNAL ;
- wbs_adr_i[15] ( PIN wbs_adr_i[15] ) + USE SIGNAL ;
- wbs_adr_i[16] ( PIN wbs_adr_i[16] ) + USE SIGNAL ;
- wbs_adr_i[17] ( PIN wbs_adr_i[17] ) + USE SIGNAL ;
- wbs_adr_i[18] ( PIN wbs_adr_i[18] ) + USE SIGNAL ;
- wbs_adr_i[19] ( PIN wbs_adr_i[19] ) + USE SIGNAL ;
- wbs_adr_i[1] ( PIN wbs_adr_i[1] ) + USE SIGNAL ;
- wbs_adr_i[20] ( PIN wbs_adr_i[20] ) + USE SIGNAL ;
- wbs_adr_i[21] ( PIN wbs_adr_i[21] ) + USE SIGNAL ;
- wbs_adr_i[22] ( PIN wbs_adr_i[22] ) + USE SIGNAL ;
- wbs_adr_i[23] ( PIN wbs_adr_i[23] ) + USE SIGNAL ;
- wbs_adr_i[24] ( PIN wbs_adr_i[24] ) + USE SIGNAL ;
- wbs_adr_i[25] ( PIN wbs_adr_i[25] ) + USE SIGNAL ;
- wbs_adr_i[26] ( PIN wbs_adr_i[26] ) + USE SIGNAL ;
- wbs_adr_i[27] ( PIN wbs_adr_i[27] ) + USE SIGNAL ;
- wbs_adr_i[28] ( PIN wbs_adr_i[28] ) + USE SIGNAL ;
- wbs_adr_i[29] ( PIN wbs_adr_i[29] ) + USE SIGNAL ;
- wbs_adr_i[2] ( PIN wbs_adr_i[2] ) + USE SIGNAL ;
- wbs_adr_i[30] ( PIN wbs_adr_i[30] ) + USE SIGNAL ;
- wbs_adr_i[31] ( PIN wbs_adr_i[31] ) + USE SIGNAL ;
- wbs_adr_i[3] ( PIN wbs_adr_i[3] ) + USE SIGNAL ;
- wbs_adr_i[4] ( PIN wbs_adr_i[4] ) + USE SIGNAL ;
- wbs_adr_i[5] ( PIN wbs_adr_i[5] ) + USE SIGNAL ;
- wbs_adr_i[6] ( PIN wbs_adr_i[6] ) + USE SIGNAL ;
- wbs_adr_i[7] ( PIN wbs_adr_i[7] ) + USE SIGNAL ;
- wbs_adr_i[8] ( PIN wbs_adr_i[8] ) + USE SIGNAL ;
- wbs_adr_i[9] ( PIN wbs_adr_i[9] ) + USE SIGNAL ;
- wbs_cyc_i ( PIN wbs_cyc_i ) + USE SIGNAL ;
- wbs_dat_i[0] ( PIN wbs_dat_i[0] ) + USE SIGNAL ;
- wbs_dat_i[10] ( PIN wbs_dat_i[10] ) + USE SIGNAL ;
- wbs_dat_i[11] ( PIN wbs_dat_i[11] ) + USE SIGNAL ;
- wbs_dat_i[12] ( PIN wbs_dat_i[12] ) + USE SIGNAL ;
- wbs_dat_i[13] ( PIN wbs_dat_i[13] ) + USE SIGNAL ;
- wbs_dat_i[14] ( PIN wbs_dat_i[14] ) + USE SIGNAL ;
- wbs_dat_i[15] ( PIN wbs_dat_i[15] ) + USE SIGNAL ;
- wbs_dat_i[16] ( PIN wbs_dat_i[16] ) + USE SIGNAL ;
- wbs_dat_i[17] ( PIN wbs_dat_i[17] ) + USE SIGNAL ;
- wbs_dat_i[18] ( PIN wbs_dat_i[18] ) + USE SIGNAL ;
- wbs_dat_i[19] ( PIN wbs_dat_i[19] ) + USE SIGNAL ;
- wbs_dat_i[1] ( PIN wbs_dat_i[1] ) + USE SIGNAL ;
- wbs_dat_i[20] ( PIN wbs_dat_i[20] ) + USE SIGNAL ;
- wbs_dat_i[21] ( PIN wbs_dat_i[21] ) + USE SIGNAL ;
- wbs_dat_i[22] ( PIN wbs_dat_i[22] ) + USE SIGNAL ;
- wbs_dat_i[23] ( PIN wbs_dat_i[23] ) + USE SIGNAL ;
- wbs_dat_i[24] ( PIN wbs_dat_i[24] ) + USE SIGNAL ;
- wbs_dat_i[25] ( PIN wbs_dat_i[25] ) + USE SIGNAL ;
- wbs_dat_i[26] ( PIN wbs_dat_i[26] ) + USE SIGNAL ;
- wbs_dat_i[27] ( PIN wbs_dat_i[27] ) + USE SIGNAL ;
- wbs_dat_i[28] ( PIN wbs_dat_i[28] ) + USE SIGNAL ;
- wbs_dat_i[29] ( PIN wbs_dat_i[29] ) + USE SIGNAL ;
- wbs_dat_i[2] ( PIN wbs_dat_i[2] ) + USE SIGNAL ;
- wbs_dat_i[30] ( PIN wbs_dat_i[30] ) + USE SIGNAL ;
- wbs_dat_i[31] ( PIN wbs_dat_i[31] ) + USE SIGNAL ;
- wbs_dat_i[3] ( PIN wbs_dat_i[3] ) + USE SIGNAL ;
- wbs_dat_i[4] ( PIN wbs_dat_i[4] ) + USE SIGNAL ;
- wbs_dat_i[5] ( PIN wbs_dat_i[5] ) + USE SIGNAL ;
- wbs_dat_i[6] ( PIN wbs_dat_i[6] ) + USE SIGNAL ;
- wbs_dat_i[7] ( PIN wbs_dat_i[7] ) + USE SIGNAL ;
- wbs_dat_i[8] ( PIN wbs_dat_i[8] ) + USE SIGNAL ;
- wbs_dat_i[9] ( PIN wbs_dat_i[9] ) + USE SIGNAL ;
- wbs_sel_i[0] ( PIN wbs_sel_i[0] ) + USE SIGNAL ;
- wbs_sel_i[1] ( PIN wbs_sel_i[1] ) + USE SIGNAL ;
- wbs_sel_i[2] ( PIN wbs_sel_i[2] ) + USE SIGNAL ;
- wbs_sel_i[3] ( PIN wbs_sel_i[3] ) + USE SIGNAL ;
- wbs_stb_i ( PIN wbs_stb_i ) + USE SIGNAL ;
- wbs_we_i ( PIN wbs_we_i ) + USE SIGNAL ;
END NETS
END DESIGN