blob: 90837d485dae0763660e24487b1e9fcf6675e15c [file] [log] [blame]
{
"DESIGN_NAME": "user_project_wrapper",
"VERILOG_FILES": ["dir::../../verilog/rtl/defines.v", "dir::../../verilog/rtl/user_project_wrapper.v"],
"CLOCK_PERIOD": 10,
"CLOCK_PORT": "user_clock2",
"CLOCK_NET": "mprj.clk",
"FP_PDN_MACRO_HOOKS": ["u_macro_golden vccd1 vssd1 vccd1 vssd1,u_macro_7 vccd1 vssd1 vccd1 vssd1, u_macro_10 vccd1 vssd1 vccd1 vssd1, u_macro_15 vccd1 vssd1 vccd1 vssd1, u_macro_decap_3 vccd1 vssd1 vccd1 vssd1, u_macro_decap_12 vccd1 vssd1 vccd1 vssd1, u_macro_nodecap vccd1 vssd1 vccd1 vssd1, u_macro_nofill vccd1 vssd1 vccd1 vssd1"],
"MACRO_PLACEMENT_CFG": "dir::macro.cfg",
"VERILOG_FILES_BLACKBOX": ["dir::../../verilog/rtl/defines.v", "dir::../../verilog/rtl/macro_golden.v", "dir::../../verilog/rtl/macro_7.v", "dir::../../verilog/rtl/macro_10.v", "dir::../../verilog/rtl/macro_15.v", "dir::../../verilog/rtl/macro_decap_3.v", "dir::../../verilog/rtl/macro_decap_12.v", "dir::../../verilog/rtl/macro_nodecap.v", "dir::../../verilog/rtl/macro_nofill.v", "dir::../../verilog/rtl/alu_xor_4.v"],
"EXTRA_LEFS": ["dir::../../lef/macro_golden.lef", "dir::../../lef/macro_7.lef", "dir::../../lef/macro_10.lef", "dir::../../lef/macro_15.lef", "dir::../../lef/macro_decap_3.lef", "dir::../../lef/macro_decap_12.lef", "dir::../../lef/macro_nodecap.lef", "dir::../../lef/macro_nofill.lef"],
"EXTRA_GDS_FILES": ["dir::../../gds/macro_golden.gds", "dir::../../gds/macro_7.gds", "dir::../../gds/macro_10.gds", "dir::../../gds/macro_15.gds", "dir::../../gds/macro_decap_3.gds", "dir::../../gds/macro_decap_12.gds", "dir::../../gds/macro_nodecap.gds", "dir::../../gds/macro_nofill.gds"],
"FP_PDN_CHECK_NODES": 0,
"SYNTH_ELABORATE_ONLY": 1,
"PL_RANDOM_GLB_PLACEMENT": 1,
"PL_RESIZER_DESIGN_OPTIMIZATIONS": 0,
"PL_RESIZER_TIMING_OPTIMIZATIONS": 0,
"PL_RESIZER_BUFFER_INPUT_PORTS": 0,
"GLB_RESIZER_TIMING_OPTIMIZATIONS": 0,
"FP_PDN_ENABLE_RAILS": 0,
"DIODE_INSERTION_STRATEGY": 0,
"RUN_FILL_INSERTION": 0,
"RUN_TAP_DECAP_INSERTION": 0,
"FP_PDN_VPITCH": 180,
"FP_PDN_HPITCH": 180,
"CLOCK_TREE_SYNTH": 0,
"FP_PDN_VOFFSET": 5,
"FP_PDN_HOFFSET": 5,
"MAGIC_ZEROIZE_ORIGIN": 0,
"FP_SIZING": "absolute",
"RUN_CVC": 0,
"UNIT": "2.4",
"FP_IO_VEXTEND": "expr::2 * $UNIT",
"FP_IO_HEXTEND": "expr::2 * $UNIT",
"FP_IO_VLENGTH": "ref::$UNIT",
"FP_IO_HLENGTH": "ref::$UNIT",
"FP_IO_VTHICKNESS_MULT": 4,
"FP_IO_HTHICKNESS_MULT": 4,
"FP_PDN_CORE_RING": 1,
"FP_PDN_CORE_RING_VWIDTH": 3.1,
"FP_PDN_CORE_RING_HWIDTH": 3.1,
"FP_PDN_CORE_RING_VOFFSET": 12.45,
"FP_PDN_CORE_RING_HOFFSET": 12.45,
"FP_PDN_CORE_RING_VSPACING": 1.7,
"FP_PDN_CORE_RING_HSPACING": 1.7,
"FP_PDN_VWIDTH": 3.1,
"FP_PDN_HWIDTH": 3.1,
"FP_PDN_VSPACING": "expr::(5 * $FP_PDN_CORE_RING_VWIDTH)",
"FP_PDN_HSPACING": "expr::(5 * $FP_PDN_CORE_RING_HWIDTH)",
"VDD_NETS": ["vccd1","vccd2","vdda1","vdda2"],
"GND_NETS": ["vssd1","vssd2","vssa1","vssa2"],
"SYNTH_USE_PG_PINS_DEFINES": "USE_POWER_PINS",
"pdk::sky130*": {
"RT_MAX_LAYER": "met4",
"DIE_AREA": "0 0 2920 3520",
"FP_DEF_TEMPLATE": "dir::fixed_dont_change/user_project_wrapper.def",
"scl::sky130_fd_sc_hd": {
"CLOCK_PERIOD": 10
},
"scl::sky130_fd_sc_hdll": {
"CLOCK_PERIOD": 10
},
"scl::sky130_fd_sc_hs": {
"CLOCK_PERIOD": 8
},
"scl::sky130_fd_sc_ls": {
"CLOCK_PERIOD": 10,
"SYNTH_MAX_FANOUT": 5
},
"scl::sky130_fd_sc_ms": {
"CLOCK_PERIOD": 10
}
},
"pdk::gf180mcuC": {
"STD_CELL_LIBRARY": "gf180mcu_fd_sc_mcu7t5v0",
"FP_PDN_CHECK_NODES": 0,
"FP_PDN_ENABLE_RAILS": 0,
"RT_MAX_LAYER": "Metal4",
"DIE_AREA": "0 0 3000 3000",
"FP_DEF_TEMPLATE": "dir::fixed_dont_change/user_project_wrapper_gf180mcu.def",
"PL_OPENPHYSYN_OPTIMIZATIONS": 0,
"DIODE_INSERTION_STRATEGY": 0,
"FP_PDN_CHECK_NODES": 0,
"MAGIC_WRITE_FULL_LEF": 0,
"FP_PDN_ENABLE_RAILS": 0
}
}