blob: 3983fdb5bdb70c15c453167d94f8e260f835441a [file] [log] [blame]
[
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|DatRAM",
"index":-1.0
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|DatRAM_1",
"index":-1.0
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|TagRAM",
"duplicate":"~Rift2LinkA|Rift2LinkA/i_rift2Core:Rift2Core/if2:IF2/tagRAM_0:TagRAM",
"index":0.019762845849802372
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|TagRAM_1",
"duplicate":"~Rift2LinkA|Rift2LinkA/i_rift2Core:Rift2Core/if2:IF2/tagRAM_1:TagRAM",
"index":0.023715415019762844
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|MaxPeriodFibonacciLFSR",
"duplicate":"~Rift2LinkA|Rift2LinkA/i_rift2Core:Rift2Core/if2:IF2/rpl_sel_rpl_prng:MaxPeriodFibonacciLFSR",
"index":0.02766798418972332
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|Queue_7",
"duplicate":"~Rift2LinkA|Rift2LinkA/i_rift2Core:Rift2Core/exe_stage:Execute/alu_0:Alu/alu_exe_iwb_fifo:Queue_7",
"index":0.2015810276679842
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|Queue_8",
"duplicate":"~Rift2LinkA|Rift2LinkA/i_rift2Core:Rift2Core/exe_stage:Execute/bru:Bru/bru_exe_iwb_fifo:Queue_7",
"index":0.20948616600790515
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|IO_Lsu",
"duplicate":"~Rift2LinkA|Rift2LinkA/i_rift2Core:Rift2Core/exe_stage:Execute/lsu:Lsu/system:IO_Lsu",
"index":0.233201581027668
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|IO_Lsu_1",
"duplicate":"~Rift2LinkA|Rift2LinkA/i_rift2Core:Rift2Core/exe_stage:Execute/lsu:Lsu/periph:IO_Lsu",
"index":0.23715415019762845
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|DatRAM_2",
"index":-1.0
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|DatRAM_3",
"index":-1.0
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|TagRAM_2",
"duplicate":"~Rift2LinkA|Rift2LinkA/i_rift2Core:Rift2Core/exe_stage:Execute/lsu:Lsu/cache_0:Dcache/stage:DcacheStage/tagRAM_0:TagRAM",
"index":0.2885375494071146
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|TagRAM_3",
"duplicate":"~Rift2LinkA|Rift2LinkA/i_rift2Core:Rift2Core/exe_stage:Execute/lsu:Lsu/cache_0:Dcache/stage:DcacheStage/tagRAM_1:TagRAM",
"index":0.2924901185770751
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|MaxPeriodFibonacciLFSR_1",
"duplicate":"~Rift2LinkA|Rift2LinkA/i_rift2Core:Rift2Core/exe_stage:Execute/lsu:Lsu/cache_0:Dcache/stage:DcacheStage/rplSel_rpl_prng:MaxPeriodFibonacciLFSR",
"index":0.2964426877470356
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|Queue_16",
"duplicate":"~Rift2LinkA|Rift2LinkA/i_rift2Core:Rift2Core/exe_stage:Execute/lsu:Lsu/lu_wb_fifo:Queue_16",
"index":0.31225296442687744
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|Queue_18",
"duplicate":"~Rift2LinkA|Rift2LinkA/i_rift2Core:Rift2Core/exe_stage:Execute/lsu:Lsu/su_wb_fifo:Queue_16",
"index":0.3201581027667984
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|Queue_19",
"duplicate":"~Rift2LinkA|Rift2LinkA/i_rift2Core:Rift2Core/exe_stage:Execute/lsu:Lsu/fe_wb_fifo:Queue_16",
"index":0.3241106719367589
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|Queue_20",
"duplicate":"~Rift2LinkA|Rift2LinkA/i_rift2Core:Rift2Core/exe_stage:Execute/csr:Csr/csr_exe_iwb_fifo:Queue_7",
"index":0.34782608695652173
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|Queue_22",
"duplicate":"~Rift2LinkA|Rift2LinkA/i_rift2Core:Rift2Core/exe_stage:Execute/mulDiv_0:MulDiv/mul_exe_iwb_fifo:Queue_7",
"index":0.35968379446640314
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|Arbiter_8",
"duplicate":"~Rift2LinkA|Rift2LinkA/i_rift2Core:Rift2Core/exe_stage:Execute/mulDiv_0:MulDiv/dividor:Dividor/divRtnArb:Arbiter_8",
"index":0.3715415019762846
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|Arbiter_9",
"duplicate":"~Rift2LinkA|Rift2LinkA/i_rift2Core:Rift2Core/exe_stage:Execute/mulDiv_0:MulDiv/iwbArb:Arbiter_8",
"index":0.3794466403162055
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|ReDirect_5",
"duplicate":"~Rift2LinkA|Rift2LinkA/i_rift2Core:Rift2Core/cmm_stage:Commit/csrExe_mdl:ReDirect_5",
"index":0.4189723320158103
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|ReDirect_6",
"duplicate":"~Rift2LinkA|Rift2LinkA/i_rift2Core:Rift2Core/cmm_stage:Commit/fcsrExe_mdl:ReDirect_5",
"index":0.42292490118577075
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|MaxPeriodFibonacciLFSR_2",
"duplicate":"~Rift2LinkA|Rift2LinkA/i_rift2Core:Rift2Core/i_mmu:MMU/itlb:TLB/random_idx_prng:MaxPeriodFibonacciLFSR_2",
"index":0.4308300395256917
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|MaxPeriodFibonacciLFSR_3",
"duplicate":"~Rift2LinkA|Rift2LinkA/i_rift2Core:Rift2Core/i_mmu:MMU/itlb:TLB/random_idx_prng_1:MaxPeriodFibonacciLFSR_2",
"index":0.43478260869565216
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|MaxPeriodFibonacciLFSR_4",
"duplicate":"~Rift2LinkA|Rift2LinkA/i_rift2Core:Rift2Core/i_mmu:MMU/itlb:TLB/random_idx_prng_2:MaxPeriodFibonacciLFSR_2",
"index":0.43873517786561267
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|MaxPeriodFibonacciLFSR_5",
"duplicate":"~Rift2LinkA|Rift2LinkA/i_rift2Core:Rift2Core/i_mmu:MMU/itlb:TLB/random_idx_prng_3:MaxPeriodFibonacciLFSR_2",
"index":0.4426877470355731
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|TLB",
"duplicate":"~Rift2LinkA|Rift2LinkA/i_rift2Core:Rift2Core/i_mmu:MMU/itlb:TLB",
"index":0.44664031620553357
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|MaxPeriodFibonacciLFSR_6",
"duplicate":"~Rift2LinkA|Rift2LinkA/i_rift2Core:Rift2Core/i_mmu:MMU/dtlb:TLB/random_idx_prng:MaxPeriodFibonacciLFSR_2",
"index":0.4505928853754941
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|MaxPeriodFibonacciLFSR_7",
"duplicate":"~Rift2LinkA|Rift2LinkA/i_rift2Core:Rift2Core/i_mmu:MMU/dtlb:TLB/random_idx_prng_1:MaxPeriodFibonacciLFSR_2",
"index":0.45454545454545453
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|MaxPeriodFibonacciLFSR_8",
"duplicate":"~Rift2LinkA|Rift2LinkA/i_rift2Core:Rift2Core/i_mmu:MMU/dtlb:TLB/random_idx_prng_2:MaxPeriodFibonacciLFSR_2",
"index":0.45849802371541504
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|MaxPeriodFibonacciLFSR_9",
"duplicate":"~Rift2LinkA|Rift2LinkA/i_rift2Core:Rift2Core/i_mmu:MMU/dtlb:TLB/random_idx_prng_3:MaxPeriodFibonacciLFSR_2",
"index":0.4624505928853755
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|TLB_1",
"duplicate":"~Rift2LinkA|Rift2LinkA/i_rift2Core:Rift2Core/i_mmu:MMU/dtlb:TLB",
"index":0.466403162055336
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|PMP",
"index":-1.0
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|PMP_1",
"index":-1.0
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|PMP_2",
"index":-1.0
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|PMP_3",
"index":-1.0
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|plusarg_reader",
"duplicate":"~Rift2LinkA|Rift2LinkA/sifiveCache:InclusiveCache/monitor:TLMonitor/plusarg_reader:plusarg_reader",
"index":0.5177865612648221
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|plusarg_reader_1",
"duplicate":"~Rift2LinkA|Rift2LinkA/sifiveCache:InclusiveCache/monitor:TLMonitor/plusarg_reader_1:plusarg_reader",
"index":0.5217391304347826
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|MSHR",
"duplicate":"~Rift2LinkA|Rift2LinkA/sifiveCache:InclusiveCache/mods_0:Scheduler/abc_mshrs_0:MSHR",
"index":0.6403162055335968
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|MSHR_1",
"duplicate":"~Rift2LinkA|Rift2LinkA/sifiveCache:InclusiveCache/mods_0:Scheduler/abc_mshrs_1:MSHR",
"index":0.6442687747035574
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|MSHR_2",
"duplicate":"~Rift2LinkA|Rift2LinkA/sifiveCache:InclusiveCache/mods_0:Scheduler/abc_mshrs_2:MSHR",
"index":0.6482213438735178
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|MSHR_3",
"duplicate":"~Rift2LinkA|Rift2LinkA/sifiveCache:InclusiveCache/mods_0:Scheduler/abc_mshrs_3:MSHR",
"index":0.6521739130434783
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|MSHR_4",
"duplicate":"~Rift2LinkA|Rift2LinkA/sifiveCache:InclusiveCache/mods_0:Scheduler/abc_mshrs_4:MSHR",
"index":0.6561264822134387
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|MSHR_5",
"duplicate":"~Rift2LinkA|Rift2LinkA/sifiveCache:InclusiveCache/mods_0:Scheduler/abc_mshrs_5:MSHR",
"index":0.6600790513833992
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|MSHR_6",
"duplicate":"~Rift2LinkA|Rift2LinkA/sifiveCache:InclusiveCache/mods_0:Scheduler/abc_mshrs_6:MSHR",
"index":0.6640316205533597
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|MSHR_7",
"duplicate":"~Rift2LinkA|Rift2LinkA/sifiveCache:InclusiveCache/mods_0:Scheduler/abc_mshrs_7:MSHR",
"index":0.6679841897233202
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|MSHR_8",
"duplicate":"~Rift2LinkA|Rift2LinkA/sifiveCache:InclusiveCache/mods_0:Scheduler/abc_mshrs_8:MSHR",
"index":0.6719367588932806
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|MSHR_9",
"duplicate":"~Rift2LinkA|Rift2LinkA/sifiveCache:InclusiveCache/mods_0:Scheduler/abc_mshrs_9:MSHR",
"index":0.6758893280632411
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|MSHR_10",
"duplicate":"~Rift2LinkA|Rift2LinkA/sifiveCache:InclusiveCache/mods_0:Scheduler/abc_mshrs_10:MSHR",
"index":0.6798418972332015
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|MSHR_11",
"duplicate":"~Rift2LinkA|Rift2LinkA/sifiveCache:InclusiveCache/mods_0:Scheduler/abc_mshrs_11:MSHR",
"index":0.6837944664031621
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|MSHR_12",
"duplicate":"~Rift2LinkA|Rift2LinkA/sifiveCache:InclusiveCache/mods_0:Scheduler/abc_mshrs_12:MSHR",
"index":0.6877470355731226
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|MSHR_13",
"duplicate":"~Rift2LinkA|Rift2LinkA/sifiveCache:InclusiveCache/mods_0:Scheduler/abc_mshrs_13:MSHR",
"index":0.691699604743083
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|MSHR_14",
"duplicate":"~Rift2LinkA|Rift2LinkA/sifiveCache:InclusiveCache/mods_0:Scheduler/abc_mshrs_14:MSHR",
"index":0.6956521739130435
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|MSHR_15",
"duplicate":"~Rift2LinkA|Rift2LinkA/sifiveCache:InclusiveCache/mods_0:Scheduler/abc_mshrs_15:MSHR",
"index":0.6996047430830039
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|MSHR_16",
"duplicate":"~Rift2LinkA|Rift2LinkA/sifiveCache:InclusiveCache/mods_0:Scheduler/abc_mshrs_16:MSHR",
"index":0.7035573122529645
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|MSHR_17",
"duplicate":"~Rift2LinkA|Rift2LinkA/sifiveCache:InclusiveCache/mods_0:Scheduler/abc_mshrs_17:MSHR",
"index":0.7075098814229249
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|MSHR_18",
"duplicate":"~Rift2LinkA|Rift2LinkA/sifiveCache:InclusiveCache/mods_0:Scheduler/abc_mshrs_18:MSHR",
"index":0.7114624505928854
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|MSHR_19",
"duplicate":"~Rift2LinkA|Rift2LinkA/sifiveCache:InclusiveCache/mods_0:Scheduler/abc_mshrs_19:MSHR",
"index":0.7154150197628458
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|MSHR_20",
"duplicate":"~Rift2LinkA|Rift2LinkA/sifiveCache:InclusiveCache/mods_0:Scheduler/bc_mshr:MSHR",
"index":0.7193675889328063
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|MSHR_21",
"duplicate":"~Rift2LinkA|Rift2LinkA/sifiveCache:InclusiveCache/mods_0:Scheduler/c_mshr:MSHR",
"index":0.7233201581027668
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|plusarg_reader_2",
"duplicate":"~Rift2LinkA|Rift2LinkA/chipLinkMst:ChipLinkMaster/monitor:TLMonitor_1/plusarg_reader:plusarg_reader",
"index":0.7351778656126482
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|plusarg_reader_3",
"duplicate":"~Rift2LinkA|Rift2LinkA/chipLinkMst:ChipLinkMaster/monitor:TLMonitor_1/plusarg_reader_1:plusarg_reader",
"index":0.7391304347826086
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|plusarg_reader_4",
"duplicate":"~Rift2LinkA|Rift2LinkA/xbar:TLXbar/monitor:TLMonitor_2/plusarg_reader:plusarg_reader",
"index":0.758893280632411
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|plusarg_reader_5",
"duplicate":"~Rift2LinkA|Rift2LinkA/xbar:TLXbar/monitor:TLMonitor_2/plusarg_reader_1:plusarg_reader",
"index":0.7628458498023716
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|plusarg_reader_6",
"duplicate":"~Rift2LinkA|Rift2LinkA/xbar:TLXbar/monitor_1:TLMonitor_3/plusarg_reader:plusarg_reader",
"index":0.7707509881422925
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|plusarg_reader_7",
"duplicate":"~Rift2LinkA|Rift2LinkA/xbar:TLXbar/monitor_1:TLMonitor_3/plusarg_reader_1:plusarg_reader",
"index":0.7747035573122529
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|plusarg_reader_8",
"duplicate":"~Rift2LinkA|Rift2LinkA/xbar:TLXbar/monitor_2:TLMonitor_4/plusarg_reader:plusarg_reader",
"index":0.782608695652174
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|plusarg_reader_9",
"duplicate":"~Rift2LinkA|Rift2LinkA/xbar:TLXbar/monitor_2:TLMonitor_4/plusarg_reader_1:plusarg_reader",
"index":0.7865612648221344
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|plusarg_reader_10",
"duplicate":"~Rift2LinkA|Rift2LinkA/xbar:TLXbar/monitor_3:TLMonitor_5/plusarg_reader:plusarg_reader",
"index":0.7944664031620553
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|plusarg_reader_11",
"duplicate":"~Rift2LinkA|Rift2LinkA/xbar:TLXbar/monitor_3:TLMonitor_5/plusarg_reader_1:plusarg_reader",
"index":0.7984189723320159
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|plusarg_reader_12",
"duplicate":"~Rift2LinkA|Rift2LinkA/xbar_1:TLXbar_1/monitor:TLMonitor_6/plusarg_reader:plusarg_reader",
"index":0.8102766798418972
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|plusarg_reader_13",
"duplicate":"~Rift2LinkA|Rift2LinkA/xbar_1:TLXbar_1/monitor:TLMonitor_6/plusarg_reader_1:plusarg_reader",
"index":0.8142292490118577
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|plusarg_reader_14",
"duplicate":"~Rift2LinkA|Rift2LinkA/xbar_1:TLXbar_1/monitor_1:TLMonitor_7/plusarg_reader:plusarg_reader",
"index":0.8221343873517787
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|plusarg_reader_15",
"duplicate":"~Rift2LinkA|Rift2LinkA/xbar_1:TLXbar_1/monitor_1:TLMonitor_7/plusarg_reader_1:plusarg_reader",
"index":0.8260869565217391
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|plusarg_reader_16",
"duplicate":"~Rift2LinkA|Rift2LinkA/xbar_1:TLXbar_1/monitor_2:TLMonitor_8/plusarg_reader:plusarg_reader",
"index":0.83399209486166
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|plusarg_reader_17",
"duplicate":"~Rift2LinkA|Rift2LinkA/xbar_1:TLXbar_1/monitor_2:TLMonitor_8/plusarg_reader_1:plusarg_reader",
"index":0.8379446640316206
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|plusarg_reader_18",
"duplicate":"~Rift2LinkA|Rift2LinkA/fixer:TLFIFOFixer/monitor:TLMonitor_9/plusarg_reader:plusarg_reader",
"index":0.849802371541502
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|plusarg_reader_19",
"duplicate":"~Rift2LinkA|Rift2LinkA/fixer:TLFIFOFixer/monitor:TLMonitor_9/plusarg_reader_1:plusarg_reader",
"index":0.8537549407114624
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|plusarg_reader_20",
"duplicate":"~Rift2LinkA|Rift2LinkA/fragmenter:TLFragmenter/monitor:TLMonitor_10/plusarg_reader:plusarg_reader",
"index":0.8656126482213439
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|plusarg_reader_21",
"duplicate":"~Rift2LinkA|Rift2LinkA/fragmenter:TLFragmenter/monitor:TLMonitor_10/plusarg_reader_1:plusarg_reader",
"index":0.8695652173913043
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|plusarg_reader_22",
"duplicate":"~Rift2LinkA|Rift2LinkA/cork:TLCacheCork/monitor:TLMonitor_11/plusarg_reader:plusarg_reader",
"index":0.8893280632411067
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|plusarg_reader_23",
"duplicate":"~Rift2LinkA|Rift2LinkA/cork:TLCacheCork/monitor:TLMonitor_11/plusarg_reader_1:plusarg_reader",
"index":0.8932806324110671
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|Queue_31",
"duplicate":"~Rift2LinkA|Rift2LinkA/cork:TLCacheCork/q:Queue_31",
"index":0.9051383399209486
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|Queue_32",
"duplicate":"~Rift2LinkA|Rift2LinkA/cork:TLCacheCork/q_1:Queue_31",
"index":0.9090909090909091
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|plusarg_reader_24",
"duplicate":"~Rift2LinkA|Rift2LinkA/buffer:TLBuffer/monitor:TLMonitor_12/plusarg_reader:plusarg_reader",
"index":0.9169960474308301
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|plusarg_reader_25",
"duplicate":"~Rift2LinkA|Rift2LinkA/buffer:TLBuffer/monitor:TLMonitor_12/plusarg_reader_1:plusarg_reader",
"index":0.9209486166007905
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|Queue_33",
"duplicate":"~Rift2LinkA|Rift2LinkA/buffer:TLBuffer/bundleOut_0_a_q:Queue_33",
"index":0.9288537549407114
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|Queue_34",
"duplicate":"~Rift2LinkA|Rift2LinkA/buffer:TLBuffer/bundleIn_0_d_q:Queue_34",
"index":0.932806324110672
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|plusarg_reader_26",
"duplicate":"~Rift2LinkA|Rift2LinkA/buffer_1:TLBuffer_1/monitor:TLMonitor_13/plusarg_reader:plusarg_reader",
"index":0.9407114624505929
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|plusarg_reader_27",
"duplicate":"~Rift2LinkA|Rift2LinkA/buffer_1:TLBuffer_1/monitor:TLMonitor_13/plusarg_reader_1:plusarg_reader",
"index":0.9446640316205533
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|Queue_35",
"duplicate":"~Rift2LinkA|Rift2LinkA/buffer_1:TLBuffer_1/bundleOut_0_a_q:Queue_33",
"index":0.9525691699604744
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|Queue_36",
"duplicate":"~Rift2LinkA|Rift2LinkA/buffer_1:TLBuffer_1/bundleIn_0_d_q:Queue_34",
"index":0.9565217391304348
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|plusarg_reader_28",
"duplicate":"~Rift2LinkA|Rift2LinkA/buffer_2:TLBuffer_2/monitor:TLMonitor_14/plusarg_reader:plusarg_reader",
"index":0.9762845849802372
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|plusarg_reader_29",
"duplicate":"~Rift2LinkA|Rift2LinkA/buffer_2:TLBuffer_2/monitor:TLMonitor_14/plusarg_reader_1:plusarg_reader",
"index":0.9802371541501976
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|Queue_40",
"duplicate":"~Rift2LinkA|Rift2LinkA/buffer_2:TLBuffer_2/bundleOut_0_a_q:Queue_33",
"index":0.9881422924901185
},
{
"class":"firrtl.transforms.DedupedResult",
"original":"~Rift2LinkA|Queue_41",
"duplicate":"~Rift2LinkA|Rift2LinkA/buffer_2:TLBuffer_2/bundleIn_0_d_q:Queue_34",
"index":0.9920948616600791
},
{
"class":"firrtl.EmitCircuitAnnotation",
"emitter":"firrtl.VerilogEmitter"
},
{
"class":"firrtl.transforms.BlackBoxInlineAnno",
"target":"Rift2LinkA.plusarg_reader",
"name":"plusarg_reader.v",
"text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n"
},
{
"class":"freechips.rocketchip.util.SRAMAnnotation",
"target":"Rift2LinkA.BankedStore.cc_banks_3",
"address_width":3,
"name":"cc_banks_3",
"data_width":64,
"depth":8,
"description":"Banked Store",
"write_mask_granularity":64
},
{
"class":"freechips.rocketchip.util.SRAMAnnotation",
"target":"Rift2LinkA.BankedStore.cc_banks_2",
"address_width":3,
"name":"cc_banks_2",
"data_width":64,
"depth":8,
"description":"Banked Store",
"write_mask_granularity":64
},
{
"class":"freechips.rocketchip.util.SRAMAnnotation",
"target":"Rift2LinkA.BankedStore.cc_banks_1",
"address_width":3,
"name":"cc_banks_1",
"data_width":64,
"depth":8,
"description":"Banked Store",
"write_mask_granularity":64
},
{
"class":"freechips.rocketchip.util.SRAMAnnotation",
"target":"Rift2LinkA.BankedStore.cc_banks_0",
"address_width":3,
"name":"cc_banks_0",
"data_width":64,
"depth":8,
"description":"Banked Store",
"write_mask_granularity":64
},
{
"class":"freechips.rocketchip.util.SRAMAnnotation",
"target":"Rift2LinkA.Directory.cc_dir_0",
"address_width":3,
"name":"cc_dir",
"data_width":58,
"depth":8,
"description":"Directory RAM",
"write_mask_granularity":29
},
{
"class":"freechips.rocketchip.util.SRAMAnnotation",
"target":"Rift2LinkA.Directory.cc_dir_1",
"address_width":3,
"name":"cc_dir",
"data_width":58,
"depth":8,
"description":"Directory RAM",
"write_mask_granularity":29
},
{
"class":"firrtl.transforms.NoDedupAnnotation",
"target":"~Rift2LinkA|Rift2Core"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg2_ft_0"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg2_ft_1"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg2_ft_2"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg2_ft_3"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg2_ft_4"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg2_ft_5"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg2_ft_6"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg2_ft_7"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg2_ft_8"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg2_ft_9"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg2_ft_10"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg2_ft_11"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg2_fs_0"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg2_fs_1"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg2_fs_2"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg2_fs_3"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg2_fs_4"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg2_fs_5"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg2_fs_6"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg2_fs_7"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg2_fs_8"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg2_fs_9"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg2_fs_10"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg2_fs_11"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg2_fa_0"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg2_fa_1"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg2_fa_2"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg2_fa_3"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg2_fa_4"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg2_fa_5"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg2_fa_6"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg2_fa_7"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg1_ft_0"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg1_ft_1"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg1_ft_2"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg1_ft_3"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg1_ft_4"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg1_ft_5"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg1_ft_6"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg1_ft_7"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg1_ft_8"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg1_ft_9"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg1_ft_10"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg1_ft_11"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg1_fs_0"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg1_fs_1"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg1_fs_2"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg1_fs_3"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg1_fs_4"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg1_fs_5"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg1_fs_6"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg1_fs_7"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg1_fs_8"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg1_fs_9"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg1_fs_10"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg1_fs_11"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg1_fa_0"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg1_fa_1"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg1_fa_2"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg1_fa_3"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg1_fa_4"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg1_fa_5"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg1_fa_6"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>FReg1_fa_7"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>XReg_zero"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>XReg_ra"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>XReg_sp"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>XReg_gp"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>XReg_tp"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>XReg_t_0"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>XReg_t_1"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>XReg_t_2"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>XReg_t_3"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>XReg_t_4"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>XReg_t_5"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>XReg_t_6"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>XReg_s_0"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>XReg_s_1"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>XReg_s_2"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>XReg_s_3"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>XReg_s_4"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>XReg_s_5"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>XReg_s_6"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>XReg_s_7"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>XReg_s_8"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>XReg_s_9"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>XReg_s_10"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>XReg_s_11"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>XReg_a_0"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>XReg_a_1"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>XReg_a_2"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>XReg_a_3"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>XReg_a_4"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>XReg_a_5"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>XReg_a_6"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>XReg_a_7"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffXReg_0"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffXReg_1"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffXReg_2"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffXReg_3"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffXReg_4"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffXReg_5"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffXReg_6"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffXReg_7"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffXReg_8"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffXReg_9"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffXReg_10"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffXReg_11"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffXReg_12"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffXReg_13"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffXReg_14"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffXReg_15"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffXReg_16"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffXReg_17"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffXReg_18"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffXReg_19"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffXReg_20"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffXReg_21"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffXReg_22"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffXReg_23"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffXReg_24"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffXReg_25"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffXReg_26"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffXReg_27"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffXReg_28"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffXReg_29"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffXReg_30"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffXReg_31"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffFReg_0"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffFReg_1"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffFReg_2"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffFReg_3"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffFReg_4"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffFReg_5"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffFReg_6"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffFReg_7"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffFReg_8"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffFReg_9"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffFReg_10"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffFReg_11"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffFReg_12"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffFReg_13"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffFReg_14"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffFReg_15"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffFReg_16"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffFReg_17"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffFReg_18"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffFReg_19"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffFReg_20"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffFReg_21"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffFReg_22"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffFReg_23"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffFReg_24"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffFReg_25"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffFReg_26"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffFReg_27"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffFReg_28"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffFReg_29"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffFReg_30"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_diffFReg_31"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_commit_pc_0"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_commit_comfirm_0"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_commit_abort_0"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_commit_priv_lvl"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_commit_is_ecall_M"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_commit_is_ecall_S"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_commit_is_ecall_U"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_mstatus"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_mtvec"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_mscratch"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_mepc"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_mcause"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_mtval"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_mvendorid"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_marchid"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_mimpid"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_mhartid"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_misa"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_mie"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_mip"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_medeleg"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_mideleg"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_pmpcfg_0"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_pmpaddr_0"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_pmpaddr_1"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_pmpaddr_2"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_pmpaddr_3"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_pmpaddr_4"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_pmpaddr_5"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_pmpaddr_6"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_pmpaddr_7"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_stvec"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_sscratch"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_sepc"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_scause"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_stval"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_satp"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_fflags"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_frm"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_mcycle"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_minstret"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_mhpmcounter_0"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_mhpmcounter_1"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_mhpmcounter_2"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_mhpmcounter_3"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_mhpmcounter_4"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_mhpmcounter_5"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_mhpmcounter_6"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_mhpmcounter_7"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_mhpmcounter_8"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_mhpmcounter_9"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_mhpmcounter_10"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_mhpmcounter_11"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_mhpmcounter_12"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_mhpmcounter_13"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_mhpmcounter_14"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_mhpmcounter_15"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_mhpmcounter_16"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_mhpmcounter_17"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_mhpmcounter_18"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_mhpmcounter_19"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_mhpmcounter_20"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_mhpmcounter_21"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_mhpmcounter_22"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_mhpmcounter_23"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_mhpmcounter_24"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_mhpmcounter_25"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_mhpmcounter_26"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_mhpmcounter_27"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_mhpmcounter_28"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_mhpmcounter_29"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_mhpmcounter_30"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|diff>io_csr_mhpmcounter_31"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|Issue>bufReqNum_0_0"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|Issue>bufReqNum_0_1"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|Issue>bufReqNum_0_2"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|Issue>bufReqNum_1_0"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|Issue>bufReqNum_1_1"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|Issue>bufReqNum_1_2"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|Issue>bufReqNum_2_0"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|Issue>bufReqNum_2_1"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|Issue>bufReqNum_2_2"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|Issue>bufReqNum_3_0"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|Issue>bufReqNum_3_1"
},
{
"class":"firrtl.transforms.DontTouchAnnotation",
"target":"~Rift2LinkA|Issue>bufReqNum_3_2"
},
{
"class":"firrtl.transforms.BlackBoxTargetDirAnno",
"targetDir":"generated/TapeMain"
},
{
"class":"firrtl.transforms.CombinationalPath",
"sink":"~Rift2LinkA|Rift2LinkA>io_hspi_clk",
"sources":[
"~Rift2LinkA|Rift2LinkA>clock"
]
}
]