blob: 0cde42e0d970a9d4230665db5ab448288b10fee3 [file] [log] [blame]
Reading file /root/fitbit_project_using_openfasoc/gds/user_analog_project_wrapper.gds for cell user_analog_project_wrapper
dbu=0.001
cell user_analog_project_wrapper dbu-bbox(ll;ur)=(-4000,-4000;2924000,3524000)
cell user_analog_project_wrapper dbu-bbox(left,bottom,right,top)=(-4000,-4000,2924000,3524000)
cell user_analog_project_wrapper dbu-size(width,height)=(2928000,3528000)
cell user_analog_project_wrapper micron-bbox(left,bottom,right,top)=(-4.0,-4.0,2924.0,3524.0)
cell user_analog_project_wrapper micron-size(width,height)=(2928.0,3528.0)
Done.
Magic 8.3 revision 340 - Compiled on Thu Nov 17 13:45:26 UTC 2022.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
Processing system .magicrc file
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
The following types are not handled by extraction and will be treated as non-electrical types:
ubm
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/opt/checks/xor_check/erase_box.tcl" from command line.
CIF input style is now "sky130(vendor)"
Warning: Calma reading is not undoable! I hope that's OK.
Library written using GDS-II Release 6.0
Library name: LIB
Reading "sardbswi__MP".
Reading "sardbswi__MN".
Reading "sarcta__C0".
Reading "sarctsw__Guardring_N".
Reading "sarctsw__M1".
Reading "via__LI_M1".
Reading "via__M1_M2".
Reading "sarli__M2".
Reading "sarli__M1".
Reading "sarbi__MP".
Reading "sarbi__MN".
Reading "dacca__unitcap".
Reading "dac_via".
Reading "sardbsw__cbs".
Reading "sardbsw__inv_lvt".
Reading "sardbsw__Msp".
Reading "sardbsw__Msn".
Reading "sarcc__Guardring_P".
Reading "sarcc__M3".
Reading "sarcc__M4".
Reading "sarcc__Ml4".
Reading "sarcc__Ml3".
Reading "sarcc__M2".
Reading "sarcc__M1".
Reading "sarcc__gr_contact".
Reading "sarcc__Ml2".
Reading "sarcc__Minp".
Reading "sarcc__Minn".
Reading "sarcc__Ml1".
Reading "sarcc__Mdiff".
Reading "sarcc__Guardring_N_2".
Reading "sarcc__Guardring_N_1".
Reading "sarct__trim_array".
Reading "sarct__trim_sw".
CIF file read warning: CIF style sky130(vendor): units rescaled by factor of 5 / 1
Reading "via__M3_M4".
Reading "via__M2_M3".
Reading "sky130_fd_sc_hd__clkinv_2$1".
Reading "sky130_fd_sc_hd__buf_2$4".
Reading "sky130_fd_sc_hd__a31o_1$3".
Reading "sky130_fd_sc_hd__or2_1$2".
Error while reading cell "sky130_fd_sc_hd__or2_1$2" (byte position 107824): Warning: Ignoring empty string label at (1150, 850)
Error while reading cell "sky130_fd_sc_hd__or2_1$2" (byte position 107860): Warning: Ignoring empty string label at (230, 850)
Reading "sky130_fd_sc_hd__and2_1$2".
Error while reading cell "sky130_fd_sc_hd__and2_1$2" (byte position 114212): Warning: Ignoring empty string label at (230, 1530)
Reading "sky130_fd_sc_hd__o21ai_1$3".
Reading "sky130_fd_sc_hd__clkbuf_16$2".
Reading "sky130_fd_sc_hd__a41o_1$2".
Reading "sky130_fd_sc_hd__and4_1$1".
Reading "sky130_fd_sc_hd__nand3_1$2".
Error while reading cell "sky130_fd_sc_hd__nand3_1$2" (byte position 157532): Warning: Ignoring empty string label at (1155, 850)
Error while reading cell "sky130_fd_sc_hd__nand3_1$2" (byte position 157568): Warning: Ignoring empty string label at (235, 850)
Reading "sky130_fd_sc_hd__nor2_1$3".
Reading "sky130_fd_sc_hd__and3b_1$4".
Error while reading cell "sky130_fd_sc_hd__and3b_1$4" (byte position 170770): Warning: Ignoring empty string label at (2070, 510)
Reading "sky130_fd_sc_hd__and3_1$4".
Reading "sky130_fd_sc_hd__a21o_1$2".
Reading "sky130_fd_sc_hd__inv_2$2".
Reading "sky130_fd_sc_hd__o21a_1$2".
Reading "sky130_fd_sc_hd__a21oi_1$2".
Reading "sky130_ef_sc_hd__decap_12$1".
Reading "sky130_fd_sc_hd__decap_8$4".
Reading "sky130_fd_sc_hd__mux2_1$3".
Reading "sky130_fd_sc_hd__clkbuf_2$5".
Reading "sky130_fd_sc_hd__dfxtp_1$2".
Reading "sky130_fd_sc_hd__fill_1$5".
Reading "sky130_fd_sc_hd__tapvpwrvgnd_1$5".
Reading "sky130_fd_sc_hd__dlymetal6s2s_1$2".
Reading "sky130_fd_sc_hd__diode_2$1".
Reading "sky130_fd_sc_hd__decap_6$4".
Reading "sky130_fd_sc_hd__fill_2$5".
Reading "sky130_fd_sc_hd__decap_4$4".
Reading "sky130_fd_sc_hd__decap_3$4".
Reading "sky130_fd_sc_hd__clkbuf_1$5".
Reading "tbfcpmu__Guardring_P$1".
Reading "tbfcpmu__M4$1".
Reading "tbfcpmu__M5$1".
Reading "tbfcpmu__DUM$1".
Reading "tbfcc__Cc$1".
Reading "tbfcmon__Guardring_N$1".
Reading "tbfcmon__MON$1".
Reading "tbfccp__Guardring_P$1".
Reading "tbfccp__M6$1".
Reading "tbfccp__M7$1".
Reading "tbfccp__DUM$1".
Reading "tbfcpm__Guardring_P$1".
Reading "tbfcpm__MB5$1".
Reading "tbfcpm__MIP1$1".
Reading "tbfcpm__DUM$1".
Reading "tbfcmb4__Guardring_P$1".
Reading "tbfcmb4__MB4$1".
Reading "tbfcmop__Guardring_P$1".
Reading "tbfcmop__MOP$1".
Reading "tbfcnm__Guardring_N$1".
Reading "tbfcnm__MB2$1".
Reading "tbfcnm__MB3$1".
Reading "tbfcnm__MN1$1".
Reading "tbfcnm__M10$1".
Reading "tbfcnm__M11$1".
Reading "tbfcnm__DUM$1".
Reading "tbfccn__Guardring_N$1".
Reading "tbfccn__M8$1".
Reading "tbfccn__M9$1".
Reading "tbfccn__DUM$1".
Reading "tbfcdpp__Guardring_P$1".
Reading "tbfcdpp__MIP3$1".
Reading "tbfcdpp__MIP2$1".
Reading "tbfcdpp__DUM$1".
Reading "tbfcdpn__Guardring_N$1".
Reading "tbfcdpn__MIN3$1".
Reading "tbfcdpn__MIN2$1".
Reading "tbfcdpn__DUM$1".
Reading "tbfcmb1__Guardring_N$1".
Reading "tbfcmb1__MB1$1".
Reading "via__LI_M1$5".
Reading "via__M2_M3$5".
Reading "via__M1_M2$5".
Reading "tbmpg__Guardring_P$1".
Reading "tbmpg__MSP$1".
Reading "tbmpg__MPINV$1".
Reading "tbmpg__Guardring_N$1".
Reading "tbmpg__MSN$1".
Reading "via__M2_M3$4".
Reading "via__LI_M1$4".
Reading "tbmpg__MNINV$1".
Reading "via__M1_M2$4".
Reading "via__M3_M4$4".
Reading "sky130_fd_sc_hd__fill_2$3".
Reading "sky130_fd_sc_hd__and3_1$2".
Reading "sky130_fd_sc_hd__nor3_2$1".
Error while reading cell "sky130_fd_sc_hd__nor3_2$1" (byte position 778416): Warning: Ignoring empty string label at (235, 1190)
Error while reading cell "sky130_fd_sc_hd__nor3_2$1" (byte position 778452): Warning: Ignoring empty string label at (2075, 1190)
Error while reading cell "sky130_fd_sc_hd__nor3_2$1" (byte position 778488): Warning: Ignoring empty string label at (2555, 1530)
Reading "sky130_fd_sc_hd__decap_12$2".
Reading "sky130_fd_sc_hd__buf_4$1".
Reading "sky130_fd_sc_hd__decap_6$2".
Reading "sky130_fd_sc_hd__nor3b_2$1".
Error while reading cell "sky130_fd_sc_hd__nor3b_2$1" (byte position 803334): Warning: Ignoring empty string label at (235, 1190)
Error while reading cell "sky130_fd_sc_hd__nor3b_2$1" (byte position 803370): Warning: Ignoring empty string label at (2075, 1190)
Error while reading cell "sky130_fd_sc_hd__nor3b_2$1" (byte position 803406): Warning: Ignoring empty string label at (2555, 1190)
Reading "sky130_fd_sc_hd__fill_1$3".
Reading "sky130_fd_sc_hd__buf_2$2".
Reading "sky130_fd_sc_hd__tapvpwrvgnd_1$3".
Reading "sky130_fd_sc_hd__buf_6$1".
Reading "sky130_fd_sc_hd__and3b_1$2".
Error while reading cell "sky130_fd_sc_hd__and3b_1$2" (byte position 829264): Warning: Ignoring empty string label at (2070, 510)
Reading "sky130_fd_sc_hd__clkbuf_1$3".
Reading "sky130_fd_sc_hd__decap_3$2".
Reading "sky130_fd_sc_hd__decap_4$2".
Reading "sky130_fd_sc_hd__decap_8$2".
Reading "sky130_fd_sc_hd__clkbuf_2$3".
Reading "sarl__Guardring_P".
Reading "sarl__Guardring_N".
Reading "sarl__M2".
Reading "sarl__M1".
Reading "sarl__inv_lvt".
Reading "sarb__inv_lvt".
Reading "sard__carray".
Reading "sard__bssw_hv".
Reading "sard__via_M1_M2_s".
Reading "sard__via_LI_M1_s".
Reading "sard__inv2".
Reading "sard__tap".
Reading "sarc__comparator_core".
Reading "sarc__trim".
Reading "sky130_fd_sc_hd__o22a_1".
Reading "sky130_fd_sc_hd__dfstp_1".
Reading "sky130_fd_sc_hd__o21ba_1".
Reading "sky130_fd_sc_hd__a2bb2o_1".
Reading "sky130_fd_sc_hd__a21o_1".
Reading "sky130_fd_sc_hd__buf_1".
Reading "sky130_fd_sc_hd__o21bai_1".
Reading "sky130_fd_sc_hd__xnor2_1".
Reading "sky130_fd_sc_hd__and2b_2".
Reading "sky130_fd_sc_hd__clkbuf_16".
Reading "sky130_fd_sc_hd__and3b_1".
Error while reading cell "sky130_fd_sc_hd__and3b_1" (byte position 1210238): Warning: Ignoring empty string label at (2070, 510)
Reading "sky130_fd_sc_hd__nand3b_2".
Error while reading cell "sky130_fd_sc_hd__nand3b_2" (byte position 1219286): Warning: Ignoring empty string label at (2535, 1190)
Error while reading cell "sky130_fd_sc_hd__nand3b_2" (byte position 1219322): Warning: Ignoring empty string label at (3015, 1190)
Error while reading cell "sky130_fd_sc_hd__nand3b_2" (byte position 1219358): Warning: Ignoring empty string label at (1615, 1190)
Reading "sky130_fd_sc_hd__or2_2".
Error while reading cell "sky130_fd_sc_hd__or2_2" (byte position 1225014): Warning: Ignoring empty string label at (235, 850)
Error while reading cell "sky130_fd_sc_hd__or2_2" (byte position 1225050): Warning: Ignoring empty string label at (1155, 850)
Reading "sky130_fd_sc_hd__nor2_2".
Error while reading cell "sky130_fd_sc_hd__nor2_2" (byte position 1230900): Warning: Ignoring empty string label at (1615, 1190)
Error while reading cell "sky130_fd_sc_hd__nor2_2" (byte position 1230936): Warning: Ignoring empty string label at (695, 1190)
Reading "sky130_fd_sc_hd__a31oi_4".
Reading "sky130_fd_sc_hd__a21bo_1".
Reading "sky130_fd_sc_hd__nand3_2".
Reading "sky130_fd_sc_hd__or3b_1".
Reading "sky130_fd_sc_hd__mux2_1".
Reading "sky130_fd_sc_hd__and3_1".
Reading "sky130_fd_sc_hd__o21ai_2".
Reading "sky130_fd_sc_hd__and2b_1".
Reading "sky130_fd_sc_hd__o21ai_1".
Reading "sky130_fd_sc_hd__a32o_1".
Reading "sky130_fd_sc_hd__nor2_1".
Reading "sky130_fd_sc_hd__nand3b_1".
Reading "sky130_fd_sc_hd__a31o_1".
Reading "sky130_fd_sc_hd__and2_1".
Error while reading cell "sky130_fd_sc_hd__and2_1" (byte position 1344002): Warning: Ignoring empty string label at (230, 1530)
Reading "sky130_fd_sc_hd__nand2_1".
Reading "sky130_fd_sc_hd__dfrtp_2".
Reading "sky130_fd_sc_hd__clkbuf_1".
Reading "sky130_fd_sc_hd__and4b_2".
Error while reading cell "sky130_fd_sc_hd__and4b_2" (byte position 1386698): Warning: Ignoring empty string label at (2070, 1530)
Error while reading cell "sky130_fd_sc_hd__and4b_2" (byte position 1386734): Warning: Ignoring empty string label at (2070, 1190)
Error while reading cell "sky130_fd_sc_hd__and4b_2" (byte position 1386770): Warning: Ignoring empty string label at (2535, 850)
Error while reading cell "sky130_fd_sc_hd__and4b_2" (byte position 1386806): Warning: Ignoring empty string label at (2535, 1190)
Reading "sky130_fd_sc_hd__clkbuf_2".
Reading "sky130_fd_sc_hd__o211a_1".
Reading "sky130_fd_sc_hd__or2_1".
Error while reading cell "sky130_fd_sc_hd__or2_1" (byte position 1405166): Warning: Ignoring empty string label at (1150, 850)
Error while reading cell "sky130_fd_sc_hd__or2_1" (byte position 1405202): Warning: Ignoring empty string label at (230, 850)
Reading "sky130_fd_sc_hd__decap_12".
Reading "sky130_fd_sc_hd__dfrtp_1".
Reading "sky130_fd_sc_hd__fill_2".
Reading "sky130_fd_sc_hd__dlymetal6s2s_1".
Reading "sky130_fd_sc_hd__a22o_1".
Reading "sky130_fd_sc_hd__decap_6".
Reading "sky130_fd_sc_hd__tapvpwrvgnd_1".
Reading "sky130_fd_sc_hd__o21a_1".
Reading "sky130_fd_sc_hd__buf_12".
Reading "sky130_fd_sc_hd__decap_8".
Reading "sky130_fd_sc_hd__inv_2".
Reading "sky130_fd_sc_hd__decap_3".
Reading "sky130_fd_sc_hd__decap_4".
Reading "sky130_fd_sc_hd__buf_2".
Reading "sky130_fd_sc_hd__fill_1".
Reading "dac_con$1".
Reading "dac_r_1$1".
Reading "dac_2r_1$1".
Reading "via_new$10".
Reading "poly_res".
Reading "tbfc__pmirr_upper$1".
Reading "tbfc__Cc_group$1".
Reading "tbfc__MON_group$1".
Reading "tbfc__cascode_p$1".
Reading "tbfc__pmirr$1".
Reading "tbfc__MB4_group$1".
Reading "tbfc__MOP_group$1".
Reading "tbfc__nmirr$1".
Reading "tbfc__cascode_n$1".
Reading "tbfc__diffpair_p$1".
Reading "tbfc__diffpair_n$1".
Reading "tbfc__MB1_group$1".
Reading "via__M3_M4$5".
Reading "sky130_fd_sc_hd__a2bb2oi_1".
Reading "sky130_fd_sc_hd__a221o_1".
Reading "sky130_fd_sc_hd__o2bb2ai_1".
Reading "sky130_fd_sc_hd__buf_12$1".
Reading "sky130_fd_sc_hd__a22o_1$1".
Reading "sky130_fd_sc_hd__and2_0".
Error while reading cell "sky130_fd_sc_hd__and2_0" (byte position 2662470): Warning: Ignoring empty string label at (1150, 1190)
Reading "sky130_fd_sc_hd__nor2b_1".
Error while reading cell "sky130_fd_sc_hd__nor2b_1" (byte position 2667846): Warning: Ignoring empty string label at (230, 850)
Reading "sky130_fd_sc_hd__a311o_1".
Reading "sky130_fd_sc_hd__or2_0".
Error while reading cell "sky130_fd_sc_hd__or2_0" (byte position 2680584): Warning: Ignoring empty string label at (1150, 1530)
Reading "sky130_fd_sc_hd__nand2b_2".
Error while reading cell "sky130_fd_sc_hd__nand2b_2" (byte position 2688798): Warning: Ignoring empty string label at (2075, 1530)
Error while reading cell "sky130_fd_sc_hd__nand2b_2" (byte position 2688834): Warning: Ignoring empty string label at (2075, 1190)
Reading "sky130_fd_sc_hd__nand2b_4".
Reading "sky130_fd_sc_hd__nor3b_1".
Error while reading cell "sky130_fd_sc_hd__nor3b_1" (byte position 2705804): Warning: Ignoring empty string label at (230, 1530)
Reading "sky130_fd_sc_hd__nand2_2".
Error while reading cell "sky130_fd_sc_hd__nand2_2" (byte position 2712344): Warning: Ignoring empty string label at (1155, 1190)
Error while reading cell "sky130_fd_sc_hd__nand2_2" (byte position 2712380): Warning: Ignoring empty string label at (235, 1190)
Reading "sky130_fd_sc_hd__or3_2".
Reading "sky130_fd_sc_hd__nor4_4".
Reading "sky130_fd_sc_hd__nand3_4".
Reading "sky130_fd_sc_hd__and3_4".
Error while reading cell "sky130_fd_sc_hd__and3_4" (byte position 2756364): Warning: Ignoring empty string label at (1150, 850)
Error while reading cell "sky130_fd_sc_hd__and3_4" (byte position 2756400): Warning: Ignoring empty string label at (230, 1190)
Error while reading cell "sky130_fd_sc_hd__and3_4" (byte position 2756436): Warning: Ignoring empty string label at (230, 1530)
Error while reading cell "sky130_fd_sc_hd__and3_4" (byte position 2756472): Warning: Ignoring empty string label at (230, 1870)
Error while reading cell "sky130_fd_sc_hd__and3_4" (byte position 2756508): Warning: Ignoring empty string label at (230, 2210)
Reading "sky130_fd_sc_hd__a2111oi_2".
Reading "sky130_fd_sc_hd__nor3_4".
Reading "sky130_fd_sc_hd__a221oi_2".
Error while reading cell "sky130_fd_sc_hd__a221oi_2" (byte position 2790572): Warning: Ignoring empty string label at (695, 510)
Error while reading cell "sky130_fd_sc_hd__a221oi_2" (byte position 2790608): Warning: Ignoring empty string label at (695, 850)
Error while reading cell "sky130_fd_sc_hd__a221oi_2" (byte position 2790644): Warning: Ignoring empty string label at (695, 1530)
Error while reading cell "sky130_fd_sc_hd__a221oi_2" (byte position 2790680): Warning: Ignoring empty string label at (695, 1870)
Reading "sky130_fd_sc_hd__a221oi_1".
Reading "sky130_fd_sc_hd__nor4b_2".
Error while reading cell "sky130_fd_sc_hd__nor4b_2" (byte position 2810586): Warning: Ignoring empty string label at (215, 1190)
Error while reading cell "sky130_fd_sc_hd__nor4b_2" (byte position 2810622): Warning: Ignoring empty string label at (1135, 1190)
Error while reading cell "sky130_fd_sc_hd__nor4b_2" (byte position 2810658): Warning: Ignoring empty string label at (2055, 1190)
Error while reading cell "sky130_fd_sc_hd__nor4b_2" (byte position 2810694): Warning: Ignoring empty string label at (2530, 1190)
Error while reading cell "sky130_fd_sc_hd__nor4b_2" (byte position 2810730): Warning: Ignoring empty string label at (3450, 1190)
Error while reading cell "sky130_fd_sc_hd__nor4b_2" (byte position 2810766): Warning: Ignoring empty string label at (3910, 1190)
Error while reading cell "sky130_fd_sc_hd__nor4b_2" (byte position 2810802): Warning: Ignoring empty string label at (5290, 1530)
Error while reading cell "sky130_fd_sc_hd__nor4b_2" (byte position 2810838): Warning: Ignoring empty string label at (5290, 1870)
Reading "sky130_fd_sc_hd__a41o_1".
Reading "sky130_fd_sc_hd__a311oi_1".
Reading "sky130_fd_sc_hd__a21oi_1".
Reading "sky130_fd_sc_hd__and2_2".
Error while reading cell "sky130_fd_sc_hd__and2_2" (byte position 2840148): Warning: Ignoring empty string label at (230, 1530)
Reading "sky130_fd_sc_hd__a211oi_2".
Reading "sky130_fd_sc_hd__o22ai_1".
Reading "sky130_fd_sc_hd__a211oi_1".
Reading "sky130_fd_sc_hd__a22oi_1".
Error while reading cell "sky130_fd_sc_hd__a22oi_1" (byte position 2871372): Warning: Ignoring empty string label at (235, 850)
Reading "sky130_fd_sc_hd__nor2_8".
Reading "sky130_fd_sc_hd__or4_1".
Reading "sky130_fd_sc_hd__nor2_2$1".
Error while reading cell "sky130_fd_sc_hd__nor2_2$1" (byte position 2899196): Warning: Ignoring empty string label at (1615, 1190)
Error while reading cell "sky130_fd_sc_hd__nor2_2$1" (byte position 2899232): Warning: Ignoring empty string label at (695, 1190)
Reading "sky130_fd_sc_hd__nor2_4".
Error while reading cell "sky130_fd_sc_hd__nor2_4" (byte position 2907834): Warning: Ignoring empty string label at (235, 1190)
Reading "sky130_fd_sc_hd__o21ai_1$1".
Reading "sky130_fd_sc_hd__xnor2_4".
Reading "sky130_fd_sc_hd__a32oi_1".
Reading "sky130_fd_sc_hd__nor2_1$1".
Reading "sky130_fd_sc_hd__and2_4".
Reading "sky130_fd_sc_hd__buf_8".
Reading "sky130_fd_sc_hd__nand2_4".
Error while reading cell "sky130_fd_sc_hd__nand2_4" (byte position 2970102): Warning: Ignoring empty string label at (3915, 1190)
Reading "sky130_fd_sc_hd__dfstp_4".
Reading "sky130_fd_sc_hd__a31oi_1".
Reading "sky130_fd_sc_hd__nand2b_1".
Reading "sky130_fd_sc_hd__nand3b_1$1".
Reading "sky130_fd_sc_hd__a21oi_4".
Reading "sky130_fd_sc_hd__o21ai_0".
Reading "sky130_fd_sc_hd__nor4_2".
Reading "sky130_fd_sc_hd__nor2b_2".
Error while reading cell "sky130_fd_sc_hd__nor2b_2" (byte position 3048226): Warning: Ignoring empty string label at (2995, 1530)
Error while reading cell "sky130_fd_sc_hd__nor2b_2" (byte position 3048262): Warning: Ignoring empty string label at (2995, 1870)
Reading "sky130_fd_sc_hd__mux4_1".
Error while reading cell "sky130_fd_sc_hd__mux4_1" (byte position 3071438): Warning: Ignoring empty string label at (3455, 1870)
Error while reading cell "sky130_fd_sc_hd__mux4_1" (byte position 3071474): Warning: Ignoring empty string label at (4885, 1530)
Reading "sky130_fd_sc_hd__mux2i_1".
Error while reading cell "sky130_fd_sc_hd__mux2i_1" (byte position 3080068): Warning: Ignoring empty string label at (690, 1530)
Reading "sky130_fd_sc_hd__nand3_1".
Error while reading cell "sky130_fd_sc_hd__nand3_1" (byte position 3085148): Warning: Ignoring empty string label at (1155, 850)
Error while reading cell "sky130_fd_sc_hd__nand3_1" (byte position 3085184): Warning: Ignoring empty string label at (235, 850)
Reading "sky130_fd_sc_hd__xnor2_1$1".
Reading "sky130_fd_sc_hd__nor3_1".
Error while reading cell "sky130_fd_sc_hd__nor3_1" (byte position 3096976): Warning: Ignoring empty string label at (695, 1870)
Error while reading cell "sky130_fd_sc_hd__nor3_1" (byte position 3097012): Warning: Ignoring empty string label at (1615, 1530)
Error while reading cell "sky130_fd_sc_hd__nor3_1" (byte position 3097048): Warning: Ignoring empty string label at (1615, 1190)
Reading "sky130_fd_sc_hd__nand4_1".
Error while reading cell "sky130_fd_sc_hd__nand4_1" (byte position 3103150): Warning: Ignoring empty string label at (695, 850)
Error while reading cell "sky130_fd_sc_hd__nand4_1" (byte position 3103186): Warning: Ignoring empty string label at (2075, 1530)
Error while reading cell "sky130_fd_sc_hd__nand4_1" (byte position 3103222): Warning: Ignoring empty string label at (695, 510)
Reading "sky130_fd_sc_hd__nand2_1$1".
Reading "VIA_via5_6_1600_1600_1_1_1600_1600".
Reading "VIA_via5_6_4800_1600_1_3_1600_1600".
Reading "sky130_fd_sc_hd__mux2i_2".
Error while reading cell "sky130_fd_sc_hd__mux2i_2" (byte position 3118662): Warning: Ignoring empty string label at (695, 850)
Reading "sky130_fd_sc_hd__inv_1".
Reading "sky130_fd_sc_hd__a31o_1$1".
Reading "sky130_fd_sc_hd__xor2_1".
Reading "sky130_fd_sc_hd__dfrtn_1".
Reading "sky130_fd_sc_hd__clkinv_4".
Reading "sky130_fd_sc_hd__inv_16".
Error while reading cell "sky130_fd_sc_hd__inv_16" (byte position 3179670): Warning: Ignoring empty string label at (2990, 1190)
Error while reading cell "sky130_fd_sc_hd__inv_16" (byte position 3179706): Warning: Ignoring empty string label at (1150, 1190)
Reading "sky130_fd_sc_hd__dfrtp_2$1".
Reading "sky130_fd_sc_hd__dfrtp_1$1".
Reading "sky130_fd_sc_hd__mux2_1$1".
Reading "sky130_fd_sc_hd__clkbuf_2$2".
Reading "sky130_fd_sc_hd__clkbuf_1$2".
Reading "sky130_fd_sc_hd__dfrtp_4".
Error while reading cell "sky130_fd_sc_hd__dfrtp_4" (byte position 3268878): Warning: Ignoring empty string label at (10295, 850)
Reading "sky130_fd_sc_hd__dfxtp_1".
Reading "sky130_fd_sc_hd__fill_4".
Reading "sky130_fd_sc_hd__fill_1$2".
Reading "sky130_fd_sc_hd__buf_1$1".
Reading "sky130_fd_sc_hd__fill_8".
Reading "sky130_fd_sc_hd__tapvpwrvgnd_1$2".
Reading "sky130_fd_sc_hd__fill_2$2".
Reading "VIA_via4_5_1600_480_1_4_400_400".
Reading "VIA_via3_4_1600_480_1_4_400_400".
Reading "VIA_via2_3_1600_480_1_5_320_320".
Reading "VIA_L1M1_PR_MR".
Reading "sky130_fd_sc_hd__clkbuf_4".
Reading "sky130_fd_sc_hd__buf_16".
Reading "VIA_M1M2_PR".
Reading "VIA_M2M3_PR".
Reading "VIA_via5_6_1600_4800_3_1_1600_1600".
Reading "VIA_via5_6_4800_4800_3_3_1600_1600".
Reading "tbm__passgate$1".
Reading "tbm__decoder3to8$1".
Reading "sar__latch".
Reading "sar__buf_lvt".
Reading "sar__dac".
Reading "sar__comparator".
Error while reading cell "sar__comparator" (byte position 3473056): Warning: Ignoring empty string label at (24660, 18650)
Reading "sar__sarlogic".
Reading "sar__decap".
Reading "via__M4_M5".
Reading "via_new_23".
Reading "via_new_20".
Reading "via_new_13".
Reading "via_new_16".
Reading "via_new_14".
Reading "via_new_19".
Reading "dac_entity".
Reading "via_new".
Reading "via_new_17".
Reading "voltage_div_2".
Reading "voltage_div".
Reading "half_v".
Reading "tb_se_folded_cascode_np_ab".
Error while reading cell "tb_se_folded_cascode_np_ab" (byte position 4500716): Warning: Ignoring empty string label at (36405, 30280)
Error while reading cell "tb_se_folded_cascode_np_ab" (byte position 4500752): Warning: Ignoring empty string label at (35605, 30945)
Reading "via_new_21".
Reading "via_new_8".
Reading "harness_controller".
5000 uses
Error while reading cell "harness_controller" (byte position 5814168): Warning: Ignoring empty string label at (232760, 74800)
Error while reading cell "harness_controller" (byte position 5814204): Warning: Ignoring empty string label at (205620, 74800)
Error while reading cell "harness_controller" (byte position 5814240): Warning: Ignoring empty string label at (178480, 74800)
Error while reading cell "harness_controller" (byte position 5814276): Warning: Ignoring empty string label at (151340, 74800)
Error while reading cell "harness_controller" (byte position 5814312): Warning: Ignoring empty string label at (124200, 74800)
Error while reading cell "harness_controller" (byte position 5814348): Warning: Ignoring empty string label at (97060, 74800)
Error while reading cell "harness_controller" (byte position 5814384): Warning: Ignoring empty string label at (69920, 74800)
Error while reading cell "harness_controller" (byte position 5814420): Warning: Ignoring empty string label at (42780, 74800)
Error while reading cell "harness_controller" (byte position 5814456): Warning: Ignoring empty string label at (245340, 74800)
Error while reading cell "harness_controller" (byte position 5814492): Warning: Ignoring empty string label at (219190, 74800)
Error while reading cell "harness_controller" (byte position 5814528): Warning: Ignoring empty string label at (192050, 74800)
Error while reading cell "harness_controller" (byte position 5814564): Warning: Ignoring empty string label at (164910, 74800)
Error while reading cell "harness_controller" (byte position 5814600): Warning: Ignoring empty string label at (137770, 74800)
Error while reading cell "harness_controller" (byte position 5814636): Warning: Ignoring empty string label at (110630, 74800)
Error while reading cell "harness_controller" (byte position 5814672): Warning: Ignoring empty string label at (83490, 74800)
Error while reading cell "harness_controller" (byte position 5814708): Warning: Ignoring empty string label at (56350, 74800)
Error while reading cell "harness_controller" (byte position 5814744): Warning: Ignoring empty string label at (29210, 74800)
Error while reading cell "harness_controller" (byte position 5814780): Warning: Ignoring empty string label at (238540, 74800)
Reading "tb__mux".
Reading "via_new_7".
Reading "via_new_2".
Reading "sar_10b".
Reading "via_new_1".
Reading "via_new_6".
Reading "via_new_4".
Reading "via_new_12".
Reading "via_new_25".
Reading "via_new_22".
Reading "user_analog_project_wrapper".
Error while reading cell "user_analog_project_wrapper" (byte position 7073754): Warning: Ignoring empty string label at (4150, 1036440)
Error while reading cell "user_analog_project_wrapper" (byte position 7073790): Warning: Ignoring empty string label at (4150, 1086440)
Error while reading cell "user_analog_project_wrapper" (byte position 7073826): Warning: Ignoring empty string label at (4150, 876440)
Error while reading cell "user_analog_project_wrapper" (byte position 7073862): Warning: Ignoring empty string label at (4150, 826440)
Root cell box:
width x height ( llx, lly ), ( urx, ury ) area (units^2)
microns: 42.880 x 3520.000 (-42.880, 0.000), ( 0.000, 3520.000) 150937.594
lambda: 4288.00 x 352000.00 (-4288.00, 0.00 ), ( 0.00, 352000.00) 1509376000.00
internal: 8576 x 704000 ( -8576, 0 ), ( 0, 704000) 6037504000
Root cell box:
width x height ( llx, lly ), ( urx, ury ) area (units^2)
microns: 42.500 x 3520.000 ( 2920.000, 0.000), ( 2962.500, 3520.000) 149600.000
lambda: 4250.00 x 352000.00 ( 292000.00, 0.00 ), ( 296250.00, 352000.00) 1496000000.00
internal: 8500 x 704000 ( 584000, 0 ), ( 592500, 704000) 5984000000
Root cell box:
width x height ( llx, lly ), ( urx, ury ) area (units^2)
microns: 3005.380 x 37.530 (-42.880, -37.530), ( 2962.500, 0.000) 112791.906
lambda: 300538.00 x 3753.00 (-4288.00, -3753.00), ( 296250.00, 0.00 ) 1127919104.00
internal: 601076 x 7506 ( -8576, -7506 ), ( 592500, 0 ) 4511676456
Root cell box:
width x height ( llx, lly ), ( urx, ury ) area (units^2)
microns: 3005.380 x 37.210 (-42.880, 3520.000), ( 2962.500, 3557.210) 111830.188
lambda: 300538.00 x 3721.00 (-4288.00, 352000.00), ( 296250.00, 355721.00) 1118301952.00
internal: 601076 x 7442 ( -8576, 704000), ( 592500, 711442) 4473207592
Generating output for cell xor_target
Magic 8.3 revision 340 - Compiled on Thu Nov 17 13:45:26 UTC 2022.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
Processing system .magicrc file
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
The following types are not handled by extraction and will be treated as non-electrical types:
ubm
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/opt/checks/xor_check/erase_box.tcl" from command line.
CIF input style is now "sky130(vendor)"
Warning: Calma reading is not undoable! I hope that's OK.
Library written using GDS-II Release 6.0
Library name: user_analog_project_wrapper_empty
Reading "user_analog_project_wrapper".
Root cell box:
width x height ( llx, lly ), ( urx, ury ) area (units^2)
microns: 42.880 x 3520.000 (-42.880, 0.000), ( 0.000, 3520.000) 150937.594
lambda: 4288.00 x 352000.00 (-4288.00, 0.00 ), ( 0.00, 352000.00) 1509376000.00
internal: 8576 x 704000 ( -8576, 0 ), ( 0, 704000) 6037504000
Root cell box:
width x height ( llx, lly ), ( urx, ury ) area (units^2)
microns: 42.500 x 3520.000 ( 2920.000, 0.000), ( 2962.500, 3520.000) 149600.000
lambda: 4250.00 x 352000.00 ( 292000.00, 0.00 ), ( 296250.00, 352000.00) 1496000000.00
internal: 8500 x 704000 ( 584000, 0 ), ( 592500, 704000) 5984000000
Root cell box:
width x height ( llx, lly ), ( urx, ury ) area (units^2)
microns: 3005.380 x 37.530 (-42.880, -37.530), ( 2962.500, 0.000) 112791.906
lambda: 300538.00 x 3753.00 (-4288.00, -3753.00), ( 296250.00, 0.00 ) 1127919104.00
internal: 601076 x 7506 ( -8576, -7506 ), ( 592500, 0 ) 4511676456
Root cell box:
width x height ( llx, lly ), ( urx, ury ) area (units^2)
microns: 3005.380 x 37.210 (-42.880, 3520.000), ( 2962.500, 3557.210) 111830.188
lambda: 300538.00 x 3721.00 (-4288.00, 352000.00), ( 296250.00, 355721.00) 1118301952.00
internal: 601076 x 7442 ( -8576, 704000), ( 592500, 711442) 4473207592
Generating output for cell xor_target
Reading /mnt/uffs/user/u5504_mehdi/design/fitbit_project_using_openfasoc/jobs/mpw_precheck/941ded72-341d-4023-8954-655902ba8fbb/outputs/user_analog_project_wrapper_erased.gds ..
Reading /mnt/uffs/user/u5504_mehdi/design/fitbit_project_using_openfasoc/jobs/mpw_precheck/941ded72-341d-4023-8954-655902ba8fbb/outputs/user_analog_project_wrapper_empty_erased.gds ..
--- Running XOR for 69/20 ---
"input" in: xor.rb.drc:39
Polygons (raw): 494 (flat) 494 (hierarchical)
Elapsed: 0.010s Memory: 524.00M
"input" in: xor.rb.drc:39
Polygons (raw): 494 (flat) 494 (hierarchical)
Elapsed: 0.010s Memory: 524.00M
"^" in: xor.rb.drc:39
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 524.00M
XOR differences: 0
"output" in: xor.rb.drc:43
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.010s Memory: 524.00M
--- Running XOR for 70/20 ---
"input" in: xor.rb.drc:39
Polygons (raw): 184 (flat) 184 (hierarchical)
Elapsed: 0.010s Memory: 524.00M
"input" in: xor.rb.drc:39
Polygons (raw): 184 (flat) 184 (hierarchical)
Elapsed: 0.000s Memory: 524.00M
"^" in: xor.rb.drc:39
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.010s Memory: 524.00M
XOR differences: 0
"output" in: xor.rb.drc:43
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 524.00M
--- Running XOR for 72/20 ---
"input" in: xor.rb.drc:39
Polygons (raw): 6 (flat) 6 (hierarchical)
Elapsed: 0.010s Memory: 524.00M
"input" in: xor.rb.drc:39
Polygons (raw): 6 (flat) 6 (hierarchical)
Elapsed: 0.000s Memory: 524.00M
"^" in: xor.rb.drc:39
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.010s Memory: 524.00M
XOR differences: 0
"output" in: xor.rb.drc:43
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 524.00M
--- Running XOR for 81/14 ---
"input" in: xor.rb.drc:39
Polygons (raw): 1 (flat) 1 (hierarchical)
Elapsed: 0.000s Memory: 524.00M
"input" in: xor.rb.drc:39
Polygons (raw): 1 (flat) 1 (hierarchical)
Elapsed: 0.010s Memory: 524.00M
"^" in: xor.rb.drc:39
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.000s Memory: 524.00M
XOR differences: 0
"output" in: xor.rb.drc:43
Polygons (raw): 0 (flat) 0 (hierarchical)
Elapsed: 0.010s Memory: 524.00M
Writing layout file: /mnt/uffs/user/u5504_mehdi/design/fitbit_project_using_openfasoc/jobs/mpw_precheck/941ded72-341d-4023-8954-655902ba8fbb/outputs/user_analog_project_wrapper.xor.gds ..
Total elapsed: 0.100s Memory: 524.00M