blob: 2008cbeed1a8cb2cbc0e358ad251585237d47418 [file] [log] [blame]
*SPEF "ieee 1481-1999"
*DESIGN "tiny_user_project"
*DATE "11:11:11 Fri 11 11, 1111"
*VENDOR "OpenRCX"
*PROGRAM "Parallel Extraction"
*VERSION "1.0"
*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE"
*DIVIDER /
*DELIMITER :
*BUS_DELIMITER []
*T_UNIT 1 NS
*C_UNIT 1 PF
*R_UNIT 1 OHM
*L_UNIT 1 HENRY
*NAME_MAP
*1 io_in[0]
*2 io_in[10]
*3 io_in[11]
*4 io_in[12]
*5 io_in[13]
*6 io_in[14]
*7 io_in[15]
*8 io_in[16]
*9 io_in[17]
*10 io_in[18]
*11 io_in[19]
*12 io_in[1]
*13 io_in[20]
*14 io_in[21]
*15 io_in[22]
*16 io_in[23]
*17 io_in[24]
*18 io_in[25]
*19 io_in[26]
*20 io_in[27]
*21 io_in[28]
*22 io_in[29]
*23 io_in[2]
*24 io_in[30]
*25 io_in[31]
*26 io_in[32]
*27 io_in[33]
*28 io_in[34]
*29 io_in[35]
*30 io_in[36]
*31 io_in[37]
*32 io_in[3]
*33 io_in[4]
*34 io_in[5]
*35 io_in[6]
*36 io_in[7]
*37 io_in[8]
*38 io_in[9]
*39 net33
*40 net43
*41 net44
*42 net45
*43 net46
*44 net47
*45 net48
*46 net49
*47 net50
*48 net51
*49 net52
*50 net34
*51 net53
*52 net54
*53 net55
*54 net56
*55 net57
*56 net58
*57 net59
*58 net60
*59 net61
*60 net62
*61 net35
*62 net63
*63 net64
*64 net65
*65 net66
*66 net67
*67 net68
*68 net69
*69 net70
*70 net36
*71 net37
*72 net38
*73 net39
*74 net40
*75 net41
*76 net42
*77 net71
*78 net81
*79 net82
*80 net83
*81 net84
*82 net85
*83 net86
*84 net87
*85 net88
*86 net89
*87 net90
*88 net72
*89 net91
*90 net92
*91 net93
*92 net94
*93 net95
*94 net96
*95 net97
*96 net98
*97 net99
*98 net100
*99 net73
*100 net101
*101 net102
*102 net103
*103 net104
*104 net105
*105 io_out[35]
*106 net106
*107 net107
*108 net74
*109 net75
*110 net76
*111 net77
*112 net78
*113 net79
*114 net80
*117 _000_
*118 _001_
*119 _002_
*120 _003_
*121 _004_
*122 _005_
*123 _006_
*124 _007_
*125 _008_
*126 _009_
*127 _010_
*128 _011_
*129 _012_
*130 _013_
*131 _014_
*132 _015_
*133 _016_
*134 _017_
*135 _018_
*136 _019_
*137 _020_
*138 _021_
*139 _022_
*140 _023_
*141 _024_
*142 _025_
*143 _026_
*144 _027_
*145 _028_
*146 _029_
*147 _030_
*148 _031_
*149 _032_
*150 _033_
*151 _034_
*152 _035_
*153 _036_
*154 _037_
*155 _038_
*156 _039_
*157 _040_
*158 _041_
*159 _042_
*160 _043_
*161 _044_
*162 _045_
*163 _046_
*164 _047_
*165 _048_
*166 _049_
*167 _050_
*168 _051_
*169 _052_
*170 _053_
*171 _054_
*172 _055_
*173 _056_
*174 _057_
*175 _058_
*176 _059_
*177 _060_
*178 _061_
*179 _062_
*180 _063_
*181 _064_
*182 _065_
*183 _066_
*184 _067_
*185 _068_
*186 _069_
*187 _070_
*188 _071_
*189 _072_
*190 _073_
*191 _074_
*192 _075_
*193 _076_
*194 _077_
*195 _078_
*196 _079_
*197 _080_
*198 _081_
*199 _082_
*200 _083_
*201 _084_
*202 _085_
*203 _086_
*204 _087_
*205 _088_
*206 _089_
*207 _090_
*208 _091_
*209 _092_
*210 _093_
*211 _094_
*212 _095_
*213 _096_
*214 _097_
*215 _098_
*216 _099_
*217 _100_
*218 _101_
*219 _102_
*220 _103_
*221 _104_
*222 _105_
*223 _106_
*224 _107_
*225 _108_
*226 _109_
*227 _110_
*228 _111_
*229 _112_
*230 _113_
*231 _114_
*232 _115_
*233 _116_
*234 _117_
*235 _118_
*236 _119_
*237 _120_
*238 _121_
*239 _122_
*240 _123_
*241 _124_
*242 _125_
*243 _126_
*244 _127_
*245 _128_
*246 _129_
*247 _130_
*248 _131_
*249 _132_
*250 _133_
*251 _134_
*252 _135_
*253 _136_
*254 _137_
*255 _138_
*256 _139_
*257 _140_
*258 _141_
*259 _142_
*260 _143_
*261 _144_
*262 _145_
*263 _146_
*264 _147_
*265 _148_
*266 _149_
*267 _150_
*268 _151_
*269 _152_
*270 mod\.clock_counter_a\[0\]
*271 mod\.clock_counter_a\[1\]
*272 mod\.clock_counter_a\[2\]
*273 mod\.clock_counter_a\[3\]
*274 mod\.clock_counter_a\[4\]
*275 mod\.clock_counter_a\[5\]
*276 mod\.clock_counter_a\[6\]
*277 mod\.clock_counter_b\[0\]
*278 mod\.clock_counter_b\[1\]
*279 mod\.clock_counter_b\[2\]
*280 mod\.clock_counter_b\[3\]
*281 mod\.clock_counter_b\[4\]
*282 mod\.clock_counter_b\[5\]
*283 mod\.clock_counter_b\[6\]
*284 mod\.clock_counter_c\[0\]
*285 mod\.clock_counter_c\[1\]
*286 mod\.clock_counter_c\[2\]
*287 mod\.clock_counter_c\[3\]
*288 mod\.clock_counter_c\[4\]
*289 mod\.clock_counter_c\[5\]
*290 mod\.clock_counter_c\[6\]
*291 mod\.clock_counter_d\[0\]
*292 mod\.clock_counter_d\[1\]
*293 mod\.clock_counter_d\[2\]
*294 mod\.clock_counter_d\[3\]
*295 mod\.clock_counter_d\[4\]
*296 mod\.clock_counter_d\[5\]
*297 mod\.clock_counter_d\[6\]
*298 mod\.clock_syn
*299 mod\.div_clock\[0\]
*300 mod\.div_clock\[1\]
*301 mod\.div_clock\[2\]
*302 mod\.div_clock\[3\]
*303 net1
*304 net10
*305 net11
*306 net12
*307 net13
*308 net14
*309 net15
*310 net16
*311 net17
*312 net18
*313 net19
*314 net2
*315 net20
*316 net21
*317 net22
*318 net23
*319 net24
*320 net25
*321 net26
*322 net27
*323 net28
*324 net29
*325 net3
*326 net30
*327 net31
*328 net32
*329 net4
*330 net5
*331 net6
*332 net7
*333 net8
*334 net9
*335 ANTENNA_input10_A
*336 ANTENNA_input11_A
*337 ANTENNA_input12_A
*338 ANTENNA_input13_A
*339 ANTENNA_input14_A
*340 ANTENNA_input15_A
*341 ANTENNA_input16_A
*342 ANTENNA_input17_A
*343 ANTENNA_input18_A
*344 ANTENNA_input19_A
*345 ANTENNA_input1_A
*346 ANTENNA_input20_A
*347 ANTENNA_input21_A
*348 ANTENNA_input22_A
*349 ANTENNA_input23_A
*350 ANTENNA_input24_A
*351 ANTENNA_input25_A
*352 ANTENNA_input26_A
*353 ANTENNA_input27_A
*354 ANTENNA_input2_A
*355 ANTENNA_input3_A
*356 ANTENNA_input4_A
*357 ANTENNA_input5_A
*358 ANTENNA_input6_A
*359 ANTENNA_input7_A
*360 ANTENNA_input8_A
*361 ANTENNA_input9_A
*362 FILLER_0_109
*363 FILLER_0_113
*364 FILLER_0_125
*365 FILLER_0_137
*366 FILLER_0_141
*367 FILLER_0_15
*368 FILLER_0_153
*369 FILLER_0_165
*370 FILLER_0_169
*371 FILLER_0_177
*372 FILLER_0_181
*373 FILLER_0_188
*374 FILLER_0_22
*375 FILLER_0_29
*376 FILLER_0_3
*377 FILLER_0_41
*378 FILLER_0_53
*379 FILLER_0_57
*380 FILLER_0_69
*381 FILLER_0_8
*382 FILLER_0_81
*383 FILLER_0_85
*384 FILLER_0_97
*385 FILLER_10_109
*386 FILLER_10_12
*387 FILLER_10_121
*388 FILLER_10_133
*389 FILLER_10_139
*390 FILLER_10_141
*391 FILLER_10_153
*392 FILLER_10_165
*393 FILLER_10_177
*394 FILLER_10_188
*395 FILLER_10_21
*396 FILLER_10_27
*397 FILLER_10_29
*398 FILLER_10_3
*399 FILLER_10_34
*400 FILLER_10_41
*401 FILLER_10_48
*402 FILLER_10_55
*403 FILLER_10_62
*404 FILLER_10_68
*405 FILLER_10_80
*406 FILLER_10_85
*407 FILLER_10_97
*408 FILLER_11_111
*409 FILLER_11_113
*410 FILLER_11_12
*411 FILLER_11_125
*412 FILLER_11_137
*413 FILLER_11_149
*414 FILLER_11_161
*415 FILLER_11_167
*416 FILLER_11_169
*417 FILLER_11_177
*418 FILLER_11_188
*419 FILLER_11_22
*420 FILLER_11_3
*421 FILLER_11_31
*422 FILLER_11_38
*423 FILLER_11_45
*424 FILLER_11_52
*425 FILLER_11_57
*426 FILLER_11_62
*427 FILLER_11_69
*428 FILLER_11_75
*429 FILLER_11_87
*430 FILLER_11_99
*431 FILLER_12_101
*432 FILLER_12_113
*433 FILLER_12_125
*434 FILLER_12_137
*435 FILLER_12_141
*436 FILLER_12_153
*437 FILLER_12_161
*438 FILLER_12_164
*439 FILLER_12_170
*440 FILLER_12_176
*441 FILLER_12_184
*442 FILLER_12_188
*443 FILLER_12_21
*444 FILLER_12_27
*445 FILLER_12_29
*446 FILLER_12_3
*447 FILLER_12_37
*448 FILLER_12_44
*449 FILLER_12_51
*450 FILLER_12_58
*451 FILLER_12_65
*452 FILLER_12_72
*453 FILLER_12_79
*454 FILLER_12_83
*455 FILLER_12_85
*456 FILLER_12_89
*457 FILLER_13_108
*458 FILLER_13_113
*459 FILLER_13_125
*460 FILLER_13_137
*461 FILLER_13_149
*462 FILLER_13_157
*463 FILLER_13_160
*464 FILLER_13_166
*465 FILLER_13_169
*466 FILLER_13_174
*467 FILLER_13_181
*468 FILLER_13_188
*469 FILLER_13_21
*470 FILLER_13_3
*471 FILLER_13_32
*472 FILLER_13_42
*473 FILLER_13_51
*474 FILLER_13_55
*475 FILLER_13_57
*476 FILLER_13_62
*477 FILLER_13_69
*478 FILLER_13_76
*479 FILLER_13_83
*480 FILLER_13_90
*481 FILLER_13_96
*482 FILLER_14_108
*483 FILLER_14_120
*484 FILLER_14_132
*485 FILLER_14_141
*486 FILLER_14_153
*487 FILLER_14_159
*488 FILLER_14_166
*489 FILLER_14_173
*490 FILLER_14_180
*491 FILLER_14_184
*492 FILLER_14_188
*493 FILLER_14_21
*494 FILLER_14_27
*495 FILLER_14_29
*496 FILLER_14_3
*497 FILLER_14_39
*498 FILLER_14_48
*499 FILLER_14_57
*500 FILLER_14_64
*501 FILLER_14_71
*502 FILLER_14_78
*503 FILLER_14_85
*504 FILLER_14_90
*505 FILLER_14_96
*506 FILLER_15_105
*507 FILLER_15_111
*508 FILLER_15_113
*509 FILLER_15_125
*510 FILLER_15_137
*511 FILLER_15_149
*512 FILLER_15_152
*513 FILLER_15_159
*514 FILLER_15_166
*515 FILLER_15_169
*516 FILLER_15_174
*517 FILLER_15_181
*518 FILLER_15_188
*519 FILLER_15_26
*520 FILLER_15_3
*521 FILLER_15_46
*522 FILLER_15_53
*523 FILLER_15_57
*524 FILLER_15_64
*525 FILLER_15_71
*526 FILLER_15_78
*527 FILLER_15_85
*528 FILLER_15_92
*529 FILLER_15_99
*530 FILLER_16_104
*531 FILLER_16_111
*532 FILLER_16_117
*533 FILLER_16_129
*534 FILLER_16_135
*535 FILLER_16_138
*536 FILLER_16_141
*537 FILLER_16_146
*538 FILLER_16_153
*539 FILLER_16_160
*540 FILLER_16_167
*541 FILLER_16_174
*542 FILLER_16_181
*543 FILLER_16_188
*544 FILLER_16_21
*545 FILLER_16_27
*546 FILLER_16_29
*547 FILLER_16_3
*548 FILLER_16_38
*549 FILLER_16_48
*550 FILLER_16_56
*551 FILLER_16_63
*552 FILLER_16_70
*553 FILLER_16_77
*554 FILLER_16_83
*555 FILLER_16_85
*556 FILLER_16_90
*557 FILLER_16_97
*558 FILLER_17_102
*559 FILLER_17_109
*560 FILLER_17_113
*561 FILLER_17_118
*562 FILLER_17_126
*563 FILLER_17_131
*564 FILLER_17_138
*565 FILLER_17_145
*566 FILLER_17_152
*567 FILLER_17_159
*568 FILLER_17_166
*569 FILLER_17_169
*570 FILLER_17_175
*571 FILLER_17_179
*572 FILLER_17_188
*573 FILLER_17_21
*574 FILLER_17_3
*575 FILLER_17_32
*576 FILLER_17_42
*577 FILLER_17_51
*578 FILLER_17_55
*579 FILLER_17_57
*580 FILLER_17_61
*581 FILLER_17_68
*582 FILLER_17_72
*583 FILLER_17_76
*584 FILLER_17_84
*585 FILLER_17_88
*586 FILLER_17_95
*587 FILLER_18_105
*588 FILLER_18_112
*589 FILLER_18_119
*590 FILLER_18_127
*591 FILLER_18_131
*592 FILLER_18_138
*593 FILLER_18_141
*594 FILLER_18_145
*595 FILLER_18_149
*596 FILLER_18_156
*597 FILLER_18_163
*598 FILLER_18_170
*599 FILLER_18_178
*600 FILLER_18_187
*601 FILLER_18_21
*602 FILLER_18_27
*603 FILLER_18_29
*604 FILLER_18_3
*605 FILLER_18_36
*606 FILLER_18_45
*607 FILLER_18_54
*608 FILLER_18_61
*609 FILLER_18_68
*610 FILLER_18_75
*611 FILLER_18_82
*612 FILLER_18_85
*613 FILLER_18_91
*614 FILLER_18_98
*615 FILLER_19_103
*616 FILLER_19_110
*617 FILLER_19_113
*618 FILLER_19_117
*619 FILLER_19_12
*620 FILLER_19_124
*621 FILLER_19_131
*622 FILLER_19_138
*623 FILLER_19_145
*624 FILLER_19_152
*625 FILLER_19_159
*626 FILLER_19_166
*627 FILLER_19_169
*628 FILLER_19_178
*629 FILLER_19_188
*630 FILLER_19_22
*631 FILLER_19_3
*632 FILLER_19_31
*633 FILLER_19_40
*634 FILLER_19_46
*635 FILLER_19_54
*636 FILLER_19_57
*637 FILLER_19_75
*638 FILLER_19_83
*639 FILLER_19_89
*640 FILLER_19_96
*641 FILLER_1_105
*642 FILLER_1_111
*643 FILLER_1_113
*644 FILLER_1_125
*645 FILLER_1_137
*646 FILLER_1_149
*647 FILLER_1_161
*648 FILLER_1_167
*649 FILLER_1_169
*650 FILLER_1_181
*651 FILLER_1_188
*652 FILLER_1_20
*653 FILLER_1_3
*654 FILLER_1_32
*655 FILLER_1_44
*656 FILLER_1_57
*657 FILLER_1_69
*658 FILLER_1_8
*659 FILLER_1_81
*660 FILLER_1_93
*661 FILLER_20_113
*662 FILLER_20_12
*663 FILLER_20_120
*664 FILLER_20_131
*665 FILLER_20_138
*666 FILLER_20_141
*667 FILLER_20_150
*668 FILLER_20_159
*669 FILLER_20_168
*670 FILLER_20_178
*671 FILLER_20_188
*672 FILLER_20_22
*673 FILLER_20_29
*674 FILLER_20_3
*675 FILLER_20_36
*676 FILLER_20_45
*677 FILLER_20_54
*678 FILLER_20_63
*679 FILLER_20_69
*680 FILLER_20_73
*681 FILLER_20_82
*682 FILLER_20_85
*683 FILLER_20_91
*684 FILLER_21_105
*685 FILLER_21_111
*686 FILLER_21_113
*687 FILLER_21_122
*688 FILLER_21_130
*689 FILLER_21_134
*690 FILLER_21_141
*691 FILLER_21_148
*692 FILLER_21_157
*693 FILLER_21_166
*694 FILLER_21_169
*695 FILLER_21_177
*696 FILLER_21_188
*697 FILLER_21_21
*698 FILLER_21_3
*699 FILLER_21_31
*700 FILLER_21_40
*701 FILLER_21_44
*702 FILLER_21_52
*703 FILLER_21_57
*704 FILLER_21_75
*705 FILLER_21_79
*706 FILLER_21_96
*707 FILLER_22_119
*708 FILLER_22_126
*709 FILLER_22_133
*710 FILLER_22_139
*711 FILLER_22_141
*712 FILLER_22_146
*713 FILLER_22_155
*714 FILLER_22_165
*715 FILLER_22_176
*716 FILLER_22_188
*717 FILLER_22_21
*718 FILLER_22_27
*719 FILLER_22_29
*720 FILLER_22_3
*721 FILLER_22_38
*722 FILLER_22_47
*723 FILLER_22_56
*724 FILLER_22_60
*725 FILLER_22_64
*726 FILLER_22_73
*727 FILLER_22_82
*728 FILLER_22_85
*729 FILLER_22_95
*730 FILLER_23_102
*731 FILLER_23_110
*732 FILLER_23_113
*733 FILLER_23_120
*734 FILLER_23_127
*735 FILLER_23_136
*736 FILLER_23_145
*737 FILLER_23_155
*738 FILLER_23_166
*739 FILLER_23_169
*740 FILLER_23_188
*741 FILLER_23_21
*742 FILLER_23_3
*743 FILLER_23_33
*744 FILLER_23_44
*745 FILLER_23_53
*746 FILLER_23_57
*747 FILLER_23_61
*748 FILLER_23_67
*749 FILLER_23_76
*750 FILLER_23_87
*751 FILLER_23_93
*752 FILLER_24_103
*753 FILLER_24_113
*754 FILLER_24_122
*755 FILLER_24_131
*756 FILLER_24_138
*757 FILLER_24_141
*758 FILLER_24_146
*759 FILLER_24_157
*760 FILLER_24_168
*761 FILLER_24_188
*762 FILLER_24_26
*763 FILLER_24_29
*764 FILLER_24_3
*765 FILLER_24_47
*766 FILLER_24_57
*767 FILLER_24_71
*768 FILLER_24_82
*769 FILLER_24_85
*770 FILLER_25_110
*771 FILLER_25_113
*772 FILLER_25_121
*773 FILLER_25_131
*774 FILLER_25_146
*775 FILLER_25_166
*776 FILLER_25_169
*777 FILLER_25_188
*778 FILLER_25_21
*779 FILLER_25_3
*780 FILLER_25_32
*781 FILLER_25_43
*782 FILLER_25_53
*783 FILLER_25_57
*784 FILLER_25_68
*785 FILLER_25_79
*786 FILLER_25_99
*787 FILLER_26_103
*788 FILLER_26_123
*789 FILLER_26_133
*790 FILLER_26_139
*791 FILLER_26_141
*792 FILLER_26_163
*793 FILLER_26_188
*794 FILLER_26_21
*795 FILLER_26_27
*796 FILLER_26_29
*797 FILLER_26_3
*798 FILLER_26_38
*799 FILLER_26_48
*800 FILLER_26_54
*801 FILLER_26_62
*802 FILLER_26_82
*803 FILLER_26_85
*804 FILLER_27_108
*805 FILLER_27_113
*806 FILLER_27_131
*807 FILLER_27_138
*808 FILLER_27_141
*809 FILLER_27_146
*810 FILLER_27_166
*811 FILLER_27_169
*812 FILLER_27_188
*813 FILLER_27_21
*814 FILLER_27_27
*815 FILLER_27_29
*816 FILLER_27_3
*817 FILLER_27_37
*818 FILLER_27_43
*819 FILLER_27_54
*820 FILLER_27_57
*821 FILLER_27_62
*822 FILLER_27_82
*823 FILLER_27_85
*824 FILLER_2_109
*825 FILLER_2_121
*826 FILLER_2_133
*827 FILLER_2_139
*828 FILLER_2_141
*829 FILLER_2_153
*830 FILLER_2_165
*831 FILLER_2_177
*832 FILLER_2_188
*833 FILLER_2_20
*834 FILLER_2_29
*835 FILLER_2_3
*836 FILLER_2_41
*837 FILLER_2_53
*838 FILLER_2_65
*839 FILLER_2_77
*840 FILLER_2_8
*841 FILLER_2_83
*842 FILLER_2_85
*843 FILLER_2_97
*844 FILLER_3_105
*845 FILLER_3_111
*846 FILLER_3_113
*847 FILLER_3_125
*848 FILLER_3_137
*849 FILLER_3_149
*850 FILLER_3_161
*851 FILLER_3_167
*852 FILLER_3_169
*853 FILLER_3_181
*854 FILLER_3_188
*855 FILLER_3_20
*856 FILLER_3_3
*857 FILLER_3_32
*858 FILLER_3_44
*859 FILLER_3_57
*860 FILLER_3_69
*861 FILLER_3_8
*862 FILLER_3_81
*863 FILLER_3_93
*864 FILLER_4_109
*865 FILLER_4_121
*866 FILLER_4_133
*867 FILLER_4_139
*868 FILLER_4_141
*869 FILLER_4_153
*870 FILLER_4_165
*871 FILLER_4_177
*872 FILLER_4_188
*873 FILLER_4_21
*874 FILLER_4_27
*875 FILLER_4_29
*876 FILLER_4_3
*877 FILLER_4_41
*878 FILLER_4_53
*879 FILLER_4_65
*880 FILLER_4_77
*881 FILLER_4_83
*882 FILLER_4_85
*883 FILLER_4_9
*884 FILLER_4_97
*885 FILLER_5_105
*886 FILLER_5_111
*887 FILLER_5_113
*888 FILLER_5_125
*889 FILLER_5_137
*890 FILLER_5_149
*891 FILLER_5_15
*892 FILLER_5_161
*893 FILLER_5_167
*894 FILLER_5_169
*895 FILLER_5_181
*896 FILLER_5_188
*897 FILLER_5_22
*898 FILLER_5_3
*899 FILLER_5_34
*900 FILLER_5_46
*901 FILLER_5_54
*902 FILLER_5_57
*903 FILLER_5_69
*904 FILLER_5_8
*905 FILLER_5_81
*906 FILLER_5_93
*907 FILLER_6_109
*908 FILLER_6_121
*909 FILLER_6_133
*910 FILLER_6_139
*911 FILLER_6_141
*912 FILLER_6_15
*913 FILLER_6_153
*914 FILLER_6_165
*915 FILLER_6_177
*916 FILLER_6_188
*917 FILLER_6_22
*918 FILLER_6_29
*919 FILLER_6_3
*920 FILLER_6_34
*921 FILLER_6_40
*922 FILLER_6_52
*923 FILLER_6_64
*924 FILLER_6_76
*925 FILLER_6_8
*926 FILLER_6_85
*927 FILLER_6_97
*928 FILLER_7_105
*929 FILLER_7_111
*930 FILLER_7_113
*931 FILLER_7_125
*932 FILLER_7_137
*933 FILLER_7_149
*934 FILLER_7_15
*935 FILLER_7_161
*936 FILLER_7_167
*937 FILLER_7_169
*938 FILLER_7_181
*939 FILLER_7_188
*940 FILLER_7_22
*941 FILLER_7_29
*942 FILLER_7_3
*943 FILLER_7_36
*944 FILLER_7_42
*945 FILLER_7_48
*946 FILLER_7_57
*947 FILLER_7_69
*948 FILLER_7_8
*949 FILLER_7_81
*950 FILLER_7_93
*951 FILLER_8_109
*952 FILLER_8_121
*953 FILLER_8_133
*954 FILLER_8_139
*955 FILLER_8_141
*956 FILLER_8_15
*957 FILLER_8_153
*958 FILLER_8_165
*959 FILLER_8_177
*960 FILLER_8_188
*961 FILLER_8_22
*962 FILLER_8_29
*963 FILLER_8_3
*964 FILLER_8_34
*965 FILLER_8_41
*966 FILLER_8_49
*967 FILLER_8_55
*968 FILLER_8_67
*969 FILLER_8_79
*970 FILLER_8_8
*971 FILLER_8_83
*972 FILLER_8_85
*973 FILLER_8_97
*974 FILLER_9_10
*975 FILLER_9_103
*976 FILLER_9_111
*977 FILLER_9_113
*978 FILLER_9_125
*979 FILLER_9_137
*980 FILLER_9_149
*981 FILLER_9_161
*982 FILLER_9_167
*983 FILLER_9_169
*984 FILLER_9_17
*985 FILLER_9_181
*986 FILLER_9_188
*987 FILLER_9_24
*988 FILLER_9_3
*989 FILLER_9_31
*990 FILLER_9_38
*991 FILLER_9_45
*992 FILLER_9_52
*993 FILLER_9_57
*994 FILLER_9_61
*995 FILLER_9_67
*996 FILLER_9_79
*997 FILLER_9_91
*998 PHY_0
*999 PHY_1
*1000 PHY_10
*1001 PHY_11
*1002 PHY_12
*1003 PHY_13
*1004 PHY_14
*1005 PHY_15
*1006 PHY_16
*1007 PHY_17
*1008 PHY_18
*1009 PHY_19
*1010 PHY_2
*1011 PHY_20
*1012 PHY_21
*1013 PHY_22
*1014 PHY_23
*1015 PHY_24
*1016 PHY_25
*1017 PHY_26
*1018 PHY_27
*1019 PHY_28
*1020 PHY_29
*1021 PHY_3
*1022 PHY_30
*1023 PHY_31
*1024 PHY_32
*1025 PHY_33
*1026 PHY_34
*1027 PHY_35
*1028 PHY_36
*1029 PHY_37
*1030 PHY_38
*1031 PHY_39
*1032 PHY_4
*1033 PHY_40
*1034 PHY_41
*1035 PHY_42
*1036 PHY_43
*1037 PHY_44
*1038 PHY_45
*1039 PHY_46
*1040 PHY_47
*1041 PHY_48
*1042 PHY_49
*1043 PHY_5
*1044 PHY_50
*1045 PHY_51
*1046 PHY_52
*1047 PHY_53
*1048 PHY_54
*1049 PHY_55
*1050 PHY_6
*1051 PHY_7
*1052 PHY_8
*1053 PHY_9
*1054 TAP_100
*1055 TAP_101
*1056 TAP_102
*1057 TAP_103
*1058 TAP_104
*1059 TAP_105
*1060 TAP_106
*1061 TAP_107
*1062 TAP_108
*1063 TAP_109
*1064 TAP_110
*1065 TAP_111
*1066 TAP_112
*1067 TAP_113
*1068 TAP_114
*1069 TAP_115
*1070 TAP_116
*1071 TAP_117
*1072 TAP_118
*1073 TAP_119
*1074 TAP_120
*1075 TAP_121
*1076 TAP_122
*1077 TAP_123
*1078 TAP_124
*1079 TAP_125
*1080 TAP_126
*1081 TAP_127
*1082 TAP_128
*1083 TAP_129
*1084 TAP_130
*1085 TAP_131
*1086 TAP_132
*1087 TAP_133
*1088 TAP_134
*1089 TAP_135
*1090 TAP_136
*1091 TAP_137
*1092 TAP_138
*1093 TAP_139
*1094 TAP_140
*1095 TAP_141
*1096 TAP_142
*1097 TAP_143
*1098 TAP_144
*1099 TAP_145
*1100 TAP_56
*1101 TAP_57
*1102 TAP_58
*1103 TAP_59
*1104 TAP_60
*1105 TAP_61
*1106 TAP_62
*1107 TAP_63
*1108 TAP_64
*1109 TAP_65
*1110 TAP_66
*1111 TAP_67
*1112 TAP_68
*1113 TAP_69
*1114 TAP_70
*1115 TAP_71
*1116 TAP_72
*1117 TAP_73
*1118 TAP_74
*1119 TAP_75
*1120 TAP_76
*1121 TAP_77
*1122 TAP_78
*1123 TAP_79
*1124 TAP_80
*1125 TAP_81
*1126 TAP_82
*1127 TAP_83
*1128 TAP_84
*1129 TAP_85
*1130 TAP_86
*1131 TAP_87
*1132 TAP_88
*1133 TAP_89
*1134 TAP_90
*1135 TAP_91
*1136 TAP_92
*1137 TAP_93
*1138 TAP_94
*1139 TAP_95
*1140 TAP_96
*1141 TAP_97
*1142 TAP_98
*1143 TAP_99
*1144 _153_
*1145 _154_
*1146 _155_
*1147 _156_
*1148 _157_
*1149 _158_
*1150 _159_
*1151 _160_
*1152 _161_
*1153 _162_
*1154 _163_
*1155 _164_
*1156 _165_
*1157 _166_
*1158 _167_
*1159 _168_
*1160 _169_
*1161 _170_
*1162 _171_
*1163 _172_
*1164 _173_
*1165 _174_
*1166 _175_
*1167 _176_
*1168 _177_
*1169 _178_
*1170 _179_
*1171 _180_
*1172 _181_
*1173 _182_
*1174 _183_
*1175 _184_
*1176 _185_
*1177 _186_
*1178 _187_
*1179 _188_
*1180 _189_
*1181 _190_
*1182 _191_
*1183 _192_
*1184 _193_
*1185 _194_
*1186 _195_
*1187 _196_
*1188 _197_
*1189 _198_
*1190 _199_
*1191 _200_
*1192 _201_
*1193 _202_
*1194 _203_
*1195 _204_
*1196 _205_
*1197 _206_
*1198 _207_
*1199 _208_
*1200 _209_
*1201 _210_
*1202 _211_
*1203 _212_
*1204 _213_
*1205 _214_
*1206 _215_
*1207 _216_
*1208 _217_
*1209 _218_
*1210 _219_
*1211 _220_
*1212 _221_
*1213 _222_
*1214 _223_
*1215 _224_
*1216 _225_
*1217 _226_
*1218 _227_
*1219 _228_
*1220 _229_
*1221 _230_
*1222 _231_
*1223 _232_
*1224 _233_
*1225 _234_
*1226 _235_
*1227 _236_
*1228 _237_
*1229 _238_
*1230 _239_
*1231 _240_
*1232 _241_
*1233 _242_
*1234 _243_
*1235 _244_
*1236 _245_
*1237 _246_
*1238 _247_
*1239 _248_
*1240 _249_
*1241 _250_
*1242 _251_
*1243 _252_
*1244 _253_
*1245 _254_
*1246 _255_
*1247 _256_
*1248 _257_
*1249 _258_
*1250 _259_
*1251 _260_
*1252 _261_
*1253 _262_
*1254 _263_
*1255 _264_
*1256 _265_
*1257 _266_
*1258 _267_
*1259 _268_
*1260 _269_
*1261 _270_
*1262 _271_
*1263 _272_
*1264 _273_
*1265 _274_
*1266 _275_
*1267 _276_
*1268 _277_
*1269 _278_
*1270 _279_
*1271 _280_
*1272 _281_
*1273 _282_
*1274 _283_
*1275 _284_
*1276 _285_
*1277 _286_
*1278 _287_
*1279 _288_
*1280 _289_
*1281 _290_
*1282 _291_
*1283 _292_
*1284 _293_
*1285 _294_
*1286 _295_
*1287 _296_
*1288 _297_
*1289 _298_
*1290 _299_
*1291 _300_
*1292 _301_
*1293 _302_
*1294 _303_
*1295 _304_
*1296 _305_
*1297 _306_
*1298 _307_
*1299 _308_
*1300 _309_
*1301 _310_
*1302 _311_
*1303 _312_
*1304 _313_
*1305 _314_
*1306 _315_
*1307 _316_
*1308 _317_
*1309 _318_
*1310 _319_
*1311 _320_
*1312 _321_
*1313 _322_
*1314 _323_
*1315 _324_
*1316 _325_
*1317 _326_
*1318 _327_
*1319 _328_
*1320 _329_
*1321 _330_
*1322 _331_
*1323 _332_
*1324 _333_
*1325 _334_
*1326 _335_
*1327 _336_
*1328 _337_
*1329 _338_
*1330 _414_
*1331 fanout29
*1332 fanout30
*1333 fanout31
*1334 fanout32
*1335 input1
*1336 input10
*1337 input11
*1338 input12
*1339 input13
*1340 input14
*1341 input15
*1342 input16
*1343 input17
*1344 input18
*1345 input19
*1346 input2
*1347 input20
*1348 input21
*1349 input22
*1350 input23
*1351 input24
*1352 input25
*1353 input26
*1354 input27
*1355 input3
*1356 input4
*1357 input5
*1358 input6
*1359 input7
*1360 input8
*1361 input9
*1362 output28
*1363 tiny_user_project_100
*1364 tiny_user_project_101
*1365 tiny_user_project_102
*1366 tiny_user_project_103
*1367 tiny_user_project_104
*1368 tiny_user_project_105
*1369 tiny_user_project_106
*1370 tiny_user_project_107
*1371 tiny_user_project_33
*1372 tiny_user_project_34
*1373 tiny_user_project_35
*1374 tiny_user_project_36
*1375 tiny_user_project_37
*1376 tiny_user_project_38
*1377 tiny_user_project_39
*1378 tiny_user_project_40
*1379 tiny_user_project_41
*1380 tiny_user_project_42
*1381 tiny_user_project_43
*1382 tiny_user_project_44
*1383 tiny_user_project_45
*1384 tiny_user_project_46
*1385 tiny_user_project_47
*1386 tiny_user_project_48
*1387 tiny_user_project_49
*1388 tiny_user_project_50
*1389 tiny_user_project_51
*1390 tiny_user_project_52
*1391 tiny_user_project_53
*1392 tiny_user_project_54
*1393 tiny_user_project_55
*1394 tiny_user_project_56
*1395 tiny_user_project_57
*1396 tiny_user_project_58
*1397 tiny_user_project_59
*1398 tiny_user_project_60
*1399 tiny_user_project_61
*1400 tiny_user_project_62
*1401 tiny_user_project_63
*1402 tiny_user_project_64
*1403 tiny_user_project_65
*1404 tiny_user_project_66
*1405 tiny_user_project_67
*1406 tiny_user_project_68
*1407 tiny_user_project_69
*1408 tiny_user_project_70
*1409 tiny_user_project_71
*1410 tiny_user_project_72
*1411 tiny_user_project_73
*1412 tiny_user_project_74
*1413 tiny_user_project_75
*1414 tiny_user_project_76
*1415 tiny_user_project_77
*1416 tiny_user_project_78
*1417 tiny_user_project_79
*1418 tiny_user_project_80
*1419 tiny_user_project_81
*1420 tiny_user_project_82
*1421 tiny_user_project_83
*1422 tiny_user_project_84
*1423 tiny_user_project_85
*1424 tiny_user_project_86
*1425 tiny_user_project_87
*1426 tiny_user_project_88
*1427 tiny_user_project_89
*1428 tiny_user_project_90
*1429 tiny_user_project_91
*1430 tiny_user_project_92
*1431 tiny_user_project_93
*1432 tiny_user_project_94
*1433 tiny_user_project_95
*1434 tiny_user_project_96
*1435 tiny_user_project_97
*1436 tiny_user_project_98
*1437 tiny_user_project_99
*PORTS
io_in[0] I
io_in[10] I
io_in[11] I
io_in[12] I
io_in[13] I
io_in[14] I
io_in[15] I
io_in[16] I
io_in[17] I
io_in[18] I
io_in[19] I
io_in[1] I
io_in[20] I
io_in[21] I
io_in[22] I
io_in[23] I
io_in[24] I
io_in[25] I
io_in[26] I
io_in[27] I
io_in[28] I
io_in[29] I
io_in[2] I
io_in[30] I
io_in[31] I
io_in[32] I
io_in[33] I
io_in[34] I
io_in[35] I
io_in[36] I
io_in[37] I
io_in[3] I
io_in[4] I
io_in[5] I
io_in[6] I
io_in[7] I
io_in[8] I
io_in[9] I
io_oeb[0] O
io_oeb[10] O
io_oeb[11] O
io_oeb[12] O
io_oeb[13] O
io_oeb[14] O
io_oeb[15] O
io_oeb[16] O
io_oeb[17] O
io_oeb[18] O
io_oeb[19] O
io_oeb[1] O
io_oeb[20] O
io_oeb[21] O
io_oeb[22] O
io_oeb[23] O
io_oeb[24] O
io_oeb[25] O
io_oeb[26] O
io_oeb[27] O
io_oeb[28] O
io_oeb[29] O
io_oeb[2] O
io_oeb[30] O
io_oeb[31] O
io_oeb[32] O
io_oeb[33] O
io_oeb[34] O
io_oeb[35] O
io_oeb[36] O
io_oeb[37] O
io_oeb[3] O
io_oeb[4] O
io_oeb[5] O
io_oeb[6] O
io_oeb[7] O
io_oeb[8] O
io_oeb[9] O
io_out[0] O
io_out[10] O
io_out[11] O
io_out[12] O
io_out[13] O
io_out[14] O
io_out[15] O
io_out[16] O
io_out[17] O
io_out[18] O
io_out[19] O
io_out[1] O
io_out[20] O
io_out[21] O
io_out[22] O
io_out[23] O
io_out[24] O
io_out[25] O
io_out[26] O
io_out[27] O
io_out[28] O
io_out[29] O
io_out[2] O
io_out[30] O
io_out[31] O
io_out[32] O
io_out[33] O
io_out[34] O
io_out[35] O
io_out[36] O
io_out[37] O
io_out[3] O
io_out[4] O
io_out[5] O
io_out[6] O
io_out[7] O
io_out[8] O
io_out[9] O
*D_NET *2 0.00720364
*CONN
*P io_in[10] I
*I *345:DIODE I *D sky130_fd_sc_hd__diode_2
*I *1335:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 io_in[10] 0.00113625
2 *345:DIODE 0.000896157
3 *1335:A 0
4 *2:10 0.00203241
5 *345:DIODE *1250:C 0.000424029
6 *345:DIODE *1253:A 3.10885e-05
7 *345:DIODE *1257:A 0.000172965
8 *345:DIODE *1259:A 0.000314458
9 *345:DIODE *1264:A 7.95355e-05
10 *345:DIODE *42:17 0
11 *345:DIODE *84:13 5.33005e-05
12 *345:DIODE *264:15 8.9558e-05
13 *345:DIODE *265:8 3.39021e-05
14 *2:10 io_oeb[9] 0.000325864
15 *2:10 io_out[10] 0.00101116
16 *2:10 io_out[9] 5.59381e-05
17 *2:10 *4:25 2.83129e-05
18 *2:10 *44:19 0.000202012
19 *2:10 *147:13 8.1389e-05
20 *2:10 *255:19 2.19185e-05
21 *2:10 *256:27 3.97161e-05
22 *2:10 *267:19 1.67779e-05
23 *2:10 *325:8 0.000156901
*RES
1 io_in[10] *2:10 12.9504
2 *2:10 *1335:A 9.3
3 *2:10 *345:DIODE 36.0679
*END
*D_NET *3 0.00613441
*CONN
*P io_in[11] I
*I *354:DIODE I *D sky130_fd_sc_hd__diode_2
*I *1346:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 io_in[11] 0.00111519
2 *354:DIODE 0.000457682
3 *1346:A 7.7827e-05
4 *3:13 0.0016507
5 *354:DIODE *359:DIODE 0
6 *354:DIODE *1251:A 5.8569e-05
7 *354:DIODE *1256:A 6.76272e-05
8 *354:DIODE *1325:D 0.000148189
9 *354:DIODE *8:13 0
10 *354:DIODE *43:19 0
11 *1346:A *1256:A 7.48091e-05
12 *1346:A *1261:A_N 2.89016e-05
13 *3:13 io_oeb[10] 0.000128719
14 *3:13 io_out[11] 0.000264555
15 *3:13 *1233:A 0
16 *3:13 *1248:A 0.000141361
17 *3:13 *1250:B 9.53914e-05
18 *3:13 *1256:A 6.42095e-05
19 *3:13 *1261:A_N 6.05161e-06
20 *3:13 *1334:A 5.62995e-05
21 *3:13 *8:13 0.00109484
22 *3:13 *142:14 0.000561792
23 *3:13 *147:13 0
24 *3:13 *322:8 4.16984e-05
*RES
1 io_in[11] *3:13 26.8292
2 *3:13 *1346:A 11.0857
3 *3:13 *354:DIODE 27.5321
*END
*D_NET *4 0.00983982
*CONN
*P io_in[12] I
*I *355:DIODE I *D sky130_fd_sc_hd__diode_2
*I *1355:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 io_in[12] 0.00224377
2 *355:DIODE 6.4449e-05
3 *1355:A 0.000341677
4 *4:25 0.0026499
5 *355:DIODE *325:8 5.52302e-05
6 *1355:A *325:8 0.000321479
7 *4:25 io_oeb[11] 0.000529038
8 *4:25 io_oeb[12] 0
9 *4:25 io_out[12] 0.000114694
10 *4:25 *1233:B 0.000168939
11 *4:25 *1238:A_N 1.48555e-05
12 *4:25 *1249:B 2.43869e-05
13 *4:25 *1254:S1 0.000141623
14 *4:25 *1258:A1 0.00069172
15 *4:25 *1262:A_N 0.00019034
16 *4:25 *46:16 9.02023e-05
17 *4:25 *250:24 1.53146e-05
18 *4:25 *256:27 4.57872e-05
19 *4:25 *267:19 0.000255057
20 *4:25 *271:34 0.000274031
21 *4:25 *273:18 0.000531494
22 *4:25 *274:34 0
23 *4:25 *325:8 0.000662116
24 *4:25 *328:30 0.000101231
25 *4:25 *330:12 0.000284166
26 *2:10 *4:25 2.83129e-05
*RES
1 io_in[12] *4:25 41.3442
2 *4:25 *1355:A 17.0321
3 *4:25 *355:DIODE 10.2464
*END
*D_NET *5 0.010843
*CONN
*P io_in[13] I
*I *356:DIODE I *D sky130_fd_sc_hd__diode_2
*I *1356:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 io_in[13] 0.00198089
2 *356:DIODE 0
3 *1356:A 0.000182151
4 *5:33 0.000863262
5 *5:29 0.002662
6 *1356:A *129:14 6.05161e-06
7 *5:29 io_oeb[12] 0.000667642
8 *5:29 io_oeb[14] 5.6912e-05
9 *5:29 io_out[13] 0.00115374
10 *5:29 *1220:B 9.07239e-05
11 *5:29 *1268:A2 0.000146293
12 *5:29 *1276:B 5.52238e-05
13 *5:29 *46:16 0.000115707
14 *5:29 *48:14 0.00104572
15 *5:29 *281:27 1.00073e-05
16 *5:33 io_out[18] 0
17 *5:33 *1208:A 1.17968e-05
18 *5:33 *1277:B2 0.000330485
19 *5:33 *1279:A1 0.00072573
20 *5:33 *1298:D 0.000102545
21 *5:33 *1320:D 0.000196619
22 *5:33 *48:14 8.32171e-05
23 *5:33 *97:17 7.80167e-05
24 *5:33 *129:14 2.47724e-05
25 *5:33 *168:84 0
26 *5:33 *242:21 0.000123358
27 *5:33 *277:53 8.2395e-05
28 *5:33 *333:11 4.77292e-05
*RES
1 io_in[13] *5:29 37.8818
2 *5:29 *5:33 22.5714
3 *5:33 *1356:A 12.2107
4 *5:33 *356:DIODE 9.3
*END
*D_NET *6 0.00909864
*CONN
*P io_in[14] I
*I *357:DIODE I *D sky130_fd_sc_hd__diode_2
*I *1357:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 io_in[14] 0.00150886
2 *357:DIODE 0.000492062
3 *1357:A 9.43291e-05
4 *6:11 0.000831735
5 *6:10 0.00175421
6 *357:DIODE io_oeb[6] 0
7 *357:DIODE io_out[7] 0
8 *357:DIODE *353:DIODE 0.000777543
9 *1357:A *352:DIODE 1.33343e-05
10 *1357:A *7:20 8.36404e-05
11 *6:10 io_oeb[13] 6.17498e-05
12 *6:10 io_oeb[7] 0
13 *6:10 io_out[12] 0
14 *6:10 io_out[14] 6.17498e-05
15 *6:10 io_out[15] 8.93627e-05
16 *6:10 io_out[7] 0
17 *6:10 *1263:B2 0
18 *6:10 *1268:C1 0
19 *6:10 *7:13 0.00301233
20 *6:10 *80:14 3.04608e-05
21 *6:11 *7:20 0.000287272
*RES
1 io_in[14] *6:10 46.4089
2 *6:10 *6:11 5.05357
3 *6:11 *1357:A 11.0857
4 *6:11 *357:DIODE 29.4964
*END
*D_NET *7 0.00999334
*CONN
*P io_in[15] I
*I *1358:A I *D sky130_fd_sc_hd__clkbuf_1
*I *358:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_in[15] 0.00139276
2 *1358:A 0
3 *358:DIODE 0.000147221
4 *7:20 0.000543969
5 *7:13 0.00178951
6 *358:DIODE *352:DIODE 0.000198287
7 *358:DIODE *330:12 0.000624177
8 *7:13 io_oeb[15] 0.00126382
9 *7:13 io_out[15] 0.000266989
10 *7:13 io_out[7] 0
11 *7:20 *352:DIODE 0.000156816
12 *7:20 *330:12 0.000226545
13 *1357:A *7:20 8.36404e-05
14 *6:10 *7:13 0.00301233
15 *6:11 *7:20 0.000287272
*RES
1 io_in[15] *7:13 46.9821
2 *7:13 *7:20 14.625
3 *7:20 *358:DIODE 15.175
4 *7:20 *1358:A 9.3
*END
*D_NET *8 0.00779417
*CONN
*P io_in[16] I
*I *1359:A I *D sky130_fd_sc_hd__clkbuf_1
*I *359:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_in[16] 0.00173662
2 *1359:A 0
3 *359:DIODE 0.000490611
4 *8:13 0.00222723
5 *359:DIODE *352:DIODE 0.000128154
6 *359:DIODE *353:DIODE 7.45011e-05
7 *8:13 io_oeb[15] 0
8 *8:13 io_oeb[8] 2.06112e-05
9 *8:13 io_out[16] 0
10 *8:13 *352:DIODE 0.000237039
11 *8:13 *1234:A 2.16719e-05
12 *8:13 *1234:C 4.78056e-05
13 *8:13 *1238:B 3.76999e-05
14 *8:13 *1256:A 0.000146619
15 *8:13 *1268:B2 0.000122498
16 *8:13 *1325:D 0
17 *8:13 *1328:CLK 0
18 *8:13 *1328:D 0
19 *8:13 *1334:A 5.59556e-05
20 *8:13 *1353:A 0.000154529
21 *8:13 *142:14 9.86681e-05
22 *8:13 *147:13 0
23 *8:13 *155:13 0.000201399
24 *8:13 *266:22 7.89097e-05
25 *8:13 *270:10 8.57091e-05
26 *8:13 *275:8 0
27 *8:13 *328:14 0.000733102
28 *354:DIODE *359:DIODE 0
29 *354:DIODE *8:13 0
30 *3:13 *8:13 0.00109484
*RES
1 io_in[16] *8:13 44.1429
2 *8:13 *359:DIODE 21.6571
3 *8:13 *1359:A 13.8
*END
*D_NET *9 0.00716245
*CONN
*P io_in[17] I
*I *1360:A I *D sky130_fd_sc_hd__clkbuf_1
*I *360:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_in[17] 0.00113213
2 *1360:A 7.70474e-05
3 *360:DIODE 0.000420584
4 *9:13 0.00162976
5 *360:DIODE io_out[13] 0.000111666
6 *360:DIODE *1244:A 5.83233e-05
7 *360:DIODE *83:17 0.00012401
8 *360:DIODE *145:14 0.000307993
9 *360:DIODE *264:15 0.000142884
10 *360:DIODE *271:34 5.91072e-05
11 *360:DIODE *330:12 0.000692339
12 *1360:A *153:17 0.000135028
13 *9:13 io_oeb[16] 0
14 *9:13 io_out[17] 0
15 *9:13 *1244:A 0.000231136
16 *9:13 *1258:A1 1.90936e-05
17 *9:13 *1268:A2 0.000305185
18 *9:13 *1326:CLK 0.000103041
19 *9:13 *84:13 0.000188983
20 *9:13 *145:14 0.001288
21 *9:13 *270:25 8.92374e-05
22 *9:13 *274:34 4.69062e-05
*RES
1 io_in[17] *9:13 27.9464
2 *9:13 *360:DIODE 25.6929
3 *9:13 *1360:A 15.1571
*END
*D_NET *10 0.00656972
*CONN
*P io_in[18] I
*I *1361:A I *D sky130_fd_sc_hd__clkbuf_1
*I *361:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_in[18] 0.000971348
2 *1361:A 0.000152986
3 *361:DIODE 0.00078141
4 *10:12 0.00190574
5 *361:DIODE io_oeb[11] 0.000584105
6 *361:DIODE io_out[14] 2.11419e-05
7 *361:DIODE *1249:A 0.000188769
8 *361:DIODE *1266:B1 5.69387e-05
9 *361:DIODE *42:17 0
10 *361:DIODE *46:16 0.000506193
11 *361:DIODE *148:18 0
12 *361:DIODE *151:8 7.57869e-05
13 *361:DIODE *265:8 0
14 *361:DIODE *322:8 0
15 *1361:A *1258:B1 9.56233e-05
16 *1361:A *46:16 4.85033e-05
17 *1361:A *148:18 0.000223461
18 *1361:A *334:7 8.78754e-05
19 *10:12 io_oeb[17] 0
20 *10:12 io_out[18] 0
21 *10:12 *1220:B 4.16114e-05
22 *10:12 *1274:B_N 0.000136951
23 *10:12 *1276:A_N 1.09232e-05
24 *10:12 *1276:B 0.000129523
25 *10:12 *1277:C1 0.000129395
26 *10:12 *87:11 0
27 *10:12 *164:17 0.000170646
28 *10:12 *328:38 0.000160643
29 *10:12 *334:7 9.0145e-05
*RES
1 io_in[18] *10:12 24.7679
2 *10:12 *361:DIODE 37.3714
3 *10:12 *1361:A 13.55
*END
*D_NET *11 0.00982756
*CONN
*P io_in[19] I
*I *1336:A I *D sky130_fd_sc_hd__clkbuf_1
*I *335:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_in[19] 0.000386042
2 *1336:A 0.00107701
3 *335:DIODE 0.0018711
4 *11:7 0.00333416
5 *335:DIODE io_oeb[19] 0
6 *335:DIODE *1218:C 0.000358126
7 *335:DIODE *1288:B_N 0.000196856
8 *335:DIODE *87:11 1.21258e-05
9 *335:DIODE *159:8 0.00143726
10 *335:DIODE *168:14 0.000441522
11 *335:DIODE *326:111 1.96697e-05
12 *1336:A io_oeb[17] 0.000128146
13 *1336:A io_out[17] 0
14 *1336:A *87:11 0.000522991
15 *11:7 io_oeb[18] 0
16 *11:7 io_out[19] 0
17 *11:7 *1319:CLK 1.08359e-05
18 *11:7 *168:14 3.17148e-05
*RES
1 io_in[19] *11:7 12.3036
2 *11:7 *335:DIODE 45.05
3 *11:7 *1336:A 33.6214
*END
*D_NET *13 0.00702183
*CONN
*P io_in[20] I
*I *1337:A I *D sky130_fd_sc_hd__clkbuf_1
*I *336:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_in[20] 0.00155048
2 *1337:A 0
3 *336:DIODE 0.000509993
4 *13:16 0.00206047
5 *336:DIODE io_oeb[20] 2.59355e-05
6 *336:DIODE io_out[20] 6.02811e-05
7 *336:DIODE io_out[29] 7.79185e-05
8 *336:DIODE *1270:A 6.8646e-06
9 *336:DIODE *57:16 0.000130441
10 *336:DIODE *90:22 0
11 *336:DIODE *289:65 1.24079e-05
12 *13:16 io_oeb[19] 0
13 *13:16 io_out[20] 0
14 *13:16 *1199:A 0.000217644
15 *13:16 *1203:A 1.96282e-05
16 *13:16 *1225:A 6.87839e-06
17 *13:16 *1225:B 0.000303434
18 *13:16 *1254:A2 6.19181e-05
19 *13:16 *1282:A2 0
20 *13:16 *1299:D 0.000271922
21 *13:16 *1319:CLK 0.000193641
22 *13:16 *170:13 0
23 *13:16 *222:57 0.000129147
24 *13:16 *239:14 0.000161411
25 *13:16 *241:17 0.000188955
26 *13:16 *278:8 0.000279342
27 *13:16 *278:12 0.000341239
28 *13:16 *280:21 1.69115e-05
29 *13:16 *280:26 7.90327e-05
30 *13:16 *305:14 0.000177821
31 *13:16 *326:22 4.68689e-05
32 *13:16 *326:27 2.11419e-05
33 *13:16 *326:76 0
34 *13:16 *326:94 7.01038e-05
*RES
1 io_in[20] *13:16 39.8929
2 *13:16 *336:DIODE 24.9964
3 *13:16 *1337:A 9.3
*END
*D_NET *14 0.0101576
*CONN
*P io_in[21] I
*I *337:DIODE I *D sky130_fd_sc_hd__diode_2
*I *1338:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 io_in[21] 0.00167834
2 *337:DIODE 0.00115418
3 *1338:A 0
4 *14:19 0.00283252
5 *337:DIODE *1203:A 0.000535955
6 *337:DIODE *1270:A 3.50409e-05
7 *337:DIODE *24:15 0.000495971
8 *337:DIODE *57:16 0.000115053
9 *337:DIODE *60:22 2.84026e-05
10 *337:DIODE *316:15 0.000602649
11 *14:19 io_oeb[20] 0
12 *14:19 io_out[21] 0
13 *14:19 *1173:B 0.000259304
14 *14:19 *1185:A 2.74584e-05
15 *14:19 *1186:B 1.01241e-05
16 *14:19 *1214:A_N 0
17 *14:19 *1218:B 0
18 *14:19 *1271:A 0.000149159
19 *14:19 *1288:B_N 0
20 *14:19 *1300:CLK 2.11419e-05
21 *14:19 *1301:D 7.29712e-05
22 *14:19 *156:13 0.000546601
23 *14:19 *168:14 3.59226e-05
24 *14:19 *168:16 7.57309e-05
25 *14:19 *168:21 4.35355e-05
26 *14:19 *170:23 0.000693591
27 *14:19 *206:15 1.70089e-05
28 *14:19 *238:20 3.91668e-05
29 *14:19 *285:64 1.29096e-05
30 *14:19 *289:65 0.000674866
*RES
1 io_in[21] *14:19 43.2321
2 *14:19 *1338:A 9.3
3 *14:19 *337:DIODE 33.3
*END
*D_NET *15 0.013886
*CONN
*P io_in[22] I
*I *1339:A I *D sky130_fd_sc_hd__clkbuf_1
*I *338:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_in[22] 0.00323272
2 *1339:A 0
3 *338:DIODE 0.000379324
4 *15:27 0.000688122
5 *15:26 0.00354151
6 *338:DIODE *339:DIODE 6.04511e-05
7 *338:DIODE *1195:A 0.000173097
8 *338:DIODE *1289:A_N 9.59532e-06
9 *338:DIODE *1330:A 1.03105e-05
10 *338:DIODE *16:18 1.32056e-05
11 *338:DIODE *310:11 5.03694e-05
12 *15:26 io_oeb[21] 0
13 *15:26 io_out[22] 2.81598e-05
14 *15:26 io_out[27] 0.00046078
15 *15:26 io_out[28] 0.000517687
16 *15:26 *1190:B 9.42874e-05
17 *15:26 *1194:C 0.000341017
18 *15:26 *1204:A 1.81288e-05
19 *15:26 *1286:A1 6.20091e-06
20 *15:26 *1286:B1 4.36551e-06
21 *15:26 *1291:B1 7.45277e-05
22 *15:26 *1291:C1 0.000350968
23 *15:26 *1294:A1 0.00011443
24 *15:26 *1294:B2 6.61881e-05
25 *15:26 *21:22 6.53757e-05
26 *15:26 *133:31 0.00125717
27 *15:26 *194:82 0.000511092
28 *15:26 *224:19 0.00057114
29 *15:26 *226:17 0.000127429
30 *15:26 *284:10 0.000106291
31 *15:26 *285:33 0.00011802
32 *15:26 *326:27 0
33 *15:27 *1195:A 0.000574043
34 *15:27 *1255:A 2.30116e-06
35 *15:27 *57:16 6.70847e-05
36 *15:27 *133:31 9.6405e-05
37 *15:27 *139:13 9.9974e-05
38 *15:27 *215:16 5.41794e-05
*RES
1 io_in[22] *15:26 44.147
2 *15:26 *15:27 7.86607
3 *15:27 *338:DIODE 20.05
4 *15:27 *1339:A 13.8
*END
*D_NET *16 0.0143597
*CONN
*P io_in[23] I
*I *339:DIODE I *D sky130_fd_sc_hd__diode_2
*I *1340:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 io_in[23] 0.0017486
2 *339:DIODE 0.000167895
3 *1340:A 0
4 *16:18 0.00175615
5 *16:11 0.00333686
6 *339:DIODE *1330:A 0.000183438
7 *339:DIODE *310:11 0.000150618
8 *16:11 io_oeb[22] 0
9 *16:11 io_out[23] 0
10 *16:11 *1156:A2 0.000239146
11 *16:11 *1198:C 7.88765e-05
12 *16:11 *1303:D 8.72535e-05
13 *16:11 *1305:D 0.000404769
14 *16:11 *1309:CLK 0
15 *16:11 *1309:D 0
16 *16:11 *1314:CLK 0.00011188
17 *16:11 *18:13 0.000979151
18 *16:11 *126:13 0.000176449
19 *16:11 *181:8 0.000464714
20 *16:11 *181:10 0.000211162
21 *16:11 *324:78 0
22 *16:11 *324:87 0
23 *16:11 *324:96 0
24 *16:11 *326:119 8.80655e-05
25 *16:11 *326:121 0.000191451
26 *16:18 *346:DIODE 0.000474557
27 *16:18 *1151:A1 3.32631e-05
28 *16:18 *1151:A2 5.63382e-05
29 *16:18 *1151:B2 0.000472494
30 *16:18 *1151:C1 1.39137e-05
31 *16:18 *1155:A1 0.000218409
32 *16:18 *1169:A 1.98839e-05
33 *16:18 *1170:B 9.58181e-05
34 *16:18 *1172:B 2.33614e-05
35 *16:18 *1302:D 0.000135028
36 *16:18 *1330:A 0.000315168
37 *16:18 *59:14 0.000808655
38 *16:18 *170:13 5.68722e-05
39 *16:18 *197:13 0.00111111
40 *16:18 *296:19 3.49733e-05
41 *16:18 *324:55 3.97677e-05
42 *338:DIODE *339:DIODE 6.04511e-05
43 *338:DIODE *16:18 1.32056e-05
*RES
1 io_in[23] *16:11 46.9643
2 *16:11 *16:18 35.1786
3 *16:18 *1340:A 9.3
4 *16:18 *339:DIODE 22.55
*END
*D_NET *17 0.0149858
*CONN
*P io_in[24] I
*I *1341:A I *D sky130_fd_sc_hd__clkbuf_1
*I *340:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_in[24] 0.00500807
2 *1341:A 0
3 *340:DIODE 0.000133719
4 *17:14 0.00514179
5 *340:DIODE *348:DIODE 0.000147474
6 *340:DIODE *92:14 5.46971e-05
7 *340:DIODE *311:13 5.62995e-05
8 *340:DIODE *323:8 2.74584e-05
9 *17:14 io_oeb[23] 0.0016064
10 *17:14 io_out[24] 0.00106097
11 *17:14 *343:DIODE 0.000118315
12 *17:14 *348:DIODE 1.19468e-05
13 *17:14 *1178:C 0.000109321
14 *17:14 *1185:A 0.000293888
15 *17:14 *1185:B 0.000236666
16 *17:14 *19:18 0
17 *17:14 *92:14 2.06112e-05
18 *17:14 *134:20 0.000585895
19 *17:14 *214:15 0.000253911
20 *17:14 *323:8 0.000118315
*RES
1 io_in[24] *17:14 23.7232
2 *17:14 *340:DIODE 16.6929
3 *17:14 *1341:A 13.8
*END
*D_NET *18 0.0146184
*CONN
*P io_in[25] I
*I *1342:A I *D sky130_fd_sc_hd__clkbuf_1
*I *341:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_in[25] 0.00259589
2 *1342:A 0.000109721
3 *341:DIODE 9.78013e-05
4 *18:18 0.000930258
5 *18:13 0.00331863
6 *341:DIODE *1178:C 0
7 *1342:A *346:DIODE 0.000151061
8 *18:13 io_oeb[22] 0
9 *18:13 io_oeb[24] 0.000270858
10 *18:13 io_oeb[25] 0.0013774
11 *18:13 io_oeb[29] 0.000229589
12 *18:13 io_out[25] 0.000270858
13 *18:13 *1198:C 7.72906e-05
14 *18:13 *1303:D 0.000228104
15 *18:13 *1312:D 0.000738577
16 *18:13 *22:18 1.83843e-05
17 *18:13 *24:15 0.00148509
18 *18:13 *181:8 0.000473257
19 *18:13 *181:10 0.000214911
20 *18:13 *210:17 0.000102952
21 *18:18 io_oeb[23] 2.26973e-05
22 *18:18 io_oeb[27] 0.000184664
23 *18:18 *346:DIODE 0
24 *18:18 *1178:C 0
25 *18:18 *228:16 0.000741251
26 *18:18 *292:77 0
27 *18:18 *313:10 0
28 *16:11 *18:13 0.000979151
*RES
1 io_in[25] *18:13 42.2926
2 *18:13 *18:18 15.2054
3 *18:18 *341:DIODE 15.4429
4 *18:18 *1342:A 16.3893
*END
*D_NET *19 0.014718
*CONN
*P io_in[26] I
*I *1343:A I *D sky130_fd_sc_hd__clkbuf_1
*I *342:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_in[26] 0.00379969
2 *1343:A 0
3 *342:DIODE 0.000291869
4 *19:18 0.00409156
5 *342:DIODE io_oeb[24] 0
6 *342:DIODE *310:11 0
7 *342:DIODE *311:13 4.15914e-05
8 *19:18 io_oeb[23] 0.00221507
9 *19:18 io_oeb[24] 0.000346993
10 *19:18 io_oeb[25] 0.000411274
11 *19:18 io_out[26] 0.000519713
12 *19:18 io_out[27] 0
13 *19:18 *91:17 0
14 *19:18 *95:17 0.000110498
15 *19:18 *134:20 0.000788364
16 *19:18 *169:23 0.00161624
17 *19:18 *172:29 2.11782e-05
18 *19:18 *221:13 0.000262453
19 *19:18 *228:16 0.000110498
20 *19:18 *287:40 9.09737e-05
21 *19:18 *289:44 0
22 *17:14 *19:18 0
*RES
1 io_in[26] *19:18 21.9247
2 *19:18 *342:DIODE 17.925
3 *19:18 *1343:A 13.8
*END
*D_NET *20 0.0114569
*CONN
*P io_in[27] I
*I *1344:A I *D sky130_fd_sc_hd__clkbuf_1
*I *343:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_in[27] 0.00379221
2 *1344:A 0
3 *343:DIODE 0.000296337
4 *20:14 0.00408855
5 *343:DIODE *1185:A 3.14048e-05
6 *343:DIODE *214:15 0.000509472
7 *343:DIODE *323:8 0.000511186
8 *20:14 io_oeb[21] 0.00017752
9 *20:14 io_oeb[26] 0.000399252
10 *20:14 io_oeb[31] 0.000264173
11 *20:14 io_out[26] 0
12 *20:14 io_out[27] 0.000390355
13 *20:14 io_out[31] 1.14156e-05
14 *20:14 *1161:A 8.91412e-05
15 *20:14 *21:22 0.000335407
16 *20:14 *26:11 5.55085e-05
17 *20:14 *53:17 0
18 *20:14 *177:20 6.6742e-05
19 *20:14 *214:15 4.5534e-05
20 *20:14 *291:11 3.24721e-05
21 *20:14 *295:44 0.000206662
22 *20:14 *323:8 3.52836e-05
23 *17:14 *343:DIODE 0.000118315
*RES
1 io_in[27] *20:14 19.6558
2 *20:14 *343:DIODE 22.0679
3 *20:14 *1344:A 13.8
*END
*D_NET *21 0.010233
*CONN
*P io_in[28] I
*I *1345:A I *D sky130_fd_sc_hd__clkbuf_1
*I *344:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_in[28] 0.00197735
2 *1345:A 0
3 *344:DIODE 0.000472905
4 *21:22 0.00245025
5 *344:DIODE *350:DIODE 0
6 *344:DIODE *351:DIODE 0.000113073
7 *344:DIODE *27:8 0
8 *344:DIODE *28:13 1.33343e-05
9 *344:DIODE *177:20 0.000573594
10 *344:DIODE *292:45 1.59782e-05
11 *344:DIODE *318:10 0.000661723
12 *21:22 io_oeb[27] 0.000506957
13 *21:22 io_out[27] 2.19335e-05
14 *21:22 io_out[28] 0.000530173
15 *21:22 *1149:B 1.85668e-05
16 *21:22 *1153:A2 0.000690737
17 *21:22 *1153:B2 0.000175826
18 *21:22 *1154:A2 0.000130636
19 *21:22 *1158:A_N 0.000107581
20 *21:22 *1168:A 1.89507e-06
21 *21:22 *1168:B 0.000187289
22 *21:22 *1174:A_N 0
23 *21:22 *1193:B 0
24 *21:22 *1204:A 6.46107e-05
25 *21:22 *59:14 1.8038e-05
26 *21:22 *177:20 0.000102813
27 *21:22 *190:8 0.000132462
28 *21:22 *202:12 0
29 *21:22 *205:12 1.53472e-05
30 *21:22 *224:19 0.000127624
31 *21:22 *291:11 0.00012005
32 *21:22 *291:79 0.00037277
33 *21:22 *292:34 1.55052e-05
34 *21:22 *292:45 4.71941e-05
35 *21:22 *293:29 3.95086e-05
36 *21:22 *294:17 1.14483e-05
37 *21:22 *295:44 0.00011502
38 *15:26 *21:22 6.53757e-05
39 *20:14 *21:22 0.000335407
*RES
1 io_in[28] *21:22 36.9437
2 *21:22 *344:DIODE 25.2107
3 *21:22 *1345:A 13.8
*END
*D_NET *22 0.0101021
*CONN
*P io_in[29] I
*I *1347:A I *D sky130_fd_sc_hd__clkbuf_1
*I *346:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_in[29] 0.00182032
2 *1347:A 4.86103e-05
3 *346:DIODE 0.000933761
4 *22:18 0.00280269
5 *346:DIODE *1161:A 0.000204962
6 *346:DIODE *1170:B 7.80851e-06
7 *346:DIODE *1173:A 5.42961e-05
8 *346:DIODE *1178:B 6.32185e-05
9 *346:DIODE *1178:C 0.000778463
10 *346:DIODE *60:22 4.46186e-06
11 *346:DIODE *95:17 0
12 *346:DIODE *134:20 8.49861e-06
13 *346:DIODE *228:16 0
14 *346:DIODE *310:11 0.000229456
15 *1347:A *1173:A 0.000178425
16 *1347:A *131:13 0.000178425
17 *22:18 io_oeb[28] 0.00037132
18 *22:18 io_out[27] 0.00020114
19 *22:18 io_out[29] 0.000470727
20 *22:18 *1151:B1 7.73128e-05
21 *22:18 *1169:B 1.20117e-05
22 *22:18 *1170:A_N 0.000102494
23 *22:18 *1170:B 2.95076e-06
24 *22:18 *1172:B 6.36771e-05
25 *22:18 *1178:B 7.69776e-06
26 *22:18 *1308:D 0.000155496
27 *22:18 *24:15 0.000174839
28 *22:18 *95:17 0.000125644
29 *22:18 *192:17 0.000196249
30 *22:18 *194:82 0.000125546
31 *22:18 *202:12 4.61027e-05
32 *22:18 *291:79 1.15359e-05
33 *1342:A *346:DIODE 0.000151061
34 *16:18 *346:DIODE 0.000474557
35 *18:13 *22:18 1.83843e-05
36 *18:18 *346:DIODE 0
*RES
1 io_in[29] *22:18 21.8251
2 *22:18 *346:DIODE 42.5321
3 *22:18 *1347:A 15.5679
*END
*D_NET *24 0.00987285
*CONN
*P io_in[30] I
*I *1348:A I *D sky130_fd_sc_hd__clkbuf_1
*I *347:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_in[30] 0.00204993
2 *1348:A 0
3 *347:DIODE 0.000589953
4 *24:15 0.00263988
5 *347:DIODE *1195:A 0.000141555
6 *347:DIODE *1199:A 0.000195412
7 *347:DIODE *131:13 0.000283876
8 *347:DIODE *170:13 0.000194667
9 *347:DIODE *172:14 5.36426e-05
10 *347:DIODE *310:11 0.000185547
11 *24:15 io_oeb[29] 0.000217228
12 *24:15 io_out[30] 0.000461865
13 *24:15 *1151:A1 0
14 *24:15 *1155:A1 2.06178e-05
15 *24:15 *1170:B 1.90936e-05
16 *24:15 *60:22 0.000438227
17 *24:15 *193:21 0.00022545
18 *24:15 *199:22 0
19 *337:DIODE *24:15 0.000495971
20 *18:13 *24:15 0.00148509
21 *22:18 *24:15 0.000174839
*RES
1 io_in[30] *24:15 25.9026
2 *24:15 *347:DIODE 32.0679
3 *24:15 *1348:A 9.3
*END
*D_NET *25 0.0084112
*CONN
*P io_in[31] I
*I *1349:A I *D sky130_fd_sc_hd__clkbuf_1
*I *348:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_in[31] 0.00143959
2 *1349:A 2.04425e-05
3 *348:DIODE 0.000362055
4 *25:16 0.00182208
5 *348:DIODE *1147:A 0.000125599
6 *348:DIODE *1308:D 0
7 *348:DIODE *92:14 1.10978e-05
8 *348:DIODE *197:13 0
9 *348:DIODE *209:11 0.000100262
10 *348:DIODE *309:10 0.000334882
11 *348:DIODE *311:13 9.83388e-05
12 *348:DIODE *323:8 0
13 *1349:A *26:11 5.33005e-05
14 *1349:A *313:10 2.59355e-05
15 *25:16 io_oeb[21] 2.06112e-05
16 *25:16 io_oeb[30] 0.00029844
17 *25:16 io_out[31] 0.00141805
18 *25:16 *1161:A 0.000485641
19 *25:16 *1169:B 5.37934e-05
20 *25:16 *1176:A 7.00437e-05
21 *25:16 *1176:B 5.45727e-06
22 *25:16 *1308:D 0
23 *25:16 *199:22 0.00122424
24 *25:16 *291:11 3.98338e-05
25 *25:16 *309:10 0.000242086
26 *340:DIODE *348:DIODE 0.000147474
27 *17:14 *348:DIODE 1.19468e-05
*RES
1 io_in[31] *25:16 13.3563
2 *25:16 *348:DIODE 22.4607
3 *25:16 *1349:A 14.3357
*END
*D_NET *26 0.00610688
*CONN
*P io_in[32] I
*I *349:DIODE I *D sky130_fd_sc_hd__diode_2
*I *1350:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 io_in[32] 0.00137538
2 *349:DIODE 0.00022219
3 *1350:A 2.23854e-05
4 *26:11 0.00161995
5 *349:DIODE *313:10 0.000203761
6 *26:11 io_oeb[31] 0.000460101
7 *26:11 io_oeb[32] 0
8 *26:11 io_out[30] 4.28249e-05
9 *26:11 io_out[32] 0.000373249
10 *26:11 *1177:B 3.06466e-05
11 *26:11 *1180:C 5.33005e-05
12 *26:11 *1181:A 0.000200133
13 *26:11 *53:17 1.95113e-06
14 *26:11 *205:12 1.04707e-05
15 *26:11 *292:45 0.000150509
16 *26:11 *313:10 0.000251096
17 *26:11 *318:10 0.000980128
18 *1349:A *26:11 5.33005e-05
19 *20:14 *26:11 5.55085e-05
*RES
1 io_in[32] *26:11 23.2521
2 *26:11 *1350:A 9.72857
3 *26:11 *349:DIODE 14.3536
*END
*D_NET *27 0.00538176
*CONN
*P io_in[33] I
*I *1351:A I *D sky130_fd_sc_hd__clkbuf_1
*I *350:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_in[33] 0.00109264
2 *1351:A 9.65134e-05
3 *350:DIODE 0.000498333
4 *27:8 0.00168749
5 *350:DIODE *1152:A 6.70515e-05
6 *350:DIODE *190:8 0
7 *350:DIODE *318:10 0
8 *1351:A *1177:B 2.27304e-05
9 *1351:A *177:20 2.44318e-05
10 *1351:A *209:11 0.000407315
11 *1351:A *311:13 0.000302225
12 *27:8 io_oeb[32] 0.000562312
13 *27:8 io_out[33] 0.000382217
14 *27:8 *1159:A 0.000161136
15 *27:8 *1177:B 6.82648e-05
16 *27:8 *177:20 9.10431e-06
17 *27:8 *190:8 0
18 *344:DIODE *350:DIODE 0
19 *344:DIODE *27:8 0
*RES
1 io_in[33] *27:8 8.76929
2 *27:8 *350:DIODE 20.5679
3 *27:8 *1351:A 18.05
*END
*D_NET *28 0.00410836
*CONN
*P io_in[34] I
*I *351:DIODE I *D sky130_fd_sc_hd__diode_2
*I *1352:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 io_in[34] 0.000801026
2 *351:DIODE 0.000245964
3 *1352:A 0
4 *28:13 0.00104699
5 *28:13 io_oeb[31] 0.000267731
6 *28:13 io_oeb[33] 0.000506972
7 *28:13 io_out[32] 0.000115552
8 *28:13 io_out[34] 0.000453904
9 *28:13 *1144:A 0.000235222
10 *28:13 *182:8 0.000308595
11 *344:DIODE *351:DIODE 0.000113073
12 *344:DIODE *28:13 1.33343e-05
*RES
1 io_in[34] *28:13 17.9766
2 *28:13 *1352:A 9.3
3 *28:13 *351:DIODE 13.9429
*END
*D_NET *37 0.00409455
*CONN
*P io_in[8] I
*I *1353:A I *D sky130_fd_sc_hd__clkbuf_1
*I *352:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_in[8] 0.000641522
2 *1353:A 6.09502e-05
3 *352:DIODE 0.000595544
4 *37:11 0.00129802
5 *352:DIODE *353:DIODE 0.000184296
6 *1353:A *353:DIODE 8.14058e-05
7 *37:11 io_oeb[7] 0.000243017
8 *37:11 io_oeb[8] 0
9 *37:11 io_out[8] 0.000101637
10 *358:DIODE *352:DIODE 0.000198287
11 *359:DIODE *352:DIODE 0.000128154
12 *1357:A *352:DIODE 1.33343e-05
13 *7:20 *352:DIODE 0.000156816
14 *8:13 *352:DIODE 0.000237039
15 *8:13 *1353:A 0.000154529
*RES
1 io_in[8] *37:11 4.96727
2 *37:11 *352:DIODE 25.0411
3 *37:11 *1353:A 15.8982
*END
*D_NET *38 0.00458718
*CONN
*P io_in[9] I
*I *1354:A I *D sky130_fd_sc_hd__clkbuf_1
*I *353:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_in[9] 0.000495492
2 *1354:A 0
3 *353:DIODE 0.00075699
4 *38:19 0.00125248
5 *353:DIODE io_oeb[7] 0
6 *353:DIODE io_out[7] 0
7 *353:DIODE *147:13 0
8 *353:DIODE *322:8 7.77751e-05
9 *38:19 io_oeb[8] 0.000355747
10 *38:19 io_out[9] 6.62676e-05
11 *38:19 *80:14 0.000231307
12 *38:19 *252:14 0.000233369
13 *352:DIODE *353:DIODE 0.000184296
14 *357:DIODE *353:DIODE 0.000777543
15 *359:DIODE *353:DIODE 7.45011e-05
16 *1353:A *353:DIODE 8.14058e-05
*RES
1 io_in[9] *38:19 12.9746
2 *38:19 *353:DIODE 35.175
3 *38:19 *1354:A 9.3
*END
*D_NET *39 0.000887258
*CONN
*P io_oeb[0] O
*I *1371:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[0] 0.000336514
2 *1371:LO 0.000336514
3 io_oeb[0] io_out[0] 0.000214229
4 io_oeb[0] io_out[1] 0
*RES
1 *1371:LO io_oeb[0] 20.7676
*END
*D_NET *40 0.00601725
*CONN
*P io_oeb[10] O
*I *1381:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[10] 0.00144075
2 *1381:LO 0.00144075
3 io_oeb[10] io_out[10] 0.000450747
4 io_oeb[10] io_out[17] 9.41642e-05
5 io_oeb[10] *1247:A 0.00099867
6 io_oeb[10] *1248:A 0.00013097
7 io_oeb[10] *1249:A 7.98398e-05
8 io_oeb[10] *1253:A 9.2539e-06
9 io_oeb[10] *1269:B 0.000180072
10 io_oeb[10] *42:17 6.61223e-05
11 io_oeb[10] *257:17 0.000150061
12 io_oeb[10] *270:25 0.000832446
13 io_oeb[10] *275:17 1.46911e-05
14 *3:13 io_oeb[10] 0.000128719
*RES
1 *1381:LO io_oeb[10] 23.7099
*END
*D_NET *41 0.00762349
*CONN
*P io_oeb[11] O
*I *1382:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[11] 0.00225906
2 *1382:LO 0.00225906
3 io_oeb[11] io_out[11] 0.000479447
4 io_oeb[11] *1247:A 0
5 io_oeb[11] *1249:A 6.08423e-05
6 io_oeb[11] *1258:A1 0.000554574
7 io_oeb[11] *1266:B1 0.00021286
8 io_oeb[11] *43:19 9.20825e-05
9 io_oeb[11] *44:19 9.22244e-05
10 io_oeb[11] *146:17 6.53363e-05
11 io_oeb[11] *151:8 0.000386568
12 io_oeb[11] *328:30 0
13 io_oeb[11] *328:38 0
14 io_oeb[11] *333:11 4.82947e-05
15 *361:DIODE io_oeb[11] 0.000584105
16 *4:25 io_oeb[11] 0.000529038
*RES
1 *1382:LO io_oeb[11] 31.0268
*END
*D_NET *42 0.00964349
*CONN
*P io_oeb[12] O
*I *1383:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[12] 0.001943
2 *1383:LO 0.00114405
3 *42:17 0.00308706
4 io_oeb[12] io_oeb[17] 0.000421239
5 io_oeb[12] io_out[12] 7.47988e-05
6 io_oeb[12] io_out[13] 5.25939e-05
7 io_oeb[12] io_out[17] 5.44304e-05
8 io_oeb[12] *1249:A 0.000115364
9 io_oeb[12] *1266:A2 6.62243e-05
10 io_oeb[12] *1268:A2 0.000135028
11 io_oeb[12] *46:16 3.70287e-05
12 io_oeb[12] *48:14 0.00018345
13 io_oeb[12] *145:14 2.04825e-05
14 io_oeb[12] *148:18 0.000542483
15 io_oeb[12] *328:30 0.000122611
16 *42:17 io_out[13] 5.28442e-06
17 *42:17 io_out[17] 8.1401e-05
18 *42:17 *1253:A 0
19 *42:17 *1269:B 1.15172e-05
20 *42:17 *264:15 9.71197e-05
21 *42:17 *265:8 0.000681275
22 *42:17 *322:8 3.32816e-05
23 io_oeb[10] *42:17 6.61223e-05
24 *345:DIODE *42:17 0
25 *361:DIODE *42:17 0
26 *4:25 io_oeb[12] 0
27 *5:29 io_oeb[12] 0.000667642
*RES
1 *1383:LO *42:17 41.7286
2 *42:17 io_oeb[12] 33.2565
*END
*D_NET *43 0.0081763
*CONN
*P io_oeb[13] O
*I *1384:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[13] 0.00132626
2 *1384:LO 0.00135183
3 *43:19 0.00267809
4 io_oeb[13] io_oeb[14] 0
5 io_oeb[13] io_out[13] 0.000348033
6 io_oeb[13] io_out[14] 0
7 io_oeb[13] io_out[16] 0
8 io_oeb[13] *143:11 2.30116e-06
9 io_oeb[13] *155:26 0
10 io_oeb[13] *273:6 0.000311766
11 io_oeb[13] *273:13 0.000344157
12 io_oeb[13] *328:106 0
13 *43:19 io_out[11] 9.20825e-05
14 *43:19 io_out[9] 2.66789e-06
15 *43:19 *1235:A 0.000173864
16 *43:19 *1237:A2 8.84829e-05
17 *43:19 *1251:A 0
18 *43:19 *44:19 5.32169e-05
19 *43:19 *143:11 0.000389655
20 *43:19 *267:19 0.000802918
21 *43:19 *325:8 5.71472e-05
22 io_oeb[11] *43:19 9.20825e-05
23 *354:DIODE *43:19 0
24 *6:10 io_oeb[13] 6.17498e-05
*RES
1 *1384:LO *43:19 40.2761
2 *43:19 io_oeb[13] 18.0435
*END
*D_NET *44 0.00913669
*CONN
*P io_oeb[14] O
*I *1385:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[14] 0.00136479
2 *1385:LO 0.0013055
3 *44:19 0.00267029
4 io_oeb[14] io_oeb[16] 0.000356596
5 io_oeb[14] io_out[14] 0.00064301
6 io_oeb[14] io_out[16] 0
7 io_oeb[14] *1238:A_N 0.000122657
8 io_oeb[14] *1324:CLK 8.44271e-06
9 io_oeb[14] *46:16 0.00011122
10 io_oeb[14] *143:11 0.000498154
11 io_oeb[14] *250:24 4.04292e-05
12 io_oeb[14] *266:22 0.00012116
13 io_oeb[14] *273:6 4.91424e-05
14 *44:19 io_out[11] 0.000120583
15 *44:19 io_out[13] 0
16 *44:19 *1249:A 6.32409e-06
17 *44:19 *143:11 0
18 *44:19 *255:19 0.000297496
19 *44:19 *267:19 0.000622784
20 *44:19 *325:8 0.000393744
21 io_oeb[11] *44:19 9.22244e-05
22 io_oeb[13] io_oeb[14] 0
23 *2:10 *44:19 0.000202012
24 *5:29 io_oeb[14] 5.6912e-05
25 *43:19 *44:19 5.32169e-05
*RES
1 *1385:LO *44:19 41.0297
2 *44:19 io_oeb[14] 23.7961
*END
*D_NET *45 0.0103893
*CONN
*P io_oeb[15] O
*I *1386:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[15] 0.00148818
2 *1386:LO 0.00152583
3 *45:15 0.00301401
4 io_oeb[15] io_out[12] 0
5 io_oeb[15] io_out[15] 0.000681974
6 io_oeb[15] *1268:C1 4.25974e-05
7 io_oeb[15] *1328:D 0.000461869
8 *45:15 io_oeb[9] 0.000141132
9 *45:15 *1231:A 0.000103612
10 *45:15 *1234:A 0.000391604
11 *45:15 *1235:A 3.22583e-05
12 *45:15 *83:17 0.000140321
13 *45:15 *142:14 0.000464893
14 *45:15 *145:14 0.000136958
15 *45:15 *255:19 0.000360309
16 *45:15 *328:14 0.000139913
17 *7:13 io_oeb[15] 0.00126382
18 *8:13 io_oeb[15] 0
*RES
1 *1386:LO *45:15 39.675
2 *45:15 io_oeb[15] 45.4643
*END
*D_NET *46 0.00603684
*CONN
*P io_oeb[16] O
*I *1387:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[16] 0.000345669
2 *1387:LO 0.00145026
3 *46:16 0.00179593
4 io_oeb[16] io_out[16] 0
5 *46:16 io_oeb[17] 0.000266943
6 *46:16 *1238:A_N 2.6726e-05
7 *46:16 *1249:A 0.000165501
8 *46:16 *1258:B1 0.000554947
9 *46:16 *1269:B 8.80625e-05
10 *46:16 *145:14 0
11 *46:16 *148:18 4.46231e-06
12 *46:16 *266:22 7.28927e-05
13 io_oeb[12] *46:16 3.70287e-05
14 io_oeb[14] io_oeb[16] 0.000356596
15 io_oeb[14] *46:16 0.00011122
16 *361:DIODE *46:16 0.000506193
17 *1361:A *46:16 4.85033e-05
18 *4:25 *46:16 9.02023e-05
19 *5:29 *46:16 0.000115707
20 *9:13 io_oeb[16] 0
*RES
1 *1387:LO *46:16 49.2375
2 *46:16 io_oeb[16] 9.16964
*END
*D_NET *47 0.00387202
*CONN
*P io_oeb[17] O
*I *1388:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[17] 0.00103364
2 *1388:LO 0.00103364
3 io_oeb[17] io_out[17] 0
4 io_oeb[17] *1242:B 0.000220333
5 io_oeb[17] *1266:B1 2.87118e-05
6 io_oeb[17] *87:11 0.000739371
7 io_oeb[12] io_oeb[17] 0.000421239
8 *1336:A io_oeb[17] 0.000128146
9 *10:12 io_oeb[17] 0
10 *46:16 io_oeb[17] 0.000266943
*RES
1 *1388:LO io_oeb[17] 37.9071
*END
*D_NET *48 0.00423248
*CONN
*P io_oeb[18] O
*I *1389:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[18] 5.20182e-05
2 *1389:LO 0.0011515
3 *48:14 0.00120351
4 *48:14 io_out[17] 0.000147725
5 *48:14 io_out[18] 5.14531e-05
6 *48:14 *168:84 0
7 *48:14 *281:27 0.00031389
8 io_oeb[12] *48:14 0.00018345
9 *5:29 *48:14 0.00104572
10 *5:33 *48:14 8.32171e-05
11 *11:7 io_oeb[18] 0
*RES
1 *1389:LO *48:14 49.4339
2 *48:14 io_oeb[18] 1.9375
*END
*D_NET *49 0.00682189
*CONN
*P io_oeb[19] O
*I *1390:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[19] 0.000687997
2 *1390:LO 0.00114791
3 *49:19 0.00183591
4 io_oeb[19] io_out[19] 0
5 io_oeb[19] *1282:A1 0.000515527
6 io_oeb[19] *1282:A2 0.000512161
7 io_oeb[19] *1319:CLK 0
8 *49:19 *1228:A 0.000852006
9 *49:19 *1228:C 0.000206022
10 *49:19 *1274:A 0
11 *49:19 *1281:A2 0.000152139
12 *49:19 *1282:B1 7.21295e-05
13 *49:19 *138:22 0.000156992
14 *49:19 *230:21 0.000376709
15 *49:19 *235:24 0.000158823
16 *49:19 *278:46 0.000118277
17 *49:19 *327:20 2.92835e-05
18 *49:19 *333:11 0
19 *335:DIODE io_oeb[19] 0
20 *13:16 io_oeb[19] 0
*RES
1 *1390:LO *49:19 42.2875
2 *49:19 io_oeb[19] 16
*END
*D_NET *50 0.00100265
*CONN
*P io_oeb[1] O
*I *1372:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[1] 0.000410082
2 *1372:LO 0.000410082
3 io_oeb[1] io_out[1] 0.000182481
4 io_oeb[1] io_out[2] 0
*RES
1 *1372:LO io_oeb[1] 20.1605
*END
*D_NET *51 0.00605048
*CONN
*P io_oeb[20] O
*I *1391:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[20] 0.00138449
2 *1391:LO 0.00138449
3 io_oeb[20] io_oeb[27] 5.39247e-05
4 io_oeb[20] io_out[20] 0
5 io_oeb[20] io_out[29] 5.49489e-05
6 io_oeb[20] *1214:A_N 0
7 io_oeb[20] *1222:A_N 6.47912e-05
8 io_oeb[20] *1222:B 7.72966e-05
9 io_oeb[20] *90:22 0.0011595
10 io_oeb[20] *157:26 0.000315846
11 io_oeb[20] *168:14 0
12 io_oeb[20] *168:16 0
13 io_oeb[20] *206:15 0.00030168
14 io_oeb[20] *228:16 0.000527224
15 io_oeb[20] *277:8 0.000283553
16 io_oeb[20] *277:12 0.000351089
17 io_oeb[20] *326:111 6.57202e-05
18 *336:DIODE io_oeb[20] 2.59355e-05
19 *14:19 io_oeb[20] 0
*RES
1 *1391:LO io_oeb[20] 47.9429
*END
*D_NET *52 0.00871066
*CONN
*P io_oeb[21] O
*I *1392:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[21] 0.00337383
2 *1392:LO 0.00337383
3 io_oeb[21] io_oeb[25] 0
4 io_oeb[21] io_out[21] 0
5 io_oeb[21] *1161:A 5.32559e-05
6 io_oeb[21] *1176:A 2.06112e-05
7 io_oeb[21] *1218:A_N 6.905e-05
8 io_oeb[21] *1286:B1 0
9 io_oeb[21] *1288:B_N 0
10 io_oeb[21] *1294:B1 5.43661e-05
11 io_oeb[21] *1295:B1 0.000154944
12 io_oeb[21] *91:17 0.000223413
13 io_oeb[21] *134:20 4.1879e-05
14 io_oeb[21] *169:23 0.00011942
15 io_oeb[21] *177:20 0.000130904
16 io_oeb[21] *199:34 0.000848209
17 io_oeb[21] *295:44 4.88232e-05
18 *15:26 io_oeb[21] 0
19 *20:14 io_oeb[21] 0.00017752
20 *25:16 io_oeb[21] 2.06112e-05
*RES
1 *1392:LO io_oeb[21] 48.3949
*END
*D_NET *53 0.0112202
*CONN
*P io_oeb[22] O
*I *1393:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[22] 0.00130405
2 *1393:LO 0.00290928
3 *53:17 0.00421333
4 io_oeb[22] io_oeb[26] 0.000124539
5 io_oeb[22] io_out[22] 0
6 io_oeb[22] io_out[26] 0
7 io_oeb[22] *1296:B1 0
8 io_oeb[22] *1312:D 0
9 io_oeb[22] *1313:D 0.000123119
10 io_oeb[22] *1315:D 0
11 io_oeb[22] *284:10 0
12 io_oeb[22] *326:111 0
13 *53:17 io_oeb[25] 0.00100589
14 *53:17 io_oeb[27] 0.000749201
15 *53:17 io_oeb[32] 2.12591e-06
16 *53:17 io_out[27] 0.000260425
17 *53:17 *177:20 0.000489292
18 *53:17 *197:13 9.59532e-06
19 *53:17 *289:44 2.74457e-05
20 *16:11 io_oeb[22] 0
21 *18:13 io_oeb[22] 0
22 *20:14 *53:17 0
23 *26:11 *53:17 1.95113e-06
*RES
1 *1393:LO *53:17 34.5287
2 *53:17 io_oeb[22] 23.7411
*END
*D_NET *54 0.0140665
*CONN
*P io_oeb[23] O
*I *1394:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[23] 0.00353585
2 *1394:LO 0.00353585
3 io_oeb[23] io_oeb[24] 0.00285613
4 io_oeb[23] io_out[24] 0.000102426
5 io_oeb[23] *92:18 0.000140413
6 io_oeb[23] *134:20 5.16948e-05
7 *17:14 io_oeb[23] 0.0016064
8 *18:18 io_oeb[23] 2.26973e-05
9 *19:18 io_oeb[23] 0.00221507
*RES
1 *1394:LO io_oeb[23] 44.2445
*END
*D_NET *55 0.0142781
*CONN
*P io_oeb[24] O
*I *1395:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[24] 0.00438015
2 *1395:LO 0.00438015
3 io_oeb[24] io_out[24] 0.000766554
4 io_oeb[24] io_out[25] 0.00116357
5 io_oeb[24] *1285:A 0.000113644
6 io_oeb[24] *311:13 0
7 io_oeb[23] io_oeb[24] 0.00285613
8 *342:DIODE io_oeb[24] 0
9 *18:13 io_oeb[24] 0.000270858
10 *19:18 io_oeb[24] 0.000346993
*RES
1 *1395:LO io_oeb[24] 37.8915
*END
*D_NET *56 0.0149659
*CONN
*P io_oeb[25] O
*I *1396:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[25] 0.00428139
2 *1396:LO 0.00428139
3 io_oeb[25] io_out[25] 0.000524478
4 io_oeb[25] io_out[27] 0.000417037
5 io_oeb[25] *1286:B1 0.000357754
6 io_oeb[25] *91:17 0.000384944
7 io_oeb[25] *95:17 0.000600747
8 io_oeb[25] *177:20 0.00130936
9 io_oeb[25] *210:17 1.42701e-05
10 io_oeb[21] io_oeb[25] 0
11 *18:13 io_oeb[25] 0.0013774
12 *19:18 io_oeb[25] 0.000411274
13 *53:17 io_oeb[25] 0.00100589
*RES
1 *1396:LO io_oeb[25] 36.5456
*END
*D_NET *57 0.0112923
*CONN
*P io_oeb[26] O
*I *1397:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[26] 0.00251798
2 *1397:LO 0.000777247
3 *57:16 0.00329523
4 io_oeb[26] io_out[26] 0.00130064
5 io_oeb[26] *1168:B 0.000247074
6 io_oeb[26] *1172:A 2.66687e-05
7 io_oeb[26] *1172:B 0.000183726
8 io_oeb[26] *1174:C 3.19722e-05
9 io_oeb[26] *1184:A 0.000177545
10 io_oeb[26] *1184:B 3.97677e-05
11 io_oeb[26] *1185:A 8.2607e-05
12 io_oeb[26] *1186:B 3.22663e-05
13 io_oeb[26] *1270:A 0.000385277
14 io_oeb[26] *1313:D 0
15 io_oeb[26] *59:14 6.52967e-05
16 io_oeb[26] *194:73 2.01584e-05
17 io_oeb[26] *196:12 0.000138377
18 io_oeb[26] *199:34 5.7993e-06
19 io_oeb[26] *222:57 1.96616e-05
20 io_oeb[26] *224:19 3.7154e-05
21 *57:16 io_out[20] 5.99182e-05
22 *57:16 *1203:A 0.000231277
23 *57:16 *1270:A 4.80553e-05
24 *57:16 *1284:A 0.000232011
25 *57:16 *133:31 0.000123875
26 *57:16 *139:13 0.000212907
27 *57:16 *172:14 0
28 *57:16 *241:17 0.000142856
29 *57:16 *289:65 2.05823e-05
30 io_oeb[22] io_oeb[26] 0.000124539
31 *336:DIODE *57:16 0.000130441
32 *337:DIODE *57:16 0.000115053
33 *15:27 *57:16 6.70847e-05
34 *20:14 io_oeb[26] 0.000399252
*RES
1 *1397:LO *57:16 44.0679
2 *57:16 io_oeb[26] 45.2616
*END
*D_NET *58 0.0100904
*CONN
*P io_oeb[27] O
*I *1398:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[27] 0.00240769
2 *1398:LO 0.00240769
3 io_oeb[27] io_out[27] 0.000345587
4 io_oeb[27] io_out[29] 0.000125724
5 io_oeb[27] *90:22 0.000769767
6 io_oeb[27] *97:17 0.000110337
7 io_oeb[27] *131:13 0.000187817
8 io_oeb[27] *134:20 0.000698582
9 io_oeb[27] *224:19 6.84401e-05
10 io_oeb[27] *228:16 0.000720105
11 io_oeb[27] *289:44 0.00010023
12 io_oeb[27] *289:65 2.29896e-05
13 io_oeb[27] *313:10 0
14 io_oeb[27] *326:27 0.000342691
15 io_oeb[27] *326:36 0.000287971
16 io_oeb[20] io_oeb[27] 5.39247e-05
17 *18:18 io_oeb[27] 0.000184664
18 *21:22 io_oeb[27] 0.000506957
19 *53:17 io_oeb[27] 0.000749201
*RES
1 *1398:LO io_oeb[27] 40.5957
*END
*D_NET *59 0.00719449
*CONN
*P io_oeb[28] O
*I *1399:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[28] 0.000458654
2 *1399:LO 0.00121145
3 *59:14 0.0016701
4 io_oeb[28] io_out[28] 0.00033291
5 io_oeb[28] *194:82 7.17259e-05
6 *59:14 io_out[26] 0.000153908
7 *59:14 *1151:C1 0.000138916
8 *59:14 *1158:A_N 1.42131e-05
9 *59:14 *1172:B 0.000126954
10 *59:14 *1176:A 0.00017947
11 *59:14 *60:22 6.77187e-05
12 *59:14 *185:6 3.17874e-05
13 *59:14 *192:17 6.92581e-05
14 *59:14 *194:18 0.000144903
15 *59:14 *194:64 0.000118982
16 *59:14 *194:73 0.000551862
17 *59:14 *201:16 0.000177821
18 *59:14 *292:32 6.8335e-05
19 *59:14 *292:34 0.000117919
20 *59:14 *296:6 0.000146042
21 *59:14 *296:19 7.82551e-05
22 io_oeb[26] *59:14 6.52967e-05
23 *16:18 *59:14 0.000808655
24 *21:22 *59:14 1.8038e-05
25 *22:18 io_oeb[28] 0.00037132
*RES
1 *1399:LO *59:14 48.3804
2 *59:14 io_oeb[28] 5.12707
*END
*D_NET *60 0.00630402
*CONN
*P io_oeb[29] O
*I *1400:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[29] 0.000454804
2 *1400:LO 0.000808491
3 *60:22 0.00126329
4 io_oeb[29] io_out[29] 7.22808e-05
5 io_oeb[29] *210:17 0.000470297
6 *60:22 *1155:A2 5.33005e-05
7 *60:22 *1170:B 6.21188e-05
8 *60:22 *1173:B 7.33804e-05
9 *60:22 *1178:C 4.56587e-05
10 *60:22 *1331:A 9.77423e-05
11 *60:22 *95:17 6.76365e-05
12 *60:22 *192:17 0.00043351
13 *60:22 *193:21 0.000550056
14 *60:22 *204:8 0.000123861
15 *60:22 *206:15 4.84319e-05
16 *60:22 *214:15 7.03809e-05
17 *60:22 *294:7 0.000267731
18 *60:22 *296:6 6.60111e-05
19 *60:22 *316:15 0.000289403
20 *337:DIODE *60:22 2.84026e-05
21 *346:DIODE *60:22 4.46186e-06
22 *18:13 io_oeb[29] 0.000229589
23 *24:15 io_oeb[29] 0.000217228
24 *24:15 *60:22 0.000438227
25 *59:14 *60:22 6.77187e-05
*RES
1 *1400:LO *60:22 49.3439
2 *60:22 io_oeb[29] 1.78913
*END
*D_NET *61 0.000756899
*CONN
*P io_oeb[2] O
*I *1373:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[2] 0.000352852
2 *1373:LO 0.000352852
3 io_oeb[2] io_out[2] 5.11945e-05
4 io_oeb[2] io_out[3] 0
*RES
1 *1373:LO io_oeb[2] 22.7262
*END
*D_NET *62 0.0049539
*CONN
*P io_oeb[30] O
*I *1401:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[30] 0.00131424
2 *1401:LO 0.00131424
3 io_oeb[30] io_oeb[31] 0
4 io_oeb[30] io_out[30] 0.000374631
5 io_oeb[30] io_out[32] 6.72809e-05
6 io_oeb[30] *1162:C 0.000111273
7 io_oeb[30] *1175:A 5.49544e-05
8 io_oeb[30] *1177:A 0.000192777
9 io_oeb[30] *1306:D 0.000238509
10 io_oeb[30] *92:14 0.000268776
11 io_oeb[30] *132:19 8.44287e-05
12 io_oeb[30] *194:18 2.40928e-05
13 io_oeb[30] *199:22 0.000196316
14 io_oeb[30] *291:44 0
15 io_oeb[30] *293:10 9.40798e-05
16 io_oeb[30] *296:19 0.000319869
17 io_oeb[30] *296:24 0
18 io_oeb[30] *296:34 0
19 *25:16 io_oeb[30] 0.00029844
*RES
1 *1401:LO io_oeb[30] 35.2985
*END
*D_NET *63 0.00513719
*CONN
*P io_oeb[31] O
*I *1402:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[31] 0.00137537
2 *1402:LO 0.00137537
3 io_oeb[31] io_out[31] 0.00019653
4 io_oeb[31] io_out[32] 0
5 io_oeb[31] *1177:A 0
6 io_oeb[31] *132:19 6.49932e-05
7 io_oeb[31] *182:8 1.98839e-05
8 io_oeb[31] *190:8 0.000888652
9 io_oeb[31] *291:44 5.58875e-06
10 io_oeb[31] *296:24 0.000142782
11 io_oeb[31] *296:34 7.60041e-05
12 io_oeb[30] io_oeb[31] 0
13 *20:14 io_oeb[31] 0.000264173
14 *26:11 io_oeb[31] 0.000460101
15 *28:13 io_oeb[31] 0.000267731
*RES
1 *1402:LO io_oeb[31] 34.3161
*END
*D_NET *64 0.00397533
*CONN
*P io_oeb[32] O
*I *1403:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[32] 0.00103224
2 *1403:LO 0.00103224
3 io_oeb[32] io_out[32] 0.000373964
4 io_oeb[32] *1159:A 6.85145e-05
5 io_oeb[32] *1162:C 4.58194e-05
6 io_oeb[32] *1177:B 0.000136249
7 io_oeb[32] *1185:A 0.000232399
8 io_oeb[32] *1185:B 4.13595e-05
9 io_oeb[32] *92:14 0.000439673
10 io_oeb[32] *197:13 8.43535e-06
11 *26:11 io_oeb[32] 0
12 *27:8 io_oeb[32] 0.000562312
13 *53:17 io_oeb[32] 2.12591e-06
*RES
1 *1403:LO io_oeb[32] 25.9628
*END
*D_NET *65 0.00270534
*CONN
*P io_oeb[33] O
*I *1404:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[33] 0.000658927
2 *1404:LO 0.000658927
3 io_oeb[33] io_out[32] 4.46743e-05
4 io_oeb[33] io_out[33] 0.000835839
5 *28:13 io_oeb[33] 0.000506972
*RES
1 *1404:LO io_oeb[33] 24.7402
*END
*D_NET *66 0.00115825
*CONN
*P io_oeb[34] O
*I *1405:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[34] 0.000472471
2 *1405:LO 0.000472471
3 io_oeb[34] io_out[34] 0.00019269
4 io_oeb[34] io_out[35] 2.06178e-05
*RES
1 *1405:LO io_oeb[34] 23.1241
*END
*D_NET *67 0.000717229
*CONN
*P io_oeb[35] O
*I *1406:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[35] 0.000262269
2 *1406:LO 0.000262269
3 io_oeb[35] io_out[35] 0.00019269
4 io_oeb[35] io_out[36] 0
*RES
1 *1406:LO io_oeb[35] 19.4813
*END
*D_NET *68 0.000662415
*CONN
*P io_oeb[36] O
*I *1407:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[36] 0.000241283
2 *1407:LO 0.000241283
3 io_oeb[36] io_out[36] 0.000179848
4 io_oeb[36] io_out[37] 0
*RES
1 *1407:LO io_oeb[36] 18.8741
*END
*D_NET *69 0.00157947
*CONN
*P io_oeb[37] O
*I *1408:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[37] 0.000628276
2 *1408:LO 0.000628276
3 io_oeb[37] io_out[37] 0.000322919
*RES
1 *1408:LO io_oeb[37] 22.9188
*END
*D_NET *70 0.000892366
*CONN
*P io_oeb[3] O
*I *1374:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[3] 0.000355632
2 *1374:LO 0.000355632
3 io_oeb[3] io_out[3] 0.000111081
4 io_oeb[3] io_out[4] 7.002e-05
*RES
1 *1374:LO io_oeb[3] 18.5396
*END
*D_NET *71 0.000820228
*CONN
*P io_oeb[4] O
*I *1375:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[4] 0.000308877
2 *1375:LO 0.000308877
3 io_oeb[4] io_out[4] 0.000135027
4 io_oeb[4] io_out[5] 6.74471e-05
*RES
1 *1375:LO io_oeb[4] 18.3391
*END
*D_NET *72 0.000778354
*CONN
*P io_oeb[5] O
*I *1376:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[5] 0.000333636
2 *1376:LO 0.000333636
3 io_oeb[5] io_oeb[6] 0
4 io_oeb[5] io_out[5] 0.000111081
5 io_oeb[5] io_out[6] 0
*RES
1 *1376:LO io_oeb[5] 18.3391
*END
*D_NET *73 0.00085344
*CONN
*P io_oeb[6] O
*I *1377:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[6] 0.00040283
2 *1377:LO 0.00040283
3 io_oeb[6] io_out[6] 4.77796e-05
4 io_oeb[6] io_out[7] 0
5 io_oeb[5] io_oeb[6] 0
6 *357:DIODE io_oeb[6] 0
*RES
1 *1377:LO io_oeb[6] 18.3391
*END
*D_NET *74 0.00100909
*CONN
*P io_oeb[7] O
*I *1378:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[7] 0.000297235
2 *1378:LO 0.000297235
3 io_oeb[7] io_out[7] 0.000171602
4 *353:DIODE io_oeb[7] 0
5 *6:10 io_oeb[7] 0
6 *37:11 io_oeb[7] 0.000243017
*RES
1 *1378:LO io_oeb[7] 18.9462
*END
*D_NET *75 0.00178015
*CONN
*P io_oeb[8] O
*I *1379:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[8] 0.000588791
2 *1379:LO 0.000588791
3 io_oeb[8] io_out[8] 0.000159983
4 io_oeb[8] io_out[9] 0
5 io_oeb[8] *1248:A 5.40377e-05
6 io_oeb[8] *1334:A 1.21859e-05
7 *8:13 io_oeb[8] 2.06112e-05
8 *37:11 io_oeb[8] 0
9 *38:19 io_oeb[8] 0.000355747
*RES
1 *1379:LO io_oeb[8] 18.9877
*END
*D_NET *76 0.00297946
*CONN
*P io_oeb[9] O
*I *1380:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[9] 0.000816071
2 *1380:LO 0.000816071
3 io_oeb[9] io_out[9] 0.000651857
4 io_oeb[9] *1231:A 0.000152973
5 io_oeb[9] *1251:A 7.54868e-05
6 io_oeb[9] *142:14 0
7 io_oeb[9] *143:11 0
8 *2:10 io_oeb[9] 0.000325864
9 *45:15 io_oeb[9] 0.000141132
*RES
1 *1380:LO io_oeb[9] 22.5986
*END
*D_NET *77 0.00134621
*CONN
*P io_out[0] O
*I *1409:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[0] 0.00056599
2 *1409:LO 0.00056599
3 io_oeb[0] io_out[0] 0.000214229
*RES
1 *1409:LO io_out[0] 23.0935
*END
*D_NET *78 0.00486717
*CONN
*P io_out[10] O
*I *1419:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[10] 0.00118564
2 *1419:LO 0.00118564
3 io_out[10] io_out[11] 0.000388788
4 io_out[10] *1247:A 2.26118e-05
5 io_out[10] *143:11 0.00039023
6 io_out[10] *147:13 0.00015608
7 io_out[10] *256:27 4.64974e-05
8 io_out[10] *257:17 2.97647e-05
9 io_oeb[10] io_out[10] 0.000450747
10 *2:10 io_out[10] 0.00101116
*RES
1 *1419:LO io_out[10] 25.3049
*END
*D_NET *79 0.00638142
*CONN
*P io_out[11] O
*I *1420:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[11] 0.00154187
2 *1420:LO 0.00154187
3 io_out[11] *1233:A 0.000182694
4 io_out[11] *1248:A 6.83915e-05
5 io_out[11] *1249:A 2.00469e-05
6 io_out[11] *83:17 0.000180777
7 io_out[11] *143:11 0.000539556
8 io_out[11] *250:24 0.000334545
9 io_out[11] *255:19 0.000506449
10 io_out[11] *267:19 8.69554e-05
11 io_out[11] *275:17 3.28113e-05
12 io_oeb[11] io_out[11] 0.000479447
13 io_out[10] io_out[11] 0.000388788
14 *3:13 io_out[11] 0.000264555
15 *43:19 io_out[11] 9.20825e-05
16 *44:19 io_out[11] 0.000120583
*RES
1 *1420:LO io_out[11] 36.5336
*END
*D_NET *80 0.00612486
*CONN
*P io_out[12] O
*I *1421:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[12] 0.000959688
2 *1421:LO 0.000995977
3 *80:14 0.00195566
4 io_out[12] *1246:A_N 0.000214721
5 io_out[12] *1248:B 0.000161587
6 io_out[12] *1263:B2 7.3475e-05
7 io_out[12] *1328:D 0
8 io_out[12] *252:14 0.000296423
9 io_out[12] *272:8 0
10 *80:14 io_out[8] 6.18969e-06
11 *80:14 *1239:A 0.000190858
12 *80:14 *1248:B 3.4323e-06
13 *80:14 *1325:D 5.23142e-05
14 *80:14 *147:13 0.000566258
15 *80:14 *252:14 0.00019701
16 io_oeb[12] io_out[12] 7.47988e-05
17 io_oeb[15] io_out[12] 0
18 *4:25 io_out[12] 0.000114694
19 *6:10 io_out[12] 0
20 *6:10 *80:14 3.04608e-05
21 *38:19 *80:14 0.000231307
*RES
1 *1421:LO *80:14 35.8982
2 *80:14 io_out[12] 19.3496
*END
*D_NET *81 0.0102502
*CONN
*P io_out[13] O
*I *1422:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[13] 0.00427975
2 *1422:LO 0.00427975
3 io_out[13] io_out[14] 0
4 io_out[13] *1257:A 1.94024e-05
5 io_out[13] *267:19 0
6 io_out[13] *328:30 0
7 io_out[13] *328:38 0
8 io_oeb[12] io_out[13] 5.25939e-05
9 io_oeb[13] io_out[13] 0.000348033
10 *360:DIODE io_out[13] 0.000111666
11 *5:29 io_out[13] 0.00115374
12 *42:17 io_out[13] 5.28442e-06
13 *44:19 io_out[13] 0
*RES
1 *1422:LO io_out[13] 33.3649
*END
*D_NET *82 0.00905872
*CONN
*P io_out[14] O
*I *1423:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[14] 0.00409005
2 *1423:LO 0.00409005
3 io_out[14] *87:11 0.000152711
4 io_oeb[13] io_out[14] 0
5 io_oeb[14] io_out[14] 0.00064301
6 io_out[13] io_out[14] 0
7 *361:DIODE io_out[14] 2.11419e-05
8 *6:10 io_out[14] 6.17498e-05
*RES
1 *1423:LO io_out[14] 34.2551
*END
*D_NET *83 0.00978557
*CONN
*P io_out[15] O
*I *1424:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[15] 0.00155003
2 *1424:LO 0.00164468
3 *83:17 0.0031947
4 *83:17 *1233:A 0.00046623
5 *83:17 *1233:B 9.60939e-05
6 *83:17 *1234:C 0.000817583
7 *83:17 *1248:A 7.6696e-05
8 *83:17 *255:19 0.000111719
9 *83:17 *264:15 0.000125724
10 *83:17 *267:19 0.000218685
11 io_oeb[15] io_out[15] 0.000681974
12 io_out[11] *83:17 0.000180777
13 *360:DIODE *83:17 0.00012401
14 *6:10 io_out[15] 8.93627e-05
15 *7:13 io_out[15] 0.000266989
16 *45:15 *83:17 0.000140321
*RES
1 *1424:LO *83:17 49.5143
2 *83:17 io_out[15] 49.625
*END
*D_NET *84 0.00681452
*CONN
*P io_out[16] O
*I *1425:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[16] 0.000831645
2 *1425:LO 0.00118152
3 *84:13 0.00201317
4 io_out[16] *1328:CLK 0.000349521
5 io_out[16] *328:106 7.91077e-05
6 *84:13 *1258:A1 2.04825e-05
7 *84:13 *1264:A 5.33005e-05
8 *84:13 *1268:A2 7.6644e-05
9 *84:13 *145:14 0.000595844
10 *84:13 *153:17 3.34687e-05
11 *84:13 *264:15 0.000960604
12 *84:13 *266:22 0.000216966
13 *84:13 *273:50 0.000159966
14 io_oeb[13] io_out[16] 0
15 io_oeb[14] io_out[16] 0
16 io_oeb[16] io_out[16] 0
17 *345:DIODE *84:13 5.33005e-05
18 *8:13 io_out[16] 0
19 *9:13 *84:13 0.000188983
*RES
1 *1425:LO *84:13 45.8714
2 *84:13 io_out[16] 18.0357
*END
*D_NET *85 0.00378273
*CONN
*P io_out[17] O
*I *1426:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[17] 0.00121963
2 *1426:LO 0.00121963
3 io_out[17] *1236:A 0.000118648
4 io_out[17] *1266:A2 0.000210671
5 io_out[17] *1269:B 2.36643e-05
6 io_out[17] *146:17 9.25094e-05
7 io_out[17] *153:17 0
8 io_out[17] *250:21 0
9 io_out[17] *265:8 0.000520257
10 io_out[17] *271:8 0
11 io_oeb[10] io_out[17] 9.41642e-05
12 io_oeb[12] io_out[17] 5.44304e-05
13 io_oeb[17] io_out[17] 0
14 *1336:A io_out[17] 0
15 *9:13 io_out[17] 0
16 *42:17 io_out[17] 8.1401e-05
17 *48:14 io_out[17] 0.000147725
*RES
1 *1426:LO io_out[17] 40.1214
*END
*D_NET *86 0.00323495
*CONN
*P io_out[18] O
*I *1427:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[18] 0.00121185
2 *1427:LO 0.00121185
3 io_out[18] *1226:A 0.000160847
4 io_out[18] *1277:C1 0.000136719
5 io_out[18] *164:17 1.39782e-05
6 io_out[18] *230:21 2.09266e-05
7 io_out[18] *277:53 6.59765e-05
8 io_out[18] *333:11 0.000361353
9 *5:33 io_out[18] 0
10 *10:12 io_out[18] 0
11 *48:14 io_out[18] 5.14531e-05
*RES
1 *1427:LO io_out[18] 37.8536
*END
*D_NET *87 0.0046106
*CONN
*P io_out[19] O
*I *1428:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[19] 0.000343589
2 *1428:LO 0.000982356
3 *87:11 0.00132595
4 *87:11 *1266:B1 0.000193492
5 *87:11 *1276:A_N 4.38511e-05
6 *87:11 *1276:B 0
7 *87:11 *1319:CLK 0.000274288
8 *87:11 *168:14 1.98839e-05
9 io_oeb[17] *87:11 0.000739371
10 io_oeb[19] io_out[19] 0
11 io_out[14] *87:11 0.000152711
12 *335:DIODE *87:11 1.21258e-05
13 *1336:A *87:11 0.000522991
14 *10:12 *87:11 0
15 *11:7 io_out[19] 0
*RES
1 *1428:LO *87:11 48.4786
2 *87:11 io_out[19] 6.89286
*END
*D_NET *88 0.000832786
*CONN
*P io_out[1] O
*I *1410:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[1] 0.000325152
2 *1410:LO 0.000325152
3 io_oeb[0] io_out[1] 0
4 io_oeb[1] io_out[1] 0.000182481
*RES
1 *1410:LO io_out[1] 18.9462
*END
*D_NET *89 0.00574697
*CONN
*P io_out[20] O
*I *1429:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[20] 0.00195497
2 *1429:LO 0.00195497
3 io_out[20] *1211:A 2.50137e-05
4 io_out[20] *1212:A 2.05695e-05
5 io_out[20] *1221:A 0.000223924
6 io_out[20] *1222:B 0
7 io_out[20] *1280:A1 0.00017698
8 io_out[20] *1280:B1 2.23563e-05
9 io_out[20] *1284:A 6.05161e-06
10 io_out[20] *1317:D 0
11 io_out[20] *90:22 0
12 io_out[20] *97:17 4.60232e-06
13 io_out[20] *129:14 0
14 io_out[20] *133:31 4.19624e-06
15 io_out[20] *168:48 0.000144742
16 io_out[20] *170:13 0.000913806
17 io_out[20] *215:16 0
18 io_out[20] *227:13 7.90727e-05
19 io_out[20] *235:24 0
20 io_out[20] *241:17 4.26825e-05
21 io_out[20] *280:6 0
22 io_out[20] *280:21 3.89555e-05
23 io_out[20] *289:65 1.38737e-05
24 io_oeb[20] io_out[20] 0
25 *336:DIODE io_out[20] 6.02811e-05
26 *13:16 io_out[20] 0
27 *57:16 io_out[20] 5.99182e-05
*RES
1 *1429:LO io_out[20] 49.0143
*END
*D_NET *90 0.00834971
*CONN
*P io_out[21] O
*I *1430:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[21] 0.000998468
2 *1430:LO 0.00124639
3 *90:22 0.00224486
4 io_out[21] *168:14 0.000269422
5 *90:22 io_out[29] 0.000125724
6 *90:22 *1214:A_N 0.000350932
7 *90:22 *1222:B 7.5779e-05
8 *90:22 *1270:A 0.000286858
9 *90:22 *1280:B2 6.43424e-05
10 *90:22 *1317:D 0.000277262
11 *90:22 *168:33 0.000150065
12 *90:22 *215:16 9.20378e-05
13 *90:22 *280:6 0.000238312
14 *90:22 *313:10 0
15 io_oeb[20] *90:22 0.0011595
16 io_oeb[21] io_out[21] 0
17 io_oeb[27] *90:22 0.000769767
18 io_out[20] *90:22 0
19 *336:DIODE *90:22 0
20 *14:19 io_out[21] 0
*RES
1 *1430:LO *90:22 47.6393
2 *90:22 io_out[21] 23.9107
*END
*D_NET *91 0.0117864
*CONN
*P io_out[22] O
*I *1431:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[22] 0.000776855
2 *1431:LO 0.0037538
3 *91:17 0.00453065
4 io_out[22] *1286:B1 9.51334e-05
5 io_out[22] *1291:B1 0.000292865
6 io_out[22] *284:8 3.99243e-05
7 io_out[22] *284:10 5.65092e-05
8 io_out[22] *326:111 0
9 *91:17 io_out[25] 0.000110962
10 *91:17 *1286:B1 8.76591e-06
11 *91:17 *1289:A_N 0.000245046
12 *91:17 *169:23 0.000491699
13 *91:17 *241:17 0.000283218
14 *91:17 *280:21 0.000464502
15 io_oeb[21] *91:17 0.000223413
16 io_oeb[22] io_out[22] 0
17 io_oeb[25] *91:17 0.000384944
18 *15:26 io_out[22] 2.81598e-05
19 *19:18 *91:17 0
*RES
1 *1431:LO *91:17 36.0107
2 *91:17 io_out[22] 17.3839
*END
*D_NET *92 0.0128193
*CONN
*P io_out[23] O
*I *1432:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[23] 0.000149525
2 *1432:LO 0.000831485
3 *92:18 0.0019843
4 *92:17 0.00252096
5 *92:14 0.00151768
6 *92:14 io_out[32] 0
7 *92:14 *1162:C 5.38273e-05
8 *92:14 *1164:A 8.6229e-06
9 *92:14 *1175:A 0.00030173
10 *92:14 *1185:A 0.000297694
11 *92:14 *1185:B 0.000151984
12 *92:14 *1302:D 0.000162842
13 *92:14 *1306:D 0
14 *92:14 *214:15 0.00070484
15 *92:14 *309:10 0.000128154
16 *92:14 *323:8 3.21222e-05
17 *92:17 *1146:A1 4.58194e-05
18 *92:17 *1146:B2 2.89114e-05
19 *92:17 *1154:A2 2.14864e-05
20 *92:17 *183:11 4.58194e-05
21 *92:18 *1309:D 0
22 *92:18 *128:10 0
23 *92:18 *130:10 0.00289622
24 io_oeb[23] *92:18 0.000140413
25 io_oeb[30] *92:14 0.000268776
26 io_oeb[32] *92:14 0.000439673
27 *340:DIODE *92:14 5.46971e-05
28 *348:DIODE *92:14 1.10978e-05
29 *16:11 io_out[23] 0
30 *17:14 *92:14 2.06112e-05
*RES
1 *1432:LO *92:14 48.55
2 *92:14 *92:17 12.4286
3 *92:17 *92:18 51.5804
4 *92:18 io_out[23] 4.11607
*END
*D_NET *93 0.0122218
*CONN
*P io_out[24] O
*I *1433:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[24] 0.00361808
2 *1433:LO 0.00361808
3 io_out[24] io_out[25] 0
4 io_out[24] *1289:A_N 0.00235301
5 io_out[24] *169:23 0.000702671
6 io_oeb[23] io_out[24] 0.000102426
7 io_oeb[24] io_out[24] 0.000766554
8 *17:14 io_out[24] 0.00106097
*RES
1 *1433:LO io_out[24] 37.8052
*END
*D_NET *94 0.0150935
*CONN
*P io_out[25] O
*I *1434:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[25] 0.00597084
2 *1434:LO 0.00597084
3 io_out[25] *1286:B1 0.000198308
4 io_out[25] *1289:A_N 0.000216492
5 io_out[25] *1320:D 9.15186e-06
6 io_out[25] *238:20 0.000657985
7 io_out[25] *327:20 0
8 io_oeb[24] io_out[25] 0.00116357
9 io_oeb[25] io_out[25] 0.000524478
10 io_out[24] io_out[25] 0
11 *18:13 io_out[25] 0.000270858
12 *91:17 io_out[25] 0.000110962
*RES
1 *1434:LO io_out[25] 37.6767
*END
*D_NET *95 0.0123821
*CONN
*P io_out[26] O
*I *1435:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[26] 0.00112078
2 *1435:LO 0.00191298
3 *95:17 0.00303376
4 io_out[26] io_out[27] 0
5 io_out[26] *1151:B2 0.000215584
6 io_out[26] *1189:A2 0
7 io_out[26] *284:14 0
8 io_out[26] *285:22 0
9 io_out[26] *289:10 0.000406765
10 io_out[26] *296:6 7.83659e-05
11 *95:17 io_out[27] 0.000376086
12 *95:17 *1153:A1 5.33005e-05
13 *95:17 *1161:A 0
14 *95:17 *1170:A_N 0.0001238
15 *95:17 *1285:A 0.000226085
16 *95:17 *134:20 9.59532e-06
17 *95:17 *206:15 0.000245793
18 *95:17 *210:17 0.000444059
19 *95:17 *228:16 0.000804279
20 *95:17 *292:5 1.75415e-05
21 *95:17 *294:30 0.000434564
22 io_oeb[22] io_out[26] 0
23 io_oeb[25] *95:17 0.000600747
24 io_oeb[26] io_out[26] 0.00130064
25 *346:DIODE *95:17 0
26 *19:18 io_out[26] 0.000519713
27 *19:18 *95:17 0.000110498
28 *20:14 io_out[26] 0
29 *22:18 *95:17 0.000125644
30 *59:14 io_out[26] 0.000153908
31 *60:22 *95:17 6.76365e-05
*RES
1 *1435:LO *95:17 48.8634
2 *95:17 io_out[26] 26.4944
*END
*D_NET *96 0.0126554
*CONN
*P io_out[27] O
*I *1436:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[27] 0.00400367
2 *1436:LO 0.00400367
3 io_out[27] io_out[29] 0.000716786
4 io_out[27] *1149:B 0.000191688
5 io_out[27] *1168:A 0.000286899
6 io_out[27] *1168:B 1.85914e-05
7 io_out[27] *1187:A 1.02504e-05
8 io_out[27] *1320:D 5.19452e-05
9 io_out[27] *1333:A 0
10 io_out[27] *169:23 4.68311e-05
11 io_out[27] *194:82 0
12 io_out[27] *199:34 2.14879e-05
13 io_out[27] *210:17 0.000106105
14 io_out[27] *226:17 0.000404593
15 io_out[27] *238:20 1.57327e-05
16 io_out[27] *284:10 0.000109871
17 io_out[27] *284:14 0.000181911
18 io_out[27] *298:16 1.1991e-05
19 io_out[27] *327:20 0
20 io_oeb[25] io_out[27] 0.000417037
21 io_oeb[27] io_out[27] 0.000345587
22 io_out[26] io_out[27] 0
23 *15:26 io_out[27] 0.00046078
24 *19:18 io_out[27] 0
25 *20:14 io_out[27] 0.000390355
26 *21:22 io_out[27] 2.19335e-05
27 *22:18 io_out[27] 0.00020114
28 *53:17 io_out[27] 0.000260425
29 *95:17 io_out[27] 0.000376086
*RES
1 *1436:LO io_out[27] 45.0973
*END
*D_NET *97 0.0125173
*CONN
*P io_out[28] O
*I *1437:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[28] 0.000977126
2 *1437:LO 0.00225695
3 *97:17 0.00323407
4 io_out[28] *1193:A 1.48981e-05
5 io_out[28] *1194:B 0.0001417
6 io_out[28] *194:82 0.000238602
7 io_out[28] *222:28 8.94561e-05
8 io_out[28] *224:19 0.000243301
9 *97:17 *1211:A 7.82011e-05
10 *97:17 *1222:A_N 8.90724e-05
11 *97:17 *1254:A1 6.94985e-06
12 *97:17 *1254:A2 9.55695e-05
13 *97:17 *1254:A3 0.000177263
14 *97:17 *1254:S0 0.000307581
15 *97:17 *1254:S1 8.92707e-05
16 *97:17 *1317:D 9.84204e-06
17 *97:17 *1320:D 7.95959e-05
18 *97:17 *134:20 0.000950946
19 *97:17 *224:19 0.00139535
20 *97:17 *242:21 0.000425953
21 *97:17 *326:27 4.18444e-05
22 io_oeb[27] *97:17 0.000110337
23 io_oeb[28] io_out[28] 0.00033291
24 io_out[20] *97:17 4.60232e-06
25 *5:33 *97:17 7.80167e-05
26 *15:26 io_out[28] 0.000517687
27 *21:22 io_out[28] 0.000530173
*RES
1 *1437:LO *97:17 49.3221
2 *97:17 io_out[28] 11.9969
*END
*D_NET *98 0.00729464
*CONN
*P io_out[29] O
*I *1363:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[29] 0.00142375
2 *1363:LO 0.00142375
3 io_out[29] *1155:A2 6.05161e-06
4 io_out[29] *1169:A 4.15526e-05
5 io_out[29] *1173:B 5.10014e-05
6 io_out[29] *1178:B 5.20669e-05
7 io_out[29] *1178:C 0.000415121
8 io_out[29] *210:17 0.00189626
9 io_out[29] *289:65 0.000232358
10 io_out[29] *316:15 0.000108617
11 io_oeb[20] io_out[29] 5.49489e-05
12 io_oeb[27] io_out[29] 0.000125724
13 io_oeb[29] io_out[29] 7.22808e-05
14 io_out[27] io_out[29] 0.000716786
15 *336:DIODE io_out[29] 7.79185e-05
16 *22:18 io_out[29] 0.000470727
17 *90:22 io_out[29] 0.000125724
*RES
1 *1363:LO io_out[29] 41.9341
*END
*D_NET *99 0.00080418
*CONN
*P io_out[2] O
*I *1411:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[2] 0.000376493
2 *1411:LO 0.000376493
3 io_out[2] io_out[3] 0
4 io_oeb[1] io_out[2] 0
5 io_oeb[2] io_out[2] 5.11945e-05
*RES
1 *1411:LO io_out[2] 18.3391
*END
*D_NET *100 0.00504296
*CONN
*P io_out[30] O
*I *1364:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[30] 0.00136625
2 *1364:LO 0.00136625
3 io_out[30] io_out[31] 2.11419e-05
4 io_out[30] *1151:A1 1.61421e-05
5 io_out[30] *1153:B2 0.000190193
6 io_out[30] *1154:A2 9.03057e-05
7 io_out[30] *1158:A_N 2.68288e-05
8 io_out[30] *1159:A 0.000262343
9 io_out[30] *1177:B 6.07328e-05
10 io_out[30] *193:21 0.000163979
11 io_out[30] *199:22 3.51908e-05
12 io_out[30] *205:12 7.73993e-05
13 io_out[30] *292:32 0.000265776
14 io_out[30] *293:29 0.000193635
15 io_out[30] *295:44 2.74787e-05
16 io_oeb[30] io_out[30] 0.000374631
17 *24:15 io_out[30] 0.000461865
18 *26:11 io_out[30] 4.28249e-05
*RES
1 *1364:LO io_out[30] 31.0693
*END
*D_NET *101 0.00744548
*CONN
*P io_out[31] O
*I *1365:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[31] 0.00151753
2 *1365:LO 0.00151753
3 io_out[31] *1167:A 0.00080105
4 io_out[31] *1304:D 0.000862425
5 io_out[31] *132:19 0.000447125
6 io_out[31] *192:17 4.44193e-05
7 io_out[31] *291:11 0.000331966
8 io_out[31] *292:32 0.000221353
9 io_out[31] *295:44 5.49489e-05
10 io_oeb[31] io_out[31] 0.00019653
11 io_out[30] io_out[31] 2.11419e-05
12 *20:14 io_out[31] 1.14156e-05
13 *25:16 io_out[31] 0.00141805
*RES
1 *1365:LO io_out[31] 35.4663
*END
*D_NET *102 0.00394408
*CONN
*P io_out[32] O
*I *1366:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[32] 0.00111132
2 *1366:LO 0.00111132
3 io_out[32] io_out[33] 6.73371e-05
4 io_out[32] *1177:A 0.00037796
5 io_out[32] *1302:D 0
6 io_out[32] *1306:D 0.000202379
7 io_out[32] *190:8 9.90431e-05
8 io_oeb[30] io_out[32] 6.72809e-05
9 io_oeb[31] io_out[32] 0
10 io_oeb[32] io_out[32] 0.000373964
11 io_oeb[33] io_out[32] 4.46743e-05
12 *26:11 io_out[32] 0.000373249
13 *28:13 io_out[32] 0.000115552
14 *92:14 io_out[32] 0
*RES
1 *1366:LO io_out[32] 30.6694
*END
*D_NET *103 0.00324041
*CONN
*P io_out[33] O
*I *1367:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[33] 0.000840364
2 *1367:LO 0.000840364
3 io_out[33] *1145:A 0.000274288
4 io_out[33] *1302:D 0
5 io_out[33] *182:8 0
6 io_oeb[33] io_out[33] 0.000835839
7 io_out[32] io_out[33] 6.73371e-05
8 *27:8 io_out[33] 0.000382217
*RES
1 *1367:LO io_out[33] 29.0789
*END
*D_NET *104 0.00273382
*CONN
*P io_out[34] O
*I *1368:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[34] 0.00104361
2 *1368:LO 0.00104361
3 io_out[34] io_out[35] 0
4 io_oeb[34] io_out[34] 0.00019269
5 *28:13 io_out[34] 0.000453904
*RES
1 *1368:LO io_out[34] 20.1407
*END
*D_NET *105 0.000654774
*CONN
*P io_out[35] O
*I *1362:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 io_out[35] 0.000220733
2 *1362:X 0.000220733
3 io_oeb[34] io_out[35] 2.06178e-05
4 io_oeb[35] io_out[35] 0.00019269
5 io_out[34] io_out[35] 0
*RES
1 *1362:X io_out[35] 18.3391
*END
*D_NET *106 0.000649029
*CONN
*P io_out[36] O
*I *1369:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[36] 0.000234591
2 *1369:LO 0.000234591
3 io_oeb[35] io_out[36] 0
4 io_oeb[36] io_out[36] 0.000179848
*RES
1 *1369:LO io_out[36] 18.8741
*END
*D_NET *107 0.00110492
*CONN
*P io_out[37] O
*I *1370:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[37] 0.000391001
2 *1370:LO 0.000391001
3 io_oeb[36] io_out[37] 0
4 io_oeb[37] io_out[37] 0.000322919
*RES
1 *1370:LO io_out[37] 20.5929
*END
*D_NET *108 0.000795764
*CONN
*P io_out[3] O
*I *1412:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[3] 0.000342342
2 *1412:LO 0.000342342
3 io_oeb[2] io_out[3] 0
4 io_oeb[3] io_out[3] 0.000111081
5 io_out[2] io_out[3] 0
*RES
1 *1412:LO io_out[3] 18.3391
*END
*D_NET *109 0.000788875
*CONN
*P io_out[4] O
*I *1413:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[4] 0.000291914
2 *1413:LO 0.000291914
3 io_oeb[3] io_out[4] 7.002e-05
4 io_oeb[4] io_out[4] 0.000135027
*RES
1 *1413:LO io_out[4] 18.3391
*END
*D_NET *110 0.000878516
*CONN
*P io_out[5] O
*I *1414:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[5] 0.000349994
2 *1414:LO 0.000349994
3 io_oeb[4] io_out[5] 6.74471e-05
4 io_oeb[5] io_out[5] 0.000111081
*RES
1 *1414:LO io_out[5] 18.5396
*END
*D_NET *111 0.000761266
*CONN
*P io_out[6] O
*I *1415:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[6] 0.000356743
2 *1415:LO 0.000356743
3 io_oeb[5] io_out[6] 0
4 io_oeb[6] io_out[6] 4.77796e-05
*RES
1 *1415:LO io_out[6] 22.7262
*END
*D_NET *112 0.00107534
*CONN
*P io_out[7] O
*I *1416:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[7] 0.000451868
2 *1416:LO 0.000451868
3 io_oeb[6] io_out[7] 0
4 io_oeb[7] io_out[7] 0.000171602
5 *353:DIODE io_out[7] 0
6 *357:DIODE io_out[7] 0
7 *6:10 io_out[7] 0
8 *7:13 io_out[7] 0
*RES
1 *1416:LO io_out[7] 20.1605
*END
*D_NET *113 0.00142476
*CONN
*P io_out[8] O
*I *1417:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[8] 0.000381372
2 *1417:LO 0.000381372
3 io_out[8] *147:13 0.000209361
4 io_out[8] *252:14 0.000184845
5 io_oeb[8] io_out[8] 0.000159983
6 *37:11 io_out[8] 0.000101637
7 *80:14 io_out[8] 6.18969e-06
*RES
1 *1417:LO io_out[8] 20.7676
*END
*D_NET *114 0.00339962
*CONN
*P io_out[9] O
*I *1418:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[9] 0.00128754
2 *1418:LO 0.00128754
3 io_out[9] *1237:A2 2.21972e-05
4 io_out[9] *1248:A 5.0027e-06
5 io_out[9] *142:14 2.06112e-05
6 io_oeb[8] io_out[9] 0
7 io_oeb[9] io_out[9] 0.000651857
8 *2:10 io_out[9] 5.59381e-05
9 *38:19 io_out[9] 6.62676e-05
10 *43:19 io_out[9] 2.66789e-06
*RES
1 *1418:LO io_out[9] 20.2316
*END
*D_NET *117 0.00031105
*CONN
*I *1298:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1269:Y O *D sky130_fd_sc_hd__xnor2_1
*CAP
1 *1298:D 4.39631e-05
2 *1269:Y 4.39631e-05
3 *1298:D *1228:C 1.64343e-05
4 *1298:D *242:21 0.000100823
5 *1298:D *328:48 3.32132e-06
6 *5:33 *1298:D 0.000102545
*RES
1 *1269:Y *1298:D 29.1045
*END
*D_NET *118 0.000979453
*CONN
*I *1299:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1283:Y O *D sky130_fd_sc_hd__xnor2_1
*CAP
1 *1299:D 0.000122148
2 *1283:Y 0.000122148
3 *1299:D *241:17 0.000290271
4 *1299:D *280:26 8.23182e-05
5 *1299:D *326:22 9.06453e-05
6 *13:16 *1299:D 0.000271922
*RES
1 *1283:Y *1299:D 32.1759
*END
*D_NET *119 0.00107769
*CONN
*I *1300:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1297:Y O *D sky130_fd_sc_hd__xnor2_1
*CAP
1 *1300:D 0.000215961
2 *1297:Y 0.000215961
3 *1300:D *1297:A 0.000245061
4 *1300:D *1300:CLK 2.59355e-05
5 *1300:D *157:26 8.03949e-05
6 *1300:D *227:13 0.000294372
*RES
1 *1297:Y *1300:D 24.2652
*END
*D_NET *120 0.0012119
*CONN
*I *1301:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1157:Y O *D sky130_fd_sc_hd__xnor2_1
*CAP
1 *1301:D 0.000164745
2 *1157:Y 0.000164745
3 *1301:D *1157:A 0.000181294
4 *1301:D *1301:CLK 0.000175892
5 *1301:D *1310:D 0.000162122
6 *1301:D *156:13 7.14469e-05
7 *1301:D *206:15 0.000218685
8 *14:19 *1301:D 7.29712e-05
*RES
1 *1157:Y *1301:D 32.4437
*END
*D_NET *121 0.00323749
*CONN
*I *1302:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1159:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1302:D 0.000566439
2 *1159:X 0.000566439
3 *1302:D *1164:A 6.89751e-05
4 *1302:D *1303:D 0.000833
5 *1302:D *1306:CLK 5.11316e-05
6 *1302:D *1313:D 0.000176699
7 *1302:D *182:8 0.000152578
8 *1302:D *201:16 0.00019712
9 *1302:D *296:34 0.000147347
10 *1302:D *324:55 0.000179889
11 io_out[32] *1302:D 0
12 io_out[33] *1302:D 0
13 *16:18 *1302:D 0.000135028
14 *92:14 *1302:D 0.000162842
*RES
1 *1159:X *1302:D 44.408
*END
*D_NET *122 0.00438007
*CONN
*I *1303:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1163:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1303:D 0.000762703
2 *1163:X 0.000762703
3 *1303:D *1156:A2 0.000861086
4 *1303:D *1162:C 0.000138881
5 *1303:D *1312:D 0.00033063
6 *1303:D *1313:D 8.9091e-05
7 *1303:D *182:8 0.000195459
8 *1303:D *193:21 9.11637e-05
9 *1302:D *1303:D 0.000833
10 *16:11 *1303:D 8.72535e-05
11 *18:13 *1303:D 0.000228104
*RES
1 *1163:X *1303:D 49.3009
*END
*D_NET *123 0.00513861
*CONN
*I *1304:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1167:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1304:D 0.00163516
2 *1167:X 0.00163516
3 *1304:D *1304:CLK 0.000264572
4 *1304:D *192:17 0.000180355
5 *1304:D *293:10 5.48987e-05
6 *1304:D *293:29 0.000431585
7 *1304:D *295:25 5.90455e-05
8 *1304:D *295:44 1.54142e-05
9 io_out[31] *1304:D 0.000862425
*RES
1 *1167:X *1304:D 40.8723
*END
*D_NET *124 0.00393926
*CONN
*I *1305:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1171:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1305:D 0.000635099
2 *1171:X 0.000635099
3 *1305:D *1146:B2 0.000355856
4 *1305:D *1156:A2 0.000171401
5 *1305:D *126:13 0.00152517
6 *1305:D *182:8 0.000208541
7 *1305:D *204:8 3.32132e-06
8 *16:11 *1305:D 0.000404769
*RES
1 *1171:X *1305:D 48.158
*END
*D_NET *125 0.00168682
*CONN
*I *1306:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1175:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1306:D 0.00033752
2 *1175:X 0.00033752
3 *1306:D *1162:C 9.60875e-05
4 *1306:D *1164:A 0
5 *1306:D *1164:C 0.000236259
6 *1306:D *1306:CLK 8.03949e-05
7 *1306:D *201:16 1.62476e-05
8 *1306:D *293:10 0.0001419
9 io_oeb[30] *1306:D 0.000238509
10 io_out[32] *1306:D 0.000202379
11 *92:14 *1306:D 0
*RES
1 *1175:X *1306:D 35.5509
*END
*D_NET *126 0.00462011
*CONN
*I *1307:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1179:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1307:D 0
2 *1179:X 0.000885385
3 *126:13 0.000885385
4 *126:13 *1156:B1 0.0003436
5 *126:13 *1162:A 0.000379227
6 *126:13 *182:8 1.8338e-05
7 *126:13 *183:11 0.000172091
8 *126:13 *196:12 5.31644e-05
9 *126:13 *324:60 8.22975e-05
10 *126:13 *324:69 5.80125e-05
11 *126:13 *324:78 4.09856e-05
12 *1305:D *126:13 0.00152517
13 *16:11 *126:13 0.000176449
*RES
1 *1179:X *126:13 44.7509
2 *126:13 *1307:D 9.3
*END
*D_NET *127 0.00321756
*CONN
*I *1308:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1181:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1308:D 0.000729921
2 *1181:X 0.000729921
3 *1308:D *1147:A 0
4 *1308:D *1155:A1 6.35819e-05
5 *1308:D *1169:A 1.81709e-05
6 *1308:D *1169:B 1.31746e-05
7 *1308:D *1170:B 6.52967e-05
8 *1308:D *1172:B 8.98342e-05
9 *1308:D *1173:A 9.09523e-05
10 *1308:D *1176:B 5.35457e-05
11 *1308:D *192:17 5.12372e-05
12 *1308:D *197:13 0.000615541
13 *1308:D *199:22 5.41797e-05
14 *1308:D *295:44 0.000194115
15 *1308:D *315:10 6.69556e-05
16 *1308:D *324:28 0.000225639
17 *348:DIODE *1308:D 0
18 *22:18 *1308:D 0.000155496
19 *25:16 *1308:D 0
*RES
1 *1181:X *1308:D 48.1143
*END
*D_NET *128 0.00933461
*CONN
*I *1309:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1183:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1309:D 0.000391342
2 *1183:X 0.000387156
3 *128:10 0.00182849
4 *128:7 0.0018243
5 *1309:D *1309:CLK 5.52302e-05
6 *128:7 *130:7 5.39679e-06
7 *128:7 *190:8 0.000276211
8 *128:7 *212:15 0.000114665
9 *128:10 *1175:A 0.00180051
10 *128:10 *1179:A 0.000179332
11 *128:10 *1309:CLK 0
12 *128:10 *130:10 0
13 *128:10 *204:8 0.00163484
14 *128:10 *210:17 2.09897e-05
15 *128:10 *212:15 0.000510233
16 *128:10 *218:17 0.000305908
17 *128:10 *324:87 0
18 *128:10 *324:96 0
19 *16:11 *1309:D 0
20 *92:18 *1309:D 0
21 *92:18 *128:10 0
*RES
1 *1183:X *128:7 19.2643
2 *128:7 *128:10 48.3304
3 *128:10 *1309:D 20.7911
*END
*D_NET *129 0.0109547
*CONN
*I *1310:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1187:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1310:D 0.00172673
2 *1187:X 0.000882548
3 *129:14 0.00260928
4 *1310:D *1157:A 0.000361924
5 *1310:D *1178:A 1.03037e-05
6 *1310:D *1189:B1 0.000198813
7 *1310:D *1197:B 0.000166718
8 *1310:D *1202:C 5.46313e-05
9 *1310:D *1314:D 0.00027939
10 *1310:D *181:29 0.000711188
11 *1310:D *181:42 0.000423607
12 *1310:D *194:82 5.85101e-05
13 *1310:D *224:19 0.000421498
14 *129:14 *1157:A 2.12087e-05
15 *129:14 *1187:A 0.000862174
16 *129:14 *1320:D 0
17 *129:14 *133:31 0.000800528
18 *129:14 *215:16 0.000948352
19 *129:14 *298:16 0.000224341
20 io_out[20] *129:14 0
21 *1301:D *1310:D 0.000162122
22 *1356:A *129:14 6.05161e-06
23 *5:33 *129:14 2.47724e-05
*RES
1 *1187:X *129:14 48.9964
2 *129:14 *1310:D 48.9295
*END
*D_NET *130 0.0118484
*CONN
*I *1311:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1191:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1311:D 0.000691328
2 *1191:X 0.000395716
3 *130:10 0.00154407
4 *130:7 0.00124846
5 *1311:D *1286:A1 0.000121167
6 *1311:D *1296:A2 0.000136676
7 *1311:D *1296:B1 0.000270296
8 *1311:D *1296:B2 9.423e-05
9 *1311:D *1311:CLK 0.00111418
10 *1311:D *181:8 1.07719e-05
11 *130:7 *1179:A 0.000190848
12 *130:7 *182:8 2.65105e-05
13 *130:7 *218:17 0.000137647
14 *130:10 *1179:A 1.41029e-05
15 *130:10 *218:17 0.00295075
16 *92:18 *130:10 0.00289622
17 *128:7 *130:7 5.39679e-06
18 *128:10 *130:10 0
*RES
1 *1191:X *130:7 21.7286
2 *130:7 *130:10 46.8214
3 *130:10 *1311:D 26.2107
*END
*D_NET *131 0.0109888
*CONN
*I *1312:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1195:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1312:D 0.000949022
2 *1195:X 0.00217764
3 *131:13 0.00312666
4 *1312:D *1313:D 0.00143745
5 *131:13 *1156:A1 0.000347181
6 *131:13 *1173:A 0.000239204
7 *131:13 *1195:A 5.33005e-05
8 *131:13 *324:11 5.74499e-06
9 *131:13 *324:28 0.000933258
10 io_oeb[22] *1312:D 0
11 io_oeb[27] *131:13 0.000187817
12 *347:DIODE *131:13 0.000283876
13 *1303:D *1312:D 0.00033063
14 *1347:A *131:13 0.000178425
15 *18:13 *1312:D 0.000738577
*RES
1 *1195:X *131:13 46.5321
2 *131:13 *1312:D 39.4116
*END
*D_NET *132 0.0105704
*CONN
*I *1313:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1199:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1313:D 0.00110056
2 *1199:X 0.00165811
3 *132:19 0.00275867
4 *1313:D *1174:C 0
5 *1313:D *1306:CLK 6.36172e-05
6 *1313:D *193:21 0.000144481
7 *1313:D *224:19 3.87616e-05
8 *1313:D *315:10 0.000208414
9 *1313:D *324:28 0.000138676
10 *1313:D *324:30 0.000135858
11 *132:19 *1167:A 0.000311124
12 *132:19 *1176:A 2.84109e-05
13 *132:19 *194:18 4.66139e-05
14 *132:19 *292:32 0.000219711
15 *132:19 *292:77 0.000768181
16 *132:19 *293:10 1.94879e-05
17 *132:19 *295:25 0.000387796
18 *132:19 *295:44 0.000119018
19 io_oeb[22] *1313:D 0.000123119
20 io_oeb[26] *1313:D 0
21 io_oeb[30] *132:19 8.44287e-05
22 io_oeb[31] *132:19 6.49932e-05
23 io_out[31] *132:19 0.000447125
24 *1302:D *1313:D 0.000176699
25 *1303:D *1313:D 8.9091e-05
26 *1312:D *1313:D 0.00143745
*RES
1 *1199:X *132:19 45.5726
2 *132:19 *1313:D 40.8848
*END
*D_NET *133 0.0108452
*CONN
*I *1314:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1203:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1314:D 0.000925044
2 *1203:X 0.00145244
3 *133:31 0.00237748
4 *1314:D *1174:A_N 9.87983e-06
5 *1314:D *1190:B 4.88637e-05
6 *1314:D *1193:B 9.66977e-05
7 *1314:D *1194:A_N 4.22349e-05
8 *1314:D *1194:B 4.34538e-05
9 *1314:D *1197:A 0.000407917
10 *1314:D *1197:B 1.41295e-05
11 *1314:D *1202:C 2.45348e-05
12 *1314:D *216:9 0.000216755
13 *1314:D *218:11 0.000694108
14 *1314:D *222:28 0.000175892
15 *1314:D *285:22 0.00021706
16 *1314:D *286:16 0.000185939
17 *1314:D *289:5 1.58163e-05
18 *1314:D *289:33 5.99526e-05
19 *133:31 *1157:A 5.49544e-05
20 *133:31 *1187:A 5.33005e-05
21 *133:31 *1216:B 9.60875e-05
22 *133:31 *1254:A3 0.000402861
23 *133:31 *1255:A 9.25014e-06
24 *133:31 *139:13 1.01487e-05
25 *133:31 *172:14 0
26 *133:31 *199:34 6.90381e-06
27 *133:31 *215:16 5.41794e-05
28 *133:31 *226:17 0.000331569
29 *133:31 *327:20 0.000256218
30 io_out[20] *133:31 4.19624e-06
31 *1310:D *1314:D 0.00027939
32 *15:26 *133:31 0.00125717
33 *15:27 *133:31 9.6405e-05
34 *57:16 *133:31 0.000123875
35 *129:14 *133:31 0.000800528
*RES
1 *1203:X *133:31 49.5873
2 *133:31 *1314:D 36.6527
*END
*D_NET *134 0.0105405
*CONN
*I *1315:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1205:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1315:D 0.000483589
2 *1205:X 0.00181176
3 *134:20 0.00229534
4 *1315:D *1194:C 0.000178847
5 *1315:D *1286:A1 5.52302e-05
6 *1315:D *1286:B2 3.18676e-05
7 *1315:D *1291:C1 0.000301438
8 *1315:D *1294:A1 3.269e-05
9 *1315:D *1296:B1 1.34232e-05
10 *1315:D *284:10 5.41027e-05
11 *1315:D *287:5 0.000262082
12 *1315:D *287:20 0.000140884
13 *1315:D *326:119 0.000110629
14 *134:20 *1161:A 3.28681e-06
15 *134:20 *1193:A 3.25339e-05
16 *134:20 *1194:B 0.0007876
17 *134:20 *1294:B1 0.000442575
18 *134:20 *1295:B1 1.27529e-05
19 *134:20 *177:20 2.06178e-05
20 *134:20 *222:28 0.000333797
21 io_oeb[21] *134:20 4.1879e-05
22 io_oeb[22] *1315:D 0
23 io_oeb[23] *134:20 5.16948e-05
24 io_oeb[27] *134:20 0.000698582
25 *346:DIODE *134:20 8.49861e-06
26 *17:14 *134:20 0.000585895
27 *19:18 *134:20 0.000788364
28 *95:17 *134:20 9.59532e-06
29 *97:17 *134:20 0.000950946
*RES
1 *1205:X *134:20 48.9333
2 *134:20 *1315:D 29.6437
*END
*D_NET *135 0.00344094
*CONN
*I *1316:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1207:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1316:D 0.000605987
2 *1207:X 0.000605987
3 *1316:D *1195:A 0.000237062
4 *1316:D *1216:A 0.000378257
5 *1316:D *1280:B1 1.64343e-05
6 *1316:D *1281:B1 4.87669e-06
7 *1316:D *1282:A1 1.9429e-05
8 *1316:D *1282:A2 0.000148903
9 *1316:D *222:57 2.36643e-05
10 *1316:D *226:17 5.33005e-05
11 *1316:D *229:11 0.000995046
12 *1316:D *281:27 0.000195139
13 *1316:D *282:11 3.43988e-06
14 *1316:D *282:27 7.55308e-06
15 *1316:D *326:22 0.00012401
16 *1316:D *326:76 2.18517e-05
*RES
1 *1207:X *1316:D 45.2295
*END
*D_NET *136 0.00349807
*CONN
*I *1317:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1211:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1317:D 0.000780871
2 *1211:X 0.000780871
3 *1317:D *1210:C 0.000168333
4 *1317:D *1211:A 0.000443268
5 *1317:D *1214:A_N 8.15171e-05
6 *1317:D *1222:A_N 5.20751e-05
7 *1317:D *1222:B 2.56182e-05
8 *1317:D *1280:B2 1.26641e-05
9 *1317:D *168:33 0.000187124
10 *1317:D *215:16 5.49489e-05
11 *1317:D *235:24 0.000508902
12 *1317:D *280:6 3.09981e-05
13 *1317:D *326:94 8.37788e-05
14 io_out[20] *1317:D 0
15 *90:22 *1317:D 0.000277262
16 *97:17 *1317:D 9.84204e-06
*RES
1 *1211:X *1317:D 45.2384
*END
*D_NET *137 0.00321011
*CONN
*I *1318:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1215:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1318:D 0.000894776
2 *1215:X 0.000894776
3 *1318:D *1254:A1 0.00013572
4 *1318:D *1254:A3 5.49489e-05
5 *1318:D *1282:B1 0
6 *1318:D *1282:B2 0.000645761
7 *1318:D *1283:A 0
8 *1318:D *138:22 1.88933e-05
9 *1318:D *172:14 0.000429065
10 *1318:D *239:14 0.000119738
11 *1318:D *279:10 0
12 *1318:D *281:27 1.64343e-05
*RES
1 *1215:X *1318:D 46.3545
*END
*D_NET *138 0.006716
*CONN
*I *1319:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1219:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1319:D 0.000264748
2 *1219:X 0.000978142
3 *138:22 0.00124289
4 *1319:D *1282:A1 5.33433e-05
5 *1319:D *1282:B1 9.94037e-05
6 *1319:D *1282:B2 5.49489e-05
7 *1319:D *159:8 0.000344311
8 *1319:D *304:15 0.000887238
9 *138:22 *1195:A 0
10 *138:22 *1254:A1 7.99569e-05
11 *138:22 *1254:S1 4.57524e-05
12 *138:22 *1255:A 9.28431e-05
13 *138:22 *1281:A2 0.000120585
14 *138:22 *1282:B2 0.000829936
15 *138:22 *1333:A 0.000209119
16 *138:22 *172:14 0.000238762
17 *138:22 *226:17 5.65955e-05
18 *138:22 *230:21 2.04825e-05
19 *138:22 *239:14 0.000180959
20 *138:22 *242:21 0.000339118
21 *138:22 *327:20 0.000155155
22 *138:22 *327:31 7.72232e-05
23 *138:22 *327:35 0.000168601
24 *1318:D *138:22 1.88933e-05
25 *49:19 *138:22 0.000156992
*RES
1 *1219:X *138:22 48.55
2 *138:22 *1319:D 24.1393
*END
*D_NET *139 0.00621044
*CONN
*I *1320:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1223:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1320:D 0.000927968
2 *1223:X 0.000446695
3 *139:13 0.00137466
4 *1320:D *1187:A 0.000100969
5 *1320:D *1227:A 0.000100864
6 *1320:D *1228:C 7.6644e-05
7 *1320:D *1254:A0 0.000108788
8 *1320:D *1279:A1 0.00072573
9 *1320:D *1332:A 6.47173e-05
10 *1320:D *141:11 0
11 *1320:D *168:84 0.000165995
12 *1320:D *277:53 0.000101117
13 *1320:D *281:27 0.000101117
14 *1320:D *289:65 0
15 *1320:D *299:8 2.16719e-05
16 *139:13 *1201:B 7.89704e-05
17 *139:13 *1284:A 0.00039745
18 *139:13 *1333:A 5.86274e-05
19 *139:13 *289:65 0.000698109
20 io_out[25] *1320:D 9.15186e-06
21 io_out[27] *1320:D 5.19452e-05
22 *5:33 *1320:D 0.000196619
23 *15:27 *139:13 9.9974e-05
24 *57:16 *139:13 0.000212907
25 *97:17 *1320:D 7.95959e-05
26 *129:14 *1320:D 0
27 *133:31 *139:13 1.01487e-05
*RES
1 *1223:X *139:13 34.6571
2 *139:13 *1320:D 36.7687
*END
*D_NET *140 0.00300959
*CONN
*I *1321:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1227:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1321:D 0.000529594
2 *1227:X 0.000529594
3 *1321:D *1228:A 0.000202986
4 *1321:D *1254:A3 0.000223185
5 *1321:D *1277:A2 9.45051e-05
6 *1321:D *1277:B1 9.71733e-05
7 *1321:D *1281:A2 5.00841e-06
8 *1321:D *1321:CLK 9.60939e-05
9 *1321:D *141:11 0.000281416
10 *1321:D *235:24 0.000707315
11 *1321:D *278:46 0.000135577
12 *1321:D *327:20 0.000107147
*RES
1 *1227:X *1321:D 42.0509
*END
*D_NET *141 0.00518455
*CONN
*I *1322:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1229:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1322:D 0
2 *1229:X 0.0011194
3 *141:11 0.0011194
4 *141:11 *1187:A 6.19181e-05
5 *141:11 *1227:A 0.000687511
6 *141:11 *1254:A3 0.000214605
7 *141:11 *1277:A2 8.29509e-05
8 *141:11 *1277:B1 9.71733e-05
9 *141:11 *1282:C1 0.000433952
10 *141:11 *1322:CLK 0.000312396
11 *141:11 *1332:A 2.86989e-05
12 *141:11 *159:8 9.87656e-05
13 *141:11 *168:84 0.000185384
14 *141:11 *226:17 9.71197e-05
15 *141:11 *234:11 0.000195547
16 *141:11 *243:15 0
17 *141:11 *245:15 4.72917e-05
18 *141:11 *277:53 7.6644e-05
19 *141:11 *304:15 4.43718e-05
20 *1320:D *141:11 0
21 *1321:D *141:11 0.000281416
*RES
1 *1229:X *141:11 45.5857
2 *141:11 *1322:D 9.3
*END
*D_NET *142 0.00548182
*CONN
*I *1323:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1231:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1323:D 9.94272e-05
2 *1231:X 0.000827423
3 *142:14 0.00092685
4 *1323:D *270:10 0.000181803
5 *1323:D *272:46 0.000277299
6 *142:14 *1231:A 1.64583e-05
7 *142:14 *1237:A2 0.000854105
8 *142:14 *1238:B 0.000711368
9 *142:14 *1250:C 0.000198301
10 *142:14 *143:11 1.00375e-05
11 *142:14 *247:22 1.30764e-05
12 *142:14 *255:19 0.000219711
13 io_oeb[9] *142:14 0
14 io_out[9] *142:14 2.06112e-05
15 *3:13 *142:14 0.000561792
16 *8:13 *142:14 9.86681e-05
17 *45:15 *142:14 0.000464893
*RES
1 *1231:X *142:14 46.1214
2 *142:14 *1323:D 16.5277
*END
*D_NET *143 0.00500424
*CONN
*I *1324:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1235:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1324:D 0
2 *1235:X 0.000981198
3 *143:11 0.000981198
4 *143:11 *1231:A 0
5 *143:11 *1237:A2 8.52279e-05
6 *143:11 *1252:C 9.63528e-05
7 *143:11 *1256:A 1.40306e-05
8 *143:11 *1263:A2 0.000134329
9 *143:11 *1265:B1 7.50106e-05
10 *143:11 *1267:A2 2.50722e-05
11 *143:11 *1268:A2 0.000100688
12 *143:11 *1324:CLK 0.000221948
13 *143:11 *250:24 1.74909e-05
14 *143:11 *255:19 2.06178e-05
15 *143:11 *256:33 0.000173717
16 *143:11 *273:13 6.49521e-05
17 *143:11 *328:27 0.000182475
18 io_oeb[13] *143:11 2.30116e-06
19 io_oeb[14] *143:11 0.000498154
20 io_oeb[9] *143:11 0
21 io_out[10] *143:11 0.00039023
22 io_out[11] *143:11 0.000539556
23 *43:19 *143:11 0.000389655
24 *44:19 *143:11 0
25 *142:14 *143:11 1.00375e-05
*RES
1 *1235:X *143:11 43.6616
2 *143:11 *1324:D 9.3
*END
*D_NET *144 0.00434492
*CONN
*I *1325:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1239:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1325:D 0.000873773
2 *1239:X 0.000873773
3 *1325:D *1234:A 0.000100604
4 *1325:D *1242:A_N 0.00038955
5 *1325:D *1256:A 4.78056e-05
6 *1325:D *1261:A_N 0.000654936
7 *1325:D *1267:A1 1.03403e-05
8 *1325:D *1268:B2 0.000639143
9 *1325:D *1334:A 0.000289564
10 *1325:D *155:13 1.64343e-05
11 *1325:D *155:66 8.99967e-05
12 *1325:D *252:14 1.64343e-05
13 *1325:D *275:16 0.000142058
14 *354:DIODE *1325:D 0.000148189
15 *8:13 *1325:D 0
16 *80:14 *1325:D 5.23142e-05
*RES
1 *1239:X *1325:D 49.8902
*END
*D_NET *145 0.00490174
*CONN
*I *1326:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1243:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1326:D 1.31653e-05
2 *1243:X 0.000938443
3 *145:14 0.000951608
4 *145:14 *1242:B 9.60939e-05
5 *145:14 *148:18 8.10148e-05
6 *145:14 *264:15 0.000127109
7 *145:14 *274:34 0.000345029
8 io_oeb[12] *145:14 2.04825e-05
9 *360:DIODE *145:14 0.000307993
10 *9:13 *145:14 0.001288
11 *45:15 *145:14 0.000136958
12 *46:16 *145:14 0
13 *84:13 *145:14 0.000595844
*RES
1 *1243:X *145:14 46.6036
2 *145:14 *1326:D 13.9652
*END
*D_NET *146 0.00455835
*CONN
*I *1327:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1247:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1327:D 0
2 *1247:X 0.00105129
3 *146:17 0.00105129
4 *146:17 *1241:A 7.48356e-05
5 *146:17 *1242:B 5.33978e-05
6 *146:17 *1254:S0 3.32631e-05
7 *146:17 *1266:A1 9.66977e-05
8 *146:17 *1266:B1 0.000391836
9 *146:17 *1274:B_N 4.34543e-05
10 *146:17 *151:8 0.000461257
11 *146:17 *164:17 0
12 *146:17 *273:50 0.000374034
13 *146:17 *274:5 0.000277336
14 *146:17 *274:19 9.30366e-05
15 *146:17 *305:14 0.000122032
16 *146:17 *322:8 8.15095e-05
17 *146:17 *328:38 0.000192158
18 *146:17 *333:11 3.06878e-06
19 io_oeb[11] *146:17 6.53363e-05
20 io_out[17] *146:17 9.25094e-05
*RES
1 *1247:X *146:17 44.6071
2 *146:17 *1327:D 9.3
*END
*D_NET *147 0.00761675
*CONN
*I *1328:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1251:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1328:D 0.000702076
2 *1251:X 0.000850055
3 *147:13 0.00155213
4 *1328:D *1238:B 0.000723547
5 *1328:D *1263:B2 3.38196e-06
6 *1328:D *155:13 0.000161257
7 *1328:D *247:22 0.000244653
8 *1328:D *272:8 0.000210221
9 *1328:D *272:16 0.000230197
10 *1328:D *275:8 0.000137733
11 *147:13 *1233:A 0.000449491
12 *147:13 *1237:B1 0.000206858
13 *147:13 *1248:B 0.000313601
14 *147:13 *252:14 0.000139485
15 *147:13 *270:54 4.50033e-05
16 *147:13 *270:56 0.000172105
17 io_oeb[15] *1328:D 0.000461869
18 io_out[10] *147:13 0.00015608
19 io_out[12] *1328:D 0
20 io_out[8] *147:13 0.000209361
21 *353:DIODE *147:13 0
22 *2:10 *147:13 8.1389e-05
23 *3:13 *147:13 0
24 *8:13 *1328:D 0
25 *8:13 *147:13 0
26 *80:14 *147:13 0.000566258
*RES
1 *1251:X *147:13 39.9814
2 *147:13 *1328:D 33.617
*END
*D_NET *148 0.00676066
*CONN
*I *1329:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1253:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1329:D 0.000317435
2 *1253:X 0.0010167
3 *148:18 0.00133413
4 *1329:D *266:22 0.000252999
5 *1329:D *273:50 0.000435832
6 *1329:D *274:5 0.000435832
7 *148:18 *1249:A 9.39537e-05
8 *148:18 *1249:B 0.000231917
9 *148:18 *1258:B1 1.10868e-05
10 *148:18 *1259:A 0.000180072
11 *148:18 *1266:A2 6.7939e-05
12 *148:18 *264:15 9.60939e-05
13 *148:18 *265:8 0.000957192
14 *148:18 *274:19 0.000272462
15 *148:18 *274:34 6.89111e-05
16 *148:18 *334:7 0.000136682
17 io_oeb[12] *148:18 0.000542483
18 *361:DIODE *148:18 0
19 *1361:A *148:18 0.000223461
20 *46:16 *148:18 4.46231e-06
21 *145:14 *148:18 8.10148e-05
*RES
1 *1253:X *148:18 47.1393
2 *148:18 *1329:D 22.0009
*END
*D_NET *149 0.0032497
*CONN
*I *1263:C1 I *D sky130_fd_sc_hd__a221o_1
*I *1262:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *1263:C1 0.00101261
2 *1262:X 0.00101261
3 *1263:C1 *1236:C 0.000192257
4 *1263:C1 *1237:B1 2.22761e-05
5 *1263:C1 *1262:A_N 0.000140933
6 *1263:C1 *1263:A1 6.05161e-06
7 *1263:C1 *1263:B1 1.21371e-05
8 *1263:C1 *1263:B2 1.28809e-05
9 *1263:C1 *1267:A2 5.49489e-05
10 *1263:C1 *252:14 3.87365e-05
11 *1263:C1 *270:10 3.06878e-06
12 *1263:C1 *271:26 5.33005e-05
13 *1263:C1 *272:16 0.000123594
14 *1263:C1 *272:46 0.000564294
*RES
1 *1262:X *1263:C1 44.0286
*END
*D_NET *150 0.00166178
*CONN
*I *1267:A1 I *D sky130_fd_sc_hd__a21o_1
*I *1263:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *1267:A1 0.000421708
2 *1263:X 0.000421708
3 *1267:A1 *1231:A 3.14012e-05
4 *1267:A1 *1242:A_N 0.000302719
5 *1267:A1 *155:26 2.38651e-06
6 *1267:A1 *155:30 2.44844e-05
7 *1267:A1 *247:22 0.000298483
8 *1267:A1 *257:17 0.000108511
9 *1267:A1 *270:25 4.00349e-05
10 *1325:D *1267:A1 1.03403e-05
*RES
1 *1263:X *1267:A1 34.975
*END
*D_NET *151 0.00636278
*CONN
*I *1266:B1 I *D sky130_fd_sc_hd__a22o_1
*I *1265:A2 I *D sky130_fd_sc_hd__o22a_1
*I *1264:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *1266:B1 0.00038568
2 *1265:A2 0.000452486
3 *1264:Y 0.000337884
4 *151:8 0.00117605
5 *1265:A2 *1232:B 0.000219711
6 *1265:A2 *1236:A 0.000130656
7 *1265:A2 *1242:B 0.00109388
8 *1265:A2 *1260:B_N 9.41642e-05
9 *1265:A2 *247:22 6.06332e-05
10 *1265:A2 *250:24 0.000123295
11 *1265:A2 *273:18 0.000123295
12 *1266:B1 *1241:A 6.97648e-05
13 *1266:B1 *1266:A2 6.05161e-06
14 *1266:B1 *1266:B2 5.52302e-05
15 *1266:B1 *1274:B_N 1.88482e-05
16 *1266:B1 *1276:A_N 8.70951e-05
17 *1266:B1 *273:50 1.02821e-05
18 *151:8 *1259:A 1.15172e-05
19 *151:8 *1264:A 6.62983e-05
20 *151:8 *322:8 3.25078e-05
21 io_oeb[11] *1266:B1 0.00021286
22 io_oeb[11] *151:8 0.000386568
23 io_oeb[17] *1266:B1 2.87118e-05
24 *361:DIODE *1266:B1 5.69387e-05
25 *361:DIODE *151:8 7.57869e-05
26 *87:11 *1266:B1 0.000193492
27 *146:17 *1266:B1 0.000391836
28 *146:17 *151:8 0.000461257
*RES
1 *1264:Y *151:8 22.7821
2 *151:8 *1265:A2 35.2464
3 *151:8 *1266:B1 24.5143
*END
*D_NET *152 0.00186031
*CONN
*I *1267:A2 I *D sky130_fd_sc_hd__a21o_1
*I *1265:X O *D sky130_fd_sc_hd__o22a_1
*CAP
1 *1267:A2 0.000457511
2 *1265:X 0.000457511
3 *1267:A2 *1231:A 0
4 *1267:A2 *1240:B 0.000184507
5 *1267:A2 *1242:A_N 0.000214706
6 *1267:A2 *1245:B 5.49544e-05
7 *1267:A2 *1247:A 2.71065e-05
8 *1267:A2 *1252:A 0.000128305
9 *1267:A2 *1252:C 1.76569e-05
10 *1267:A2 *1263:A2 6.52476e-05
11 *1267:A2 *1265:B1 9.60337e-06
12 *1267:A2 *155:26 0
13 *1267:A2 *255:19 7.69776e-06
14 *1267:A2 *256:33 0.00014592
15 *1267:A2 *257:17 9.56698e-06
16 *1263:C1 *1267:A2 5.49489e-05
17 *143:11 *1267:A2 2.50722e-05
*RES
1 *1265:X *1267:A2 37.5286
*END
*D_NET *153 0.00521177
*CONN
*I *1267:B1 I *D sky130_fd_sc_hd__a21o_1
*I *1266:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *1267:B1 0
2 *1266:X 0.00102359
3 *153:17 0.00102359
4 *153:17 *1236:A 0
5 *153:17 *1242:A_N 0.000310665
6 *153:17 *1244:A 5.08183e-05
7 *153:17 *1245:A 9.57786e-05
8 *153:17 *1245:B 0.000393861
9 *153:17 *1253:A 0.0002314
10 *153:17 *1261:A_N 1.21258e-05
11 *153:17 *257:17 0.000702986
12 *153:17 *264:15 0.000784342
13 *153:17 *271:8 0.000371566
14 *153:17 *273:50 4.25569e-05
15 io_out[17] *153:17 0
16 *1360:A *153:17 0.000135028
17 *84:13 *153:17 3.34687e-05
*RES
1 *1266:X *153:17 43.5143
2 *153:17 *1267:B1 9.3
*END
*D_NET *154 0.00270314
*CONN
*I *1268:B2 I *D sky130_fd_sc_hd__a221oi_4
*I *1267:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *1268:B2 0.000514924
2 *1267:X 0.000514924
3 *1268:B2 *1261:A_N 1.40306e-05
4 *1268:B2 *155:66 0.00012401
5 *1268:B2 *266:22 0.000151852
6 *1268:B2 *270:10 0.00039147
7 *1268:B2 *275:8 1.59312e-05
8 *1268:B2 *275:10 0.000197132
9 *1268:B2 *275:16 4.06087e-07
10 *1268:B2 *328:14 1.68244e-05
11 *1325:D *1268:B2 0.000639143
12 *8:13 *1268:B2 0.000122498
*RES
1 *1267:X *1268:B2 42.225
*END
*D_NET *155 0.0111479
*CONN
*I *1242:C I *D sky130_fd_sc_hd__and3b_1
*I *1246:C I *D sky130_fd_sc_hd__and3b_1
*I *1250:A I *D sky130_fd_sc_hd__and3_1
*I *1234:A I *D sky130_fd_sc_hd__and3_1
*I *1230:B I *D sky130_fd_sc_hd__and2b_1
*I *1269:B I *D sky130_fd_sc_hd__xnor2_1
*I *1252:B I *D sky130_fd_sc_hd__and3_1
*I *1238:C I *D sky130_fd_sc_hd__and3b_1
*I *1268:Y O *D sky130_fd_sc_hd__a221oi_4
*CAP
1 *1242:C 3.96417e-05
2 *1246:C 0.000154456
3 *1250:A 0
4 *1234:A 0.000275417
5 *1230:B 0
6 *1269:B 0.00107086
7 *1252:B 0
8 *1238:C 7.80528e-05
9 *1268:Y 0.000314991
10 *155:66 0.000418448
11 *155:63 0.000415152
12 *155:55 0.000153954
13 *155:35 0.00132488
14 *155:30 0.0003965
15 *155:26 0.000525388
16 *155:13 0.000772597
17 *1234:A *1234:C 0.000152614
18 *1234:A *328:14 0.000164818
19 *1238:C *252:14 0.000185118
20 *1238:C *328:27 0.000267731
21 *1242:C *247:22 5.49544e-05
22 *1246:C *257:17 0.000111545
23 *1246:C *270:11 6.05161e-06
24 *1246:C *270:54 0.000135762
25 *1269:B *1220:B 0.000228168
26 *1269:B *1240:B 8.76554e-05
27 *1269:B *1244:A 1.58163e-05
28 *1269:B *1244:B 0.000339346
29 *1269:B *1244:C 0.000254776
30 *1269:B *1253:A 5.12548e-05
31 *155:13 *1238:B 0.0002029
32 *155:13 *252:14 0.000272662
33 *155:13 *328:27 5.33005e-05
34 *155:26 *1242:A_N 0
35 *155:26 *1252:A 7.26699e-06
36 *155:26 *275:16 2.60785e-05
37 *155:26 *328:14 0.000121405
38 *155:26 *328:21 0.000155713
39 *155:30 *1231:A 3.10885e-05
40 *155:30 *1247:A 3.86555e-05
41 *155:30 *1252:A 1.65085e-05
42 *155:30 *257:17 1.94945e-05
43 *155:30 *270:25 1.8038e-05
44 *155:35 *1240:B 2.42516e-05
45 *155:35 *1247:A 2.10756e-05
46 *155:35 *1252:A 1.78534e-05
47 *155:35 *257:17 5.47231e-05
48 *155:55 *1247:A 1.65169e-05
49 *155:55 *257:17 8.2607e-05
50 *155:63 *257:17 9.94194e-05
51 *155:63 *270:11 1.98839e-05
52 *155:63 *270:25 8.55871e-05
53 *155:66 *328:14 0.000329733
54 io_oeb[10] *1269:B 0.000180072
55 io_oeb[13] *155:26 0
56 io_out[17] *1269:B 2.36643e-05
57 *1267:A1 *155:26 2.38651e-06
58 *1267:A1 *155:30 2.44844e-05
59 *1267:A2 *155:26 0
60 *1268:B2 *155:66 0.00012401
61 *1325:D *1234:A 0.000100604
62 *1325:D *155:13 1.64343e-05
63 *1325:D *155:66 8.99967e-05
64 *1328:D *155:13 0.000161257
65 *8:13 *1234:A 2.16719e-05
66 *8:13 *155:13 0.000201399
67 *42:17 *1269:B 1.15172e-05
68 *45:15 *1234:A 0.000391604
69 *46:16 *1269:B 8.80625e-05
*RES
1 *1268:Y *155:13 28.0679
2 *155:13 *1238:C 11.8893
3 *155:13 *155:26 10.4464
4 *155:26 *155:30 6.75
5 *155:30 *155:35 3.55357
6 *155:35 *1252:B 9.3
7 *155:35 *1269:B 28.8
8 *155:30 *155:55 0.946429
9 *155:55 *1230:B 9.3
10 *155:55 *155:63 2.60714
11 *155:63 *155:66 8.875
12 *155:66 *1234:A 21.2107
13 *155:66 *1250:A 13.8
14 *155:63 *1246:C 12.8714
15 *155:26 *1242:C 14.3357
*END
*D_NET *156 0.00674962
*CONN
*I *1272:A2 I *D sky130_fd_sc_hd__o22a_1
*I *1282:A2 I *D sky130_fd_sc_hd__a221oi_4
*I *1270:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *1272:A2 3.15282e-05
2 *1282:A2 0.000732683
3 *1270:Y 0.000867949
4 *156:13 0.00163216
5 *1272:A2 *1272:A1 4.08637e-05
6 *1272:A2 *1272:B1 6.05161e-06
7 *1272:A2 *157:31 1.98839e-05
8 *1272:A2 *281:41 5.33005e-05
9 *1282:A2 *1282:B1 2.85957e-05
10 *1282:A2 *1319:CLK 0
11 *1282:A2 *278:46 0.000178847
12 *1282:A2 *281:27 0.00013389
13 *1282:A2 *281:41 0.000136958
14 *156:13 *1186:B 0.000367537
15 *156:13 *1214:A_N 5.41897e-05
16 *156:13 *1222:B 4.07975e-05
17 *156:13 *1272:A1 9.41642e-05
18 *156:13 *1300:CLK 1.94879e-05
19 *156:13 *157:26 0.000270819
20 *156:13 *157:31 0.000444249
21 *156:13 *168:33 5.48674e-05
22 *156:13 *168:48 0.000209378
23 *156:13 *222:57 5.23098e-05
24 io_oeb[19] *1282:A2 0.000512161
25 *1301:D *156:13 7.14469e-05
26 *1316:D *1282:A2 0.000148903
27 *13:16 *1282:A2 0
28 *14:19 *156:13 0.000546601
*RES
1 *1270:Y *156:13 39.7464
2 *156:13 *1282:A2 31.3
3 *156:13 *1272:A2 10.2464
*END
*D_NET *157 0.00666265
*CONN
*I *1272:B1 I *D sky130_fd_sc_hd__o22a_1
*I *1280:A2 I *D sky130_fd_sc_hd__a22o_1
*I *1271:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *1272:B1 6.22773e-05
2 *1280:A2 0.000248961
3 *1271:Y 0.0008262
4 *157:31 0.000556975
5 *157:26 0.00107194
6 *1272:B1 *1272:B2 2.38839e-05
7 *1272:B1 *229:11 0.000126426
8 *1272:B1 *281:27 2.14658e-05
9 *1272:B1 *281:41 2.22299e-05
10 *1280:A2 *1221:A 7.14469e-05
11 *1280:A2 *1280:A1 8.61216e-05
12 *1280:A2 *1280:B1 1.33343e-05
13 *1280:A2 *1281:B1 3.69047e-06
14 *1280:A2 *169:23 5.27893e-05
15 *1280:A2 *241:17 0.000111245
16 *1280:A2 *277:8 3.19945e-05
17 *1280:A2 *278:8 6.47471e-05
18 *1280:A2 *280:21 0.000217318
19 *157:26 *1214:A_N 5.72597e-05
20 *157:26 *1222:A_N 0.000336966
21 *157:26 *1297:A 4.35306e-05
22 *157:26 *206:15 7.6644e-05
23 *157:26 *222:57 0.000837096
24 *157:26 *227:13 2.65839e-05
25 *157:31 *1206:A_N 9.41642e-05
26 *157:31 *1214:A_N 5.96516e-05
27 *157:31 *1272:A1 0.000136676
28 *157:31 *229:11 0.000243792
29 io_oeb[20] *157:26 0.000315846
30 *1272:A2 *1272:B1 6.05161e-06
31 *1272:A2 *157:31 1.98839e-05
32 *1300:D *157:26 8.03949e-05
33 *156:13 *157:26 0.000270819
34 *156:13 *157:31 0.000444249
*RES
1 *1271:Y *157:26 46.3893
2 *157:26 *157:31 6.83929
3 *157:31 *1280:A2 29.4629
4 *157:31 *1272:B1 11.0857
*END
*D_NET *158 0.0026119
*CONN
*I *1282:B1 I *D sky130_fd_sc_hd__a221oi_4
*I *1272:X O *D sky130_fd_sc_hd__o22a_1
*CAP
1 *1282:B1 0.000613558
2 *1272:X 0.000613558
3 *1282:B1 *1282:A1 0
4 *1282:B1 *1282:B2 0
5 *1282:B1 *1319:CLK 0
6 *1282:B1 *159:8 0.000185124
7 *1282:B1 *229:11 4.43256e-05
8 *1282:B1 *278:46 0.000433698
9 *1282:B1 *279:8 0.000121573
10 *1282:B1 *279:10 0.000220185
11 *1282:B1 *281:27 0.000179745
12 *1282:A2 *1282:B1 2.85957e-05
13 *1318:D *1282:B1 0
14 *1319:D *1282:B1 9.94037e-05
15 *49:19 *1282:B1 7.21295e-05
*RES
1 *1272:X *1282:B1 42.1357
*END
*D_NET *159 0.00621019
*CONN
*I *1279:B1 I *D sky130_fd_sc_hd__o22a_1
*I *1277:A2 I *D sky130_fd_sc_hd__a221o_1
*I *1273:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *1279:B1 0
2 *1277:A2 0.0004958
3 *1273:Y 0.000744
4 *159:8 0.0012398
5 *1277:A2 *1228:A 1.90936e-05
6 *1277:A2 *1277:A1 4.15744e-05
7 *1277:A2 *1277:B1 0.00030562
8 *1277:A2 *1281:A2 3.29251e-05
9 *1277:A2 *230:21 7.92229e-06
10 *1277:A2 *327:20 1.90936e-05
11 *1277:A2 *327:31 0.000221821
12 *159:8 *1282:A1 9.90431e-05
13 *159:8 *1282:B2 2.84026e-05
14 *159:8 *1319:CLK 1.81478e-05
15 *159:8 *1322:CLK 7.48091e-05
16 *159:8 *168:14 5.71472e-05
17 *159:8 *168:84 4.18895e-05
18 *159:8 *277:53 3.92854e-05
19 *159:8 *304:15 0.000244162
20 *159:8 *326:111 1.66568e-05
21 *159:8 *327:31 0.000160403
22 *159:8 *327:35 5.96793e-05
23 *335:DIODE *159:8 0.00143726
24 *1282:B1 *159:8 0.000185124
25 *1319:D *159:8 0.000344311
26 *1321:D *1277:A2 9.45051e-05
27 *141:11 *1277:A2 8.29509e-05
28 *141:11 *159:8 9.87656e-05
*RES
1 *1273:Y *159:8 37.6929
2 *159:8 *1277:A2 22.8759
3 *159:8 *1279:B1 13.8
*END
*D_NET *160 0.00117657
*CONN
*I *1277:B1 I *D sky130_fd_sc_hd__a221o_1
*I *1274:X O *D sky130_fd_sc_hd__or2b_1
*CAP
1 *1277:B1 0.000179122
2 *1274:X 0.000179122
3 *1277:B1 *1277:B2 2.67132e-05
4 *1277:B1 *1277:C1 0.000265038
5 *1277:B1 *230:21 2.66089e-05
6 *1277:A2 *1277:B1 0.00030562
7 *1321:D *1277:B1 9.71733e-05
8 *141:11 *1277:B1 9.71733e-05
*RES
1 *1274:X *1277:B1 32.7607
*END
*D_NET *161 0.00235295
*CONN
*I *1277:B2 I *D sky130_fd_sc_hd__a221o_1
*I *1275:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *1277:B2 0.000349837
2 *1275:X 0.000349837
3 *1277:B2 *1208:A 0.000174805
4 *1277:B2 *1277:A1 1.11453e-05
5 *1277:B2 *1277:C1 0.000380637
6 *1277:B2 *1332:A 5.52238e-05
7 *1277:B2 *230:21 9.43803e-05
8 *1277:B2 *243:15 0.000472734
9 *1277:B2 *326:7 3.50327e-05
10 *1277:B2 *333:11 7.21173e-05
11 *1277:B1 *1277:B2 2.67132e-05
12 *5:33 *1277:B2 0.000330485
*RES
1 *1275:X *1277:B2 38.8187
*END
*D_NET *162 0.00355629
*CONN
*I *1277:C1 I *D sky130_fd_sc_hd__a221o_1
*I *1276:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *1277:C1 0.000992675
2 *1276:X 0.000992675
3 *1277:C1 *1220:B 0.000153903
4 *1277:C1 *1228:B 5.66157e-05
5 *1277:C1 *1332:A 2.89016e-05
6 *1277:C1 *164:17 0.000305306
7 *1277:C1 *243:15 3.88455e-05
8 *1277:C1 *245:15 7.55769e-05
9 io_out[18] *1277:C1 0.000136719
10 *1277:B1 *1277:C1 0.000265038
11 *1277:B2 *1277:C1 0.000380637
12 *10:12 *1277:C1 0.000129395
*RES
1 *1276:X *1277:C1 45.1536
*END
*D_NET *163 0.00157611
*CONN
*I *1281:A1 I *D sky130_fd_sc_hd__a21o_1
*I *1277:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *1281:A1 0.000350687
2 *1277:X 0.000350687
3 *1281:A1 *1212:C 0.000110574
4 *1281:A1 *1281:B1 0.000262335
5 *1281:A1 *1282:B2 3.47702e-06
6 *1281:A1 *1283:A 6.8646e-06
7 *1281:A1 *172:29 0.000180072
8 *1281:A1 *282:11 0.000311416
*RES
1 *1277:X *1281:A1 34.7964
*END
*D_NET *164 0.00790042
*CONN
*I *1279:A2 I *D sky130_fd_sc_hd__o22a_1
*I *1280:B1 I *D sky130_fd_sc_hd__a22o_1
*I *1278:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *1279:A2 3.2466e-05
2 *1280:B1 0.000756546
3 *1278:Y 0.000570398
4 *164:17 0.00135941
5 *1279:A2 *1213:B1 5.7661e-06
6 *1279:A2 *1279:B2 1.97695e-05
7 *1280:B1 *1213:B1 3.14078e-05
8 *1280:B1 *1280:B2 6.60281e-05
9 *1280:B1 *1281:B1 0.000107023
10 *1280:B1 *235:24 4.3244e-05
11 *1280:B1 *241:17 6.7347e-06
12 *1280:B1 *277:8 0.000998855
13 *1280:B1 *277:53 0.000379436
14 *1280:B1 *278:8 9.91086e-05
15 *1280:B1 *279:35 0.000543664
16 *1280:B1 *280:21 4.28249e-05
17 *1280:B1 *326:76 5.52238e-05
18 *1280:B1 *326:94 0.000326939
19 *164:17 *1213:A1 0.000311088
20 *164:17 *1213:B1 0.00047871
21 *164:17 *1228:A 4.33002e-05
22 *164:17 *1242:B 5.30637e-06
23 *164:17 *1279:A1 0.00018077
24 *164:17 *234:11 0.000521184
25 *164:17 *245:15 0.000158492
26 *164:17 *305:14 1.76135e-05
27 *164:17 *328:38 0.000197054
28 io_out[18] *164:17 1.39782e-05
29 io_out[20] *1280:B1 2.23563e-05
30 *1277:C1 *164:17 0.000305306
31 *1280:A2 *1280:B1 1.33343e-05
32 *1316:D *1280:B1 1.64343e-05
33 *10:12 *164:17 0.000170646
34 *146:17 *164:17 0
*RES
1 *1278:Y *164:17 45.5679
2 *164:17 *1280:B1 46.7821
3 *164:17 *1279:A2 10.0321
*END
*D_NET *165 0.00200957
*CONN
*I *1281:A2 I *D sky130_fd_sc_hd__a21o_1
*I *1279:X O *D sky130_fd_sc_hd__o22a_1
*CAP
1 *1281:A2 0.00048012
2 *1279:X 0.00048012
3 *1281:A2 *1228:A 2.04825e-05
4 *1281:A2 *1282:B2 1.59373e-05
5 *1281:A2 *1333:A 9.73258e-05
6 *1281:A2 *172:14 0.000225982
7 *1281:A2 *230:21 1.90936e-05
8 *1281:A2 *235:24 2.18782e-05
9 *1281:A2 *243:15 0.000171886
10 *1281:A2 *299:8 6.05161e-06
11 *1281:A2 *327:20 1.90936e-05
12 *1281:A2 *327:31 0.000140939
13 *1277:A2 *1281:A2 3.29251e-05
14 *1321:D *1281:A2 5.00841e-06
15 *49:19 *1281:A2 0.000152139
16 *138:22 *1281:A2 0.000120585
*RES
1 *1279:X *1281:A2 38.225
*END
*D_NET *166 0.00248102
*CONN
*I *1281:B1 I *D sky130_fd_sc_hd__a21o_1
*I *1280:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *1281:B1 0.00071266
2 *1280:X 0.00071266
3 *1281:B1 *1212:C 0.000197262
4 *1281:B1 *1282:A1 7.26764e-05
5 *1281:B1 *279:10 0.000313643
6 *1281:B1 *282:11 3.34687e-05
7 *1281:B1 *282:27 1.78394e-05
8 *1281:B1 *326:76 1.39726e-05
9 *1281:B1 *326:94 2.89114e-05
10 *1280:A2 *1281:B1 3.69047e-06
11 *1280:B1 *1281:B1 0.000107023
12 *1281:A1 *1281:B1 0.000262335
13 *1316:D *1281:B1 4.87669e-06
*RES
1 *1280:X *1281:B1 40.975
*END
*D_NET *167 0.00350261
*CONN
*I *1282:B2 I *D sky130_fd_sc_hd__a221oi_4
*I *1281:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *1282:B2 0.000822519
2 *1281:X 0.000822519
3 *1282:B2 *1212:C 3.22964e-05
4 *1282:B2 *172:14 0.000116824
5 *1282:B2 *238:20 0.000121549
6 *1282:B2 *327:35 8.44271e-06
7 *1281:A1 *1282:B2 3.47702e-06
8 *1281:A2 *1282:B2 1.59373e-05
9 *1282:B1 *1282:B2 0
10 *1318:D *1282:B2 0.000645761
11 *1319:D *1282:B2 5.49489e-05
12 *138:22 *1282:B2 0.000829936
13 *159:8 *1282:B2 2.84026e-05
*RES
1 *1281:X *1282:B2 44.1357
*END
*D_NET *168 0.013161
*CONN
*I *1228:B I *D sky130_fd_sc_hd__and3_1
*I *1226:A I *D sky130_fd_sc_hd__and3_1
*I *1218:C I *D sky130_fd_sc_hd__and3b_1
*I *1214:C I *D sky130_fd_sc_hd__and3b_1
*I *1210:A I *D sky130_fd_sc_hd__and3_1
*I *1283:B I *D sky130_fd_sc_hd__xnor2_1
*I *1206:B I *D sky130_fd_sc_hd__and2b_1
*I *1222:C I *D sky130_fd_sc_hd__and3b_1
*I *1282:Y O *D sky130_fd_sc_hd__a221oi_4
*CAP
1 *1228:B 0.000223573
2 *1226:A 8.60759e-05
3 *1218:C 6.78437e-05
4 *1214:C 0
5 *1210:A 1.61612e-05
6 *1283:B 0.000126178
7 *1206:B 0
8 *1222:C 0
9 *1282:Y 0
10 *168:84 0.00102829
11 *168:48 0.000442162
12 *168:33 0.000430372
13 *168:21 0.000349299
14 *168:16 0.000386105
15 *168:14 0.00126212
16 *168:4 0.00174556
17 *1210:A *1221:A 6.05161e-06
18 *1210:A *230:21 1.10868e-05
19 *1210:A *236:11 5.33005e-05
20 *1218:C *326:111 0.000192943
21 *1226:A *230:21 0.000160847
22 *1228:B *1220:B 5.83304e-05
23 *1228:B *1274:B_N 0.000320929
24 *1228:B *230:21 5.33005e-05
25 *1283:B *1221:A 5.26224e-05
26 *1283:B *172:29 0.000110029
27 *1283:B *230:21 0.000306042
28 *1283:B *236:11 2.05612e-05
29 *1283:B *280:21 5.26224e-05
30 *168:14 *1319:CLK 0.00102702
31 *168:16 *1214:A_N 5.42698e-05
32 *168:16 *326:111 3.59176e-05
33 *168:21 *1214:A_N 0.000125886
34 *168:21 *1222:A_N 1.92789e-05
35 *168:21 *277:8 9.41642e-05
36 *168:33 *1222:B 5.33005e-05
37 *168:33 *1280:B2 8.28647e-05
38 *168:33 *277:8 9.41642e-05
39 *168:48 *1221:A 3.1412e-05
40 *168:48 *1222:B 0.000126675
41 *168:48 *1272:A1 1.90936e-05
42 *168:48 *221:13 0.000149826
43 *168:48 *235:24 0.000149826
44 *168:48 *280:21 4.00349e-05
45 *168:84 *1274:A 1.24368e-05
46 *168:84 *1274:B_N 0.000298483
47 *168:84 *1282:C1 0.00014285
48 *168:84 *1319:CLK 0
49 *168:84 *1322:CLK 2.77289e-05
50 *168:84 *230:21 9.41642e-05
51 *168:84 *234:11 0.000196269
52 *168:84 *278:52 3.30237e-05
53 io_oeb[20] *168:14 0
54 io_oeb[20] *168:16 0
55 io_out[18] *1226:A 0.000160847
56 io_out[20] *168:48 0.000144742
57 io_out[21] *168:14 0.000269422
58 *335:DIODE *1218:C 0.000358126
59 *335:DIODE *168:14 0.000441522
60 *1277:C1 *1228:B 5.66157e-05
61 *1317:D *168:33 0.000187124
62 *1320:D *168:84 0.000165995
63 *5:33 *168:84 0
64 *11:7 *168:14 3.17148e-05
65 *14:19 *168:14 3.59226e-05
66 *14:19 *168:16 7.57309e-05
67 *14:19 *168:21 4.35355e-05
68 *48:14 *168:84 0
69 *87:11 *168:14 1.98839e-05
70 *90:22 *168:33 0.000150065
71 *141:11 *168:84 0.000185384
72 *156:13 *168:33 5.48674e-05
73 *156:13 *168:48 0.000209378
74 *159:8 *168:14 5.71472e-05
75 *159:8 *168:84 4.18895e-05
*RES
1 *1282:Y *168:4 9.3
2 *168:4 *168:14 35.2143
3 *168:14 *168:16 3.46429
4 *168:16 *168:21 8.73214
5 *168:21 *1222:C 9.3
6 *168:21 *168:33 13.0357
7 *168:33 *1206:B 9.3
8 *168:33 *168:48 16.4403
9 *168:48 *1283:B 17.5321
10 *168:48 *1210:A 14.3357
11 *168:16 *1214:C 13.8
12 *168:14 *1218:C 17.2107
13 *168:4 *168:84 23.4286
14 *168:84 *1226:A 20.55
15 *168:84 *1228:B 22.9786
*END
*D_NET *169 0.0117028
*CONN
*I *1286:A2 I *D sky130_fd_sc_hd__o22a_1
*I *1296:A2 I *D sky130_fd_sc_hd__a221oi_4
*I *1284:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *1286:A2 0.000103562
2 *1296:A2 0.000102555
3 *1284:Y 0.00322091
4 *169:23 0.00342703
5 *1286:A2 *1291:B1 6.35864e-05
6 *1286:A2 *1294:A1 9.41642e-05
7 *1286:A2 *288:10 5.78834e-05
8 *1296:A2 *1286:A1 7.11463e-05
9 *1296:A2 *1291:B1 6.09762e-05
10 *1296:A2 *1296:A1 0
11 *1296:A2 *288:10 6.28248e-05
12 *169:23 *1272:A1 0.000753308
13 *169:23 *1289:A_N 0
14 *169:23 *1333:A 5.49489e-05
15 *169:23 *172:14 0.000107656
16 *169:23 *221:13 0.000113113
17 *169:23 *235:24 2.85533e-05
18 *169:23 *238:20 0.000112278
19 *169:23 *241:17 8.06096e-05
20 *169:23 *327:20 2.13985e-05
21 io_oeb[21] *169:23 0.00011942
22 io_out[24] *169:23 0.000702671
23 io_out[27] *169:23 4.68311e-05
24 *1280:A2 *169:23 5.27893e-05
25 *1311:D *1296:A2 0.000136676
26 *19:18 *169:23 0.00161624
27 *91:17 *169:23 0.000491699
*RES
1 *1284:Y *169:23 37.5884
2 *169:23 *1296:A2 16.4696
3 *169:23 *1286:A2 15.6304
*END
*D_NET *170 0.0104848
*CONN
*I *1294:A2 I *D sky130_fd_sc_hd__a22o_1
*I *1286:B1 I *D sky130_fd_sc_hd__o22a_1
*I *1285:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *1294:A2 0
2 *1286:B1 0.000236483
3 *1285:Y 0.00141557
4 *170:23 0.0010272
5 *170:13 0.00220629
6 *1286:B1 *1291:B1 7.05143e-06
7 *1286:B1 *1294:A1 4.28365e-05
8 *1286:B1 *1294:B1 4.19624e-06
9 *1286:B1 *284:10 0.000109535
10 *170:13 *1199:A 7.08181e-05
11 *170:13 *1203:A 0.000867481
12 *170:13 *1270:A 0
13 *170:13 *172:29 0.000205373
14 *170:13 *280:21 4.75956e-06
15 *170:13 *280:26 9.44233e-05
16 *170:13 *289:65 7.16068e-05
17 *170:13 *313:10 0.000454577
18 *170:13 *326:27 9.87859e-05
19 *170:13 *326:36 1.57685e-05
20 *170:23 *1214:A_N 6.05161e-06
21 *170:23 *1218:B 2.90437e-05
22 *170:23 *1289:B 0.000358971
23 *170:23 *1294:B1 2.30955e-05
24 *170:23 *1295:B1 6.09773e-05
25 *170:23 *221:13 4.7312e-05
26 *170:23 *285:64 0.00050336
27 io_oeb[21] *1286:B1 0
28 io_oeb[25] *1286:B1 0.000357754
29 io_out[20] *170:13 0.000913806
30 io_out[22] *1286:B1 9.51334e-05
31 io_out[25] *1286:B1 0.000198308
32 *347:DIODE *170:13 0.000194667
33 *13:16 *170:13 0
34 *14:19 *170:23 0.000693591
35 *15:26 *1286:B1 4.36551e-06
36 *16:18 *170:13 5.68722e-05
37 *91:17 *1286:B1 8.76591e-06
*RES
1 *1285:Y *170:13 41.7423
2 *170:13 *170:23 23.2054
3 *170:23 *1286:B1 28.1185
4 *170:23 *1294:A2 9.3
*END
*D_NET *171 0.00115752
*CONN
*I *1296:B1 I *D sky130_fd_sc_hd__a221oi_4
*I *1286:X O *D sky130_fd_sc_hd__o22a_1
*CAP
1 *1296:B1 0.00033684
2 *1286:X 0.00033684
3 *1296:B1 *1296:A1 5.71472e-05
4 *1296:B1 *1296:B2 0.000132053
5 *1296:B1 *284:10 0
6 *1296:B1 *287:5 1.09249e-05
7 io_oeb[22] *1296:B1 0
8 *1311:D *1296:B1 0.000270296
9 *1315:D *1296:B1 1.34232e-05
*RES
1 *1286:X *1296:B1 34.0286
*END
*D_NET *172 0.0106319
*CONN
*I *1291:A2 I *D sky130_fd_sc_hd__a221o_1
*I *1293:B1 I *D sky130_fd_sc_hd__o22a_1
*I *1287:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *1291:A2 0.000221512
2 *1293:B1 0
3 *1287:Y 0.00106689
4 *172:29 0.00164083
5 *172:14 0.00248622
6 *1291:A2 *1218:A_N 3.08382e-06
7 *1291:A2 *1291:A1 0.000268774
8 *1291:A2 *1291:B2 1.28809e-05
9 *1291:A2 *1293:B2 1.00733e-05
10 *1291:A2 *1295:A1 1.6536e-05
11 *1291:A2 *286:36 1.98839e-05
12 *1291:A2 *288:5 1.57155e-05
13 *1291:A2 *288:34 0.000581556
14 *172:14 *1254:A2 4.43299e-05
15 *172:14 *1283:A 9.50426e-05
16 *172:14 *1333:A 0.000120302
17 *172:14 *222:57 0.000145399
18 *172:14 *238:20 1.03859e-05
19 *172:14 *279:10 4.60232e-06
20 *172:14 *298:16 0.000233962
21 *172:14 *327:20 0
22 *172:29 *1192:A 9.21418e-06
23 *172:29 *1218:A_N 0.000142606
24 *172:29 *1277:A1 4.35597e-05
25 *172:29 *1283:A 0.000382744
26 *172:29 *1295:A1 6.96878e-05
27 *172:29 *221:13 0.000204604
28 *172:29 *222:10 2.06178e-05
29 *172:29 *230:21 8.43535e-06
30 *172:29 *235:24 0.000410711
31 *172:29 *236:11 0.000219711
32 *172:29 *278:12 6.30931e-05
33 *172:29 *280:21 6.47471e-05
34 *172:29 *286:12 8.10363e-06
35 *172:29 *286:16 6.2589e-06
36 *172:29 *286:36 9.71197e-05
37 *172:29 *287:40 0.000109422
38 *172:29 *289:65 8.4702e-05
39 *172:29 *326:27 0
40 *347:DIODE *172:14 5.36426e-05
41 *1281:A1 *172:29 0.000180072
42 *1281:A2 *172:14 0.000225982
43 *1282:B2 *172:14 0.000116824
44 *1283:B *172:29 0.000110029
45 *1318:D *172:14 0.000429065
46 *19:18 *172:29 2.11782e-05
47 *57:16 *172:14 0
48 *133:31 *172:14 0
49 *138:22 *172:14 0.000238762
50 *169:23 *172:14 0.000107656
51 *170:13 *172:29 0.000205373
*RES
1 *1287:Y *172:14 45.7107
2 *172:14 *172:29 33.4231
3 *172:29 *1293:B1 9.3
4 *172:29 *1291:A2 16.0545
*END
*D_NET *173 0.00193905
*CONN
*I *1291:B1 I *D sky130_fd_sc_hd__a221o_1
*I *1288:X O *D sky130_fd_sc_hd__or2b_1
*CAP
1 *1291:B1 0.000426332
2 *1288:X 0.000426332
3 *1291:B1 *1286:A1 0.000232947
4 *1291:B1 *1291:B2 6.73217e-05
5 *1291:B1 *1294:B2 0.000122262
6 *1291:B1 *284:10 3.50838e-05
7 *1291:B1 *288:10 7.93851e-05
8 *1291:B1 *326:111 5.03772e-05
9 io_out[22] *1291:B1 0.000292865
10 *1286:A2 *1291:B1 6.35864e-05
11 *1286:B1 *1291:B1 7.05143e-06
12 *1296:A2 *1291:B1 6.09762e-05
13 *15:26 *1291:B1 7.45277e-05
*RES
1 *1288:X *1291:B1 37.475
*END
*D_NET *174 0.00249317
*CONN
*I *1291:B2 I *D sky130_fd_sc_hd__a221o_1
*I *1289:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *1291:B2 0.000594576
2 *1289:X 0.000594576
3 *1291:B2 *1218:A_N 0.000167016
4 *1291:B2 *1286:A1 0.000164853
5 *1291:B2 *1291:A1 1.38106e-05
6 *1291:B2 *1291:C1 0.000575388
7 *1291:B2 *1294:A1 0.000135028
8 *1291:B2 *1295:B1 0.000167723
9 *1291:A2 *1291:B2 1.28809e-05
10 *1291:B1 *1291:B2 6.73217e-05
*RES
1 *1289:X *1291:B2 48.2473
*END
*D_NET *175 0.00232611
*CONN
*I *1291:C1 I *D sky130_fd_sc_hd__a221o_1
*I *1290:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *1291:C1 0.000372055
2 *1290:X 0.000372055
3 *1291:C1 *1194:C 1.98839e-05
4 *1291:C1 *1286:A1 2.39876e-05
5 *1291:C1 *1288:A 0.000141734
6 *1291:C1 *1294:A1 9.41642e-05
7 *1291:C1 *221:13 7.44399e-05
8 *1291:B2 *1291:C1 0.000575388
9 *1315:D *1291:C1 0.000301438
10 *15:26 *1291:C1 0.000350968
*RES
1 *1290:X *1291:C1 38.5643
*END
*D_NET *176 0.00290002
*CONN
*I *1295:A1 I *D sky130_fd_sc_hd__a21o_1
*I *1291:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *1295:A1 0.000592865
2 *1291:X 0.000592865
3 *1295:A1 *1196:B 0.000393285
4 *1295:A1 *1218:A_N 6.8377e-06
5 *1295:A1 *1218:B 0.000166345
6 *1295:A1 *1291:A1 0.000520087
7 *1295:A1 *1296:B2 5.33005e-05
8 *1295:A1 *222:10 0.000178425
9 *1295:A1 *286:36 0.000125547
10 *1295:A1 *288:5 1.95906e-05
11 *1295:A1 *309:12 0.000164653
12 *1291:A2 *1295:A1 1.6536e-05
13 *172:29 *1295:A1 6.96878e-05
*RES
1 *1291:X *1295:A1 41.1893
*END
*D_NET *177 0.00988215
*CONN
*I *1294:B1 I *D sky130_fd_sc_hd__a22o_1
*I *1293:A2 I *D sky130_fd_sc_hd__o22a_1
*I *1292:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *1294:B1 0.000538054
2 *1293:A2 0
3 *1292:Y 0.00193422
4 *177:20 0.00247227
5 *1294:B1 *1193:A 6.81294e-05
6 *1294:B1 *1289:B 4.44367e-05
7 *1294:B1 *287:20 0.000414979
8 *177:20 *1177:B 0.000606562
9 *177:20 *1193:A 2.06178e-05
10 *177:20 *199:34 0.000302547
11 *177:20 *205:12 0.000113285
12 *177:20 *287:40 3.46295e-05
13 *177:20 *289:44 2.4175e-05
14 *177:20 *311:13 5.71472e-05
15 io_oeb[21] *1294:B1 5.43661e-05
16 io_oeb[21] *177:20 0.000130904
17 io_oeb[25] *177:20 0.00130936
18 *344:DIODE *177:20 0.000573594
19 *1286:B1 *1294:B1 4.19624e-06
20 *1351:A *177:20 2.44318e-05
21 *20:14 *177:20 6.6742e-05
22 *21:22 *177:20 0.000102813
23 *27:8 *177:20 9.10431e-06
24 *53:17 *177:20 0.000489292
25 *134:20 *1294:B1 0.000442575
26 *134:20 *177:20 2.06178e-05
27 *170:23 *1294:B1 2.30955e-05
*RES
1 *1292:Y *177:20 42.5567
2 *177:20 *1293:A2 13.8
3 *177:20 *1294:B1 25.1839
*END
*D_NET *178 0.000958859
*CONN
*I *1295:A2 I *D sky130_fd_sc_hd__a21o_1
*I *1293:X O *D sky130_fd_sc_hd__o22a_1
*CAP
1 *1295:A2 0.000133918
2 *1293:X 0.000133918
3 *1295:A2 *1218:A_N 0.000127446
4 *1295:A2 *1295:B1 0.000127055
5 *1295:A2 *1296:B2 0.00035644
6 *1295:A2 *286:12 8.00806e-05
7 *1295:A2 *309:12 0
*RES
1 *1293:X *1295:A2 32.6536
*END
*D_NET *179 0.00221307
*CONN
*I *1295:B1 I *D sky130_fd_sc_hd__a21o_1
*I *1294:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *1295:B1 0.00076209
2 *1294:X 0.00076209
3 *1295:B1 *1194:B 7.72966e-05
4 *1295:B1 *1218:A_N 4.18505e-05
5 *1295:B1 *1296:B2 4.62889e-05
6 io_oeb[21] *1295:B1 0.000154944
7 *1291:B2 *1295:B1 0.000167723
8 *1295:A2 *1295:B1 0.000127055
9 *134:20 *1295:B1 1.27529e-05
10 *170:23 *1295:B1 6.09773e-05
*RES
1 *1294:X *1295:B1 39.3321
*END
*D_NET *180 0.00372228
*CONN
*I *1296:B2 I *D sky130_fd_sc_hd__a221oi_4
*I *1295:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *1296:B2 0.00126338
2 *1295:X 0.00126338
3 *1296:B2 *1286:A1 0.000395207
4 *1296:B2 *1296:A1 1.98839e-05
5 *1296:B2 *286:12 9.81122e-05
6 *1295:A1 *1296:B2 5.33005e-05
7 *1295:A2 *1296:B2 0.00035644
8 *1295:B1 *1296:B2 4.62889e-05
9 *1296:B1 *1296:B2 0.000132053
10 *1311:D *1296:B2 9.423e-05
*RES
1 *1295:X *1296:B2 37.8143
*END
*D_NET *181 0.0108236
*CONN
*I *1202:A I *D sky130_fd_sc_hd__and3_1
*I *1194:C I *D sky130_fd_sc_hd__and3b_1
*I *1190:C I *D sky130_fd_sc_hd__and3b_1
*I *1186:A I *D sky130_fd_sc_hd__and3_1
*I *1297:B I *D sky130_fd_sc_hd__xnor2_1
*I *1204:B I *D sky130_fd_sc_hd__and3_1
*I *1182:B I *D sky130_fd_sc_hd__and2b_1
*I *1198:C I *D sky130_fd_sc_hd__and3b_1
*I *1296:Y O *D sky130_fd_sc_hd__a221oi_4
*CAP
1 *1202:A 5.01672e-05
2 *1194:C 0.000511129
3 *1190:C 0
4 *1186:A 0.000296781
5 *1297:B 0
6 *1204:B 7.73794e-05
7 *1182:B 9.95089e-05
8 *1198:C 2.95741e-05
9 *1296:Y 0.000208692
10 *181:62 0.000560231
11 *181:42 0.000510553
12 *181:32 0.000331568
13 *181:31 8.95183e-05
14 *181:29 0.000518392
15 *181:10 0.000202238
16 *181:8 0.000750072
17 *1182:B *1198:A_N 0.000353079
18 *1182:B *1198:B 6.57914e-05
19 *1182:B *224:19 0.000226507
20 *1186:A *1186:C 3.98517e-05
21 *1186:A *1188:C 4.52907e-05
22 *1186:A *1297:A 6.15517e-05
23 *1186:A *227:13 1.35121e-05
24 *1186:A *228:16 0.000369169
25 *1186:A *284:37 7.20217e-06
26 *1186:A *284:48 0.000136928
27 *1186:A *309:12 0.000164065
28 *1194:C *1190:B 0
29 *1194:C *1193:A 2.18964e-05
30 *1194:C *1193:B 5.48198e-05
31 *1194:C *1194:A_N 0.000255894
32 *1194:C *1294:A1 7.55769e-05
33 *1194:C *287:20 0.000126515
34 *1194:C *289:44 8.03354e-06
35 *1202:A *1189:B1 8.42609e-05
36 *1204:B *1193:B 6.01416e-05
37 *1204:B *1204:A 6.28435e-05
38 *181:29 *1189:B1 0.000225193
39 *181:29 *285:7 0.000226867
40 *181:32 *1193:B 2.03618e-05
41 *181:32 *1204:A 1.68854e-05
42 *181:42 *1188:C 0.000123617
43 *181:42 *1189:B1 0.000425537
44 *181:42 *227:13 1.46231e-05
45 *181:62 *1193:B 4.59085e-05
46 *181:62 *1204:A 4.59234e-05
47 *181:62 *289:44 4.46186e-06
48 *1291:C1 *1194:C 1.98839e-05
49 *1310:D *181:29 0.000711188
50 *1310:D *181:42 0.000423607
51 *1311:D *181:8 1.07719e-05
52 *1315:D *1194:C 0.000178847
53 *15:26 *1194:C 0.000341017
54 *16:11 *1198:C 7.88765e-05
55 *16:11 *181:8 0.000464714
56 *16:11 *181:10 0.000211162
57 *18:13 *1198:C 7.72906e-05
58 *18:13 *181:8 0.000473257
59 *18:13 *181:10 0.000214911
*RES
1 *1296:Y *181:8 20.5321
2 *181:8 *181:10 2.85714
3 *181:10 *1198:C 14.8357
4 *181:10 *1182:B 17.6393
5 *181:8 *181:29 14.4821
6 *181:29 *181:31 4.5
7 *181:31 *181:32 0.732143
8 *181:32 *1204:B 15.4429
9 *181:32 *181:42 10.375
10 *181:42 *1297:B 9.3
11 *181:42 *1186:A 26.05
12 *181:31 *181:62 1.33929
13 *181:62 *1190:C 13.8
14 *181:62 *1194:C 25.8
15 *181:29 *1202:A 10.2464
*END
*D_NET *182 0.00503045
*CONN
*I *1156:A2 I *D sky130_fd_sc_hd__a221oi_4
*I *1146:A2 I *D sky130_fd_sc_hd__o22a_1
*I *1144:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *1156:A2 0.000328067
2 *1146:A2 0
3 *1144:Y 0.000859192
4 *182:8 0.00118726
5 *1156:A2 *1146:B2 0.000273836
6 *182:8 *1146:B2 7.95981e-05
7 *182:8 *218:17 0.00010096
8 io_oeb[31] *182:8 1.98839e-05
9 io_out[33] *182:8 0
10 *1302:D *182:8 0.000152578
11 *1303:D *1156:A2 0.000861086
12 *1303:D *182:8 0.000195459
13 *1305:D *1156:A2 0.000171401
14 *1305:D *182:8 0.000208541
15 *16:11 *1156:A2 0.000239146
16 *28:13 *182:8 0.000308595
17 *126:13 *182:8 1.8338e-05
18 *130:7 *182:8 2.65105e-05
*RES
1 *1144:Y *182:8 28.3179
2 *182:8 *1146:A2 13.8
3 *182:8 *1156:A2 25.1571
*END
*D_NET *183 0.00500577
*CONN
*I *1154:A2 I *D sky130_fd_sc_hd__a22o_1
*I *1146:B1 I *D sky130_fd_sc_hd__o22a_1
*I *1145:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *1154:A2 0.000860845
2 *1146:B1 0
3 *1145:Y 0.000713876
4 *183:11 0.00157472
5 *1154:A2 *1146:A1 3.97677e-05
6 *1154:A2 *1146:B2 2.59355e-05
7 *1154:A2 *1158:B 0.000242481
8 *1154:A2 *1159:A 0.000287251
9 *1154:A2 *1164:B 5.52238e-05
10 *1154:A2 *1177:A 0.00035013
11 *1154:A2 *1180:B 5.58941e-05
12 *1154:A2 *190:8 5.94919e-05
13 *1154:A2 *293:29 5.42764e-05
14 *183:11 *1162:A 0.000145876
15 *183:11 *196:12 7.96627e-05
16 *183:11 *212:15 0
17 io_out[30] *1154:A2 9.03057e-05
18 *21:22 *1154:A2 0.000130636
19 *92:17 *1154:A2 2.14864e-05
20 *92:17 *183:11 4.58194e-05
21 *126:13 *183:11 0.000172091
*RES
1 *1145:Y *183:11 31.1393
2 *183:11 *1146:B1 9.3
3 *183:11 *1154:A2 35.3
*END
*D_NET *184 0.00263844
*CONN
*I *1156:B1 I *D sky130_fd_sc_hd__a221oi_4
*I *1146:X O *D sky130_fd_sc_hd__o22a_1
*CAP
1 *1156:B1 0.00071804
2 *1146:X 0.00071804
3 *1156:B1 *1156:A1 0.000162456
4 *1156:B1 *1162:A 0.000171654
5 *1156:B1 *193:21 0.000110639
6 *1156:B1 *196:12 0.000240579
7 *1156:B1 *324:28 2.44318e-05
8 *1156:B1 *324:60 0.000149005
9 *126:13 *1156:B1 0.0003436
*RES
1 *1146:X *1156:B1 43.7429
*END
*D_NET *185 0.00467188
*CONN
*I *1153:B1 I *D sky130_fd_sc_hd__o22a_1
*I *1151:A2 I *D sky130_fd_sc_hd__a221o_1
*I *1147:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *1153:B1 0.000398721
2 *1151:A2 6.07882e-05
3 *1147:Y 0.00038461
4 *185:6 0.00084412
5 *1151:A2 *1172:B 2.59355e-05
6 *1153:B1 *1153:B2 5.33005e-05
7 *1153:B1 *1155:A2 0.000346932
8 *1153:B1 *1172:B 0.000552916
9 *1153:B1 *205:8 0.000562166
10 *1153:B1 *292:19 8.43535e-06
11 *1153:B1 *294:30 0.000431615
12 *185:6 *1172:B 5.55449e-05
13 *185:6 *197:13 0
14 *185:6 *205:8 0.000362791
15 *185:6 *292:32 7.78829e-05
16 *185:6 *292:34 0.000183349
17 *185:6 *292:45 0.000216304
18 *185:6 *318:10 1.8338e-05
19 *16:18 *1151:A2 5.63382e-05
20 *59:14 *185:6 3.17874e-05
*RES
1 *1147:Y *185:6 22.7286
2 *185:6 *1151:A2 14.9518
3 *185:6 *1153:B1 25.7464
*END
*D_NET *186 0.00147206
*CONN
*I *1151:B1 I *D sky130_fd_sc_hd__a221o_1
*I *1148:X O *D sky130_fd_sc_hd__or2b_1
*CAP
1 *1151:B1 0.000312923
2 *1148:X 0.000312923
3 *1151:B1 *1148:A 9.41642e-05
4 *1151:B1 *192:17 0.000422125
5 *1151:B1 *292:32 0.000252611
6 *22:18 *1151:B1 7.73128e-05
*RES
1 *1148:X *1151:B1 35.35
*END
*D_NET *187 0.00307428
*CONN
*I *1151:B2 I *D sky130_fd_sc_hd__a221o_1
*I *1149:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *1151:B2 0.000784035
2 *1149:X 0.000784035
3 *1151:B2 *1151:C1 2.59322e-05
4 *1151:B2 *1154:B2 0
5 *1151:B2 *1160:B 0
6 *1151:B2 *1168:A 0
7 *1151:B2 *291:5 5.99526e-05
8 *1151:B2 *291:79 5.21937e-05
9 *1151:B2 *296:6 0.000459274
10 *1151:B2 *296:19 0.000220778
11 io_out[26] *1151:B2 0.000215584
12 *16:18 *1151:B2 0.000472494
*RES
1 *1149:X *1151:B2 44.6402
*END
*D_NET *188 0.00100718
*CONN
*I *1151:C1 I *D sky130_fd_sc_hd__a221o_1
*I *1150:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *1151:C1 0.00029619
2 *1150:X 0.00029619
3 *1151:C1 *1151:A1 9.71197e-05
4 *1151:C1 *192:17 0.000138916
5 *1151:B2 *1151:C1 2.59322e-05
6 *16:18 *1151:C1 1.39137e-05
7 *59:14 *1151:C1 0.000138916
*RES
1 *1150:X *1151:C1 32.4571
*END
*D_NET *189 0.00124133
*CONN
*I *1155:A1 I *D sky130_fd_sc_hd__a21o_1
*I *1151:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *1155:A1 0.00030086
2 *1151:X 0.00030086
3 *1155:A1 *1169:A 0.000254089
4 *1155:A1 *1170:B 8.29168e-05
5 *1308:D *1155:A1 6.35819e-05
6 *16:18 *1155:A1 0.000218409
7 *24:15 *1155:A1 2.06178e-05
*RES
1 *1151:X *1155:A1 33.2429
*END
*D_NET *190 0.00673742
*CONN
*I *1153:A2 I *D sky130_fd_sc_hd__o22a_1
*I *1154:B1 I *D sky130_fd_sc_hd__a22o_1
*I *1152:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *1153:A2 0.000356482
2 *1154:B1 0
3 *1152:Y 0.00098354
4 *190:8 0.00134002
5 *1153:A2 *1153:A1 6.05161e-06
6 *1153:A2 *1154:B2 0.00054995
7 *1153:A2 *292:19 2.59355e-05
8 *1153:A2 *294:17 0.00013747
9 *1153:A2 *294:30 1.98839e-05
10 *190:8 *1152:A 0.000210062
11 *190:8 *1154:B2 8.6229e-06
12 *190:8 *1158:B 0.000232325
13 *190:8 *1159:A 0.000135359
14 *190:8 *1180:B 5.58941e-05
15 *190:8 *212:15 0.000363837
16 *190:8 *291:8 1.13265e-05
17 *190:8 *291:44 0.000154056
18 *190:8 *318:10 0
19 io_oeb[31] *190:8 0.000888652
20 io_out[32] *190:8 9.90431e-05
21 *350:DIODE *190:8 0
22 *1154:A2 *190:8 5.94919e-05
23 *21:22 *1153:A2 0.000690737
24 *21:22 *190:8 0.000132462
25 *27:8 *190:8 0
26 *128:7 *190:8 0.000276211
*RES
1 *1152:Y *190:8 39.3714
2 *190:8 *1154:B1 13.8
3 *190:8 *1153:A2 23.8714
*END
*D_NET *191 0.00179585
*CONN
*I *1155:A2 I *D sky130_fd_sc_hd__a21o_1
*I *1153:X O *D sky130_fd_sc_hd__o22a_1
*CAP
1 *1155:A2 0.000406861
2 *1153:X 0.000406861
3 *1155:A2 *1148:A 0.000238468
4 *1155:A2 *1169:A 0.000137336
5 *1155:A2 *1170:B 1.01487e-05
6 *1155:A2 *192:17 5.05056e-05
7 *1155:A2 *193:21 5.49544e-05
8 *1155:A2 *292:19 2.59355e-05
9 *1155:A2 *294:30 1.40034e-05
10 *1155:A2 *316:15 4.44971e-05
11 io_out[29] *1155:A2 6.05161e-06
12 *1153:B1 *1155:A2 0.000346932
13 *60:22 *1155:A2 5.33005e-05
*RES
1 *1153:X *1155:A2 35.9929
*END
*D_NET *192 0.003307
*CONN
*I *1155:B1 I *D sky130_fd_sc_hd__a21o_1
*I *1154:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *1155:B1 0
2 *1154:X 0.000524948
3 *192:17 0.000524948
4 *192:17 *1151:A1 8.23597e-06
5 *192:17 *1158:A_N 1.94945e-05
6 *192:17 *1170:B 0.000430716
7 *192:17 *1172:B 1.52206e-05
8 *192:17 *193:21 5.49489e-05
9 *192:17 *292:32 4.09966e-05
10 *192:17 *295:44 0.000100919
11 io_out[31] *192:17 4.44193e-05
12 *1151:B1 *192:17 0.000422125
13 *1151:C1 *192:17 0.000138916
14 *1155:A2 *192:17 5.05056e-05
15 *1304:D *192:17 0.000180355
16 *1308:D *192:17 5.12372e-05
17 *22:18 *192:17 0.000196249
18 *59:14 *192:17 6.92581e-05
19 *60:22 *192:17 0.00043351
*RES
1 *1154:X *192:17 42.4786
2 *192:17 *1155:B1 9.3
*END
*D_NET *193 0.00401763
*CONN
*I *1156:B2 I *D sky130_fd_sc_hd__a221oi_4
*I *1155:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *1156:B2 0
2 *1155:X 0.000862892
3 *193:21 0.000862892
4 *193:21 *1170:C 0.000683933
5 *193:21 *204:8 3.47826e-05
6 *193:21 *315:10 0.000128982
7 *193:21 *324:28 4.84712e-05
8 io_out[30] *193:21 0.000163979
9 *1155:A2 *193:21 5.49544e-05
10 *1156:B1 *193:21 0.000110639
11 *1303:D *193:21 9.11637e-05
12 *1313:D *193:21 0.000144481
13 *24:15 *193:21 0.00022545
14 *60:22 *193:21 0.000550056
15 *192:17 *193:21 5.49489e-05
*RES
1 *1155:X *193:21 43.4368
2 *193:21 *1156:B2 9.3
*END
*D_NET *194 0.0154827
*CONN
*I *1174:C I *D sky130_fd_sc_hd__and3b_1
*I *1178:A I *D sky130_fd_sc_hd__and3_1
*I *1157:B I *D sky130_fd_sc_hd__xnor2_1
*I *1170:C I *D sky130_fd_sc_hd__and3b_1
*I *1158:B I *D sky130_fd_sc_hd__and2b_1
*I *1180:B I *D sky130_fd_sc_hd__and3_1
*I *1166:C I *D sky130_fd_sc_hd__and3b_1
*I *1162:A I *D sky130_fd_sc_hd__and3_1
*I *1156:Y O *D sky130_fd_sc_hd__a221oi_4
*CAP
1 *1174:C 0.000192012
2 *1178:A 0.000307465
3 *1157:B 2.37855e-05
4 *1170:C 0.000503433
5 *1158:B 0.000121282
6 *1180:B 1.92398e-05
7 *1166:C 0
8 *1162:A 0.000263834
9 *1156:Y 2.97081e-05
10 *194:82 0.00121196
11 *194:73 0.00128435
12 *194:64 0.000769345
13 *194:47 0.000400041
14 *194:19 0.000392145
15 *194:18 0.000867885
16 *194:7 0.000564047
17 *1158:B *1176:A 0.000180501
18 *1158:B *201:16 0.000180501
19 *1162:A *1166:A_N 0.000135028
20 *1162:A *1175:A 1.92905e-05
21 *1162:A *196:12 0
22 *1174:C *1174:A_N 0.000351156
23 *1174:C *207:13 9.60939e-05
24 *1174:C *315:10 1.24469e-05
25 *1178:A *1157:A 6.05161e-06
26 *1178:A *1172:A 0
27 *1178:A *1174:A_N 0
28 *1178:A *1202:C 0
29 *1178:A *206:15 4.17433e-05
30 *1178:A *309:10 0.000148292
31 *1178:A *309:12 9.47114e-05
32 *194:7 *1156:A1 9.60939e-05
33 *194:7 *324:28 4.87953e-05
34 *194:18 *199:22 0.000130334
35 *194:18 *293:10 0.000330249
36 *194:18 *295:25 0.000255778
37 *194:18 *296:19 1.46976e-05
38 *194:18 *315:10 7.75093e-05
39 *194:18 *324:28 4.5352e-05
40 *194:18 *324:30 2.03438e-05
41 *194:19 *1164:A 0.000137983
42 *194:19 *1164:B 6.05161e-06
43 *194:19 *1166:A_N 0.000291957
44 *194:19 *201:16 9.60939e-05
45 *194:19 *296:34 5.74499e-06
46 *194:47 *1164:A 0.000135028
47 *194:47 *1164:B 8.25114e-05
48 *194:47 *1165:A2 0
49 *194:47 *1180:A 7.6931e-05
50 *194:47 *1180:C 1.88218e-05
51 *194:47 *1181:A 9.05976e-06
52 *194:47 *291:44 0.00017311
53 *194:47 *292:45 0.000117143
54 *194:64 *315:10 0.00011197
55 *194:73 *315:10 0.000590492
56 *194:82 *1174:A_N 7.2394e-05
57 *194:82 *206:15 0.000400221
58 io_oeb[26] *1174:C 3.19722e-05
59 io_oeb[26] *194:73 2.01584e-05
60 io_oeb[28] *194:82 7.17259e-05
61 io_oeb[30] *194:18 2.40928e-05
62 io_out[27] *194:82 0
63 io_out[28] *194:82 0.000238602
64 *1154:A2 *1158:B 0.000242481
65 *1154:A2 *1180:B 5.58941e-05
66 *1156:B1 *1162:A 0.000171654
67 *1310:D *1178:A 1.03037e-05
68 *1310:D *194:82 5.85101e-05
69 *1313:D *1174:C 0
70 *15:26 *194:82 0.000511092
71 *22:18 *194:82 0.000125546
72 *59:14 *194:18 0.000144903
73 *59:14 *194:64 0.000118982
74 *59:14 *194:73 0.000551862
75 *126:13 *1162:A 0.000379227
76 *132:19 *194:18 4.66139e-05
77 *183:11 *1162:A 0.000145876
78 *190:8 *1158:B 0.000232325
79 *190:8 *1180:B 5.58941e-05
80 *193:21 *1170:C 0.000683933
*RES
1 *1156:Y *194:7 14.7464
2 *194:7 *194:18 15.3571
3 *194:18 *194:19 3.41071
4 *194:19 *1162:A 25.175
5 *194:19 *1166:C 9.3
6 *194:18 *194:47 10.8571
7 *194:47 *1180:B 14.5321
8 *194:47 *1158:B 18.7286
9 *194:7 *194:64 1.64286
10 *194:64 *1170:C 20.4964
11 *194:64 *194:73 7.86607
12 *194:73 *194:82 17.0507
13 *194:82 *1157:B 9.72857
14 *194:82 *1178:A 23.5679
15 *194:73 *1174:C 18.0946
*END
*D_NET *195 0.00267146
*CONN
*I *1159:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1158:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *1159:A 0.000709562
2 *1158:X 0.000709562
3 *1159:A *1176:A 1.58163e-05
4 *1159:A *1177:B 0.000321916
5 io_oeb[32] *1159:A 6.85145e-05
6 io_out[30] *1159:A 0.000262343
7 *1154:A2 *1159:A 0.000287251
8 *27:8 *1159:A 0.000161136
9 *190:8 *1159:A 0.000135359
*RES
1 *1158:X *1159:A 44.3143
*END
*D_NET *196 0.00622416
*CONN
*I *1162:B I *D sky130_fd_sc_hd__and3_1
*I *1160:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *1162:B 0.000155504
2 *1160:X 0.00137315
3 *196:12 0.00152865
4 *1162:B *1175:A 0.000225616
5 *196:12 *1149:B 0.000139913
6 *196:12 *1160:B 6.12434e-05
7 *196:12 *1168:B 9.80173e-05
8 *196:12 *1307:CLK 2.89114e-05
9 *196:12 *207:13 6.05161e-06
10 *196:12 *212:15 0.0017324
11 *196:12 *324:60 0.000202246
12 *196:12 *324:69 9.03462e-05
13 *196:12 *324:78 7.03204e-05
14 io_oeb[26] *196:12 0.000138377
15 *1156:B1 *196:12 0.000240579
16 *1162:A *196:12 0
17 *126:13 *196:12 5.31644e-05
18 *183:11 *196:12 7.96627e-05
*RES
1 *1160:X *196:12 48.675
2 *196:12 *1162:B 15.9786
*END
*D_NET *197 0.00752747
*CONN
*I *1162:C I *D sky130_fd_sc_hd__and3_1
*I *1161:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *1162:C 0.000547602
2 *1161:Y 0.00121624
3 *197:13 0.00176384
4 *1162:C *1163:A 0.00031119
5 *1162:C *1175:A 0.000293493
6 *197:13 *1147:A 0
7 *197:13 *1150:A_N 6.19932e-05
8 *197:13 *1161:A 0.000351958
9 *197:13 *1169:A 0.000127601
10 *197:13 *1169:B 4.35263e-05
11 *197:13 *1185:B 0.000369394
12 *197:13 *1330:A 0.000106166
13 *197:13 *201:16 0.000115935
14 *197:13 *205:8 2.79534e-05
15 *197:13 *318:10 0
16 io_oeb[30] *1162:C 0.000111273
17 io_oeb[32] *1162:C 4.58194e-05
18 io_oeb[32] *197:13 8.43535e-06
19 *348:DIODE *197:13 0
20 *1303:D *1162:C 0.000138881
21 *1306:D *1162:C 9.60875e-05
22 *1308:D *197:13 0.000615541
23 *16:18 *197:13 0.00111111
24 *53:17 *197:13 9.59532e-06
25 *92:14 *1162:C 5.38273e-05
26 *185:6 *197:13 0
*RES
1 *1161:Y *197:13 45.0143
2 *197:13 *1162:C 20.3714
*END
*D_NET *198 0.000824199
*CONN
*I *1163:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1162:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *1163:A 9.22037e-05
2 *1162:X 9.22037e-05
3 *1163:A *1175:A 0.000328601
4 *1162:C *1163:A 0.00031119
*RES
1 *1162:X *1163:A 22.3321
*END
*D_NET *199 0.0106104
*CONN
*I *1169:B I *D sky130_fd_sc_hd__or2_1
*I *1172:C I *D sky130_fd_sc_hd__and3_1
*I *1168:B I *D sky130_fd_sc_hd__and2_1
*I *1166:A_N I *D sky130_fd_sc_hd__and3b_1
*I *1164:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *1169:B 0.000381408
2 *1172:C 0
3 *1168:B 0.000411041
4 *1166:A_N 0.000194836
5 *1164:X 7.40966e-05
6 *199:34 0.000954489
7 *199:22 0.00181165
8 *199:6 0.00115573
9 *1166:A_N *1166:B 0.000154354
10 *1168:B *1149:B 0.000168579
11 *1168:B *1160:A 2.84109e-05
12 *1168:B *1160:B 3.94655e-05
13 *1168:B *1174:A_N 5.52238e-05
14 *1169:B *1169:A 9.41642e-05
15 *1169:B *1172:B 9.41642e-05
16 *1169:B *1173:A 7.69776e-06
17 *1169:B *1178:B 4.65158e-06
18 *199:6 *1164:A 6.88963e-05
19 *199:6 *1164:B 0.000122226
20 *199:6 *1164:C 1.01075e-05
21 *199:22 *1151:A1 0.000153064
22 *199:22 *1164:A 1.85914e-05
23 *199:22 *1164:C 3.47641e-06
24 *199:22 *1306:CLK 0.000284051
25 *199:22 *201:16 2.07233e-05
26 *199:22 *293:10 4.9663e-06
27 *199:22 *295:25 0.000263551
28 *199:22 *324:30 8.58829e-05
29 *199:34 *1172:A 8.6229e-06
30 *199:34 *1174:A_N 1.0562e-05
31 io_oeb[21] *199:34 0.000848209
32 io_oeb[26] *1168:B 0.000247074
33 io_oeb[26] *199:34 5.7993e-06
34 io_oeb[30] *199:22 0.000196316
35 io_out[27] *1168:B 1.85914e-05
36 io_out[27] *199:34 2.14879e-05
37 io_out[30] *199:22 3.51908e-05
38 *1162:A *1166:A_N 0.000135028
39 *1308:D *1169:B 1.31746e-05
40 *1308:D *199:22 5.41797e-05
41 *21:22 *1168:B 0.000187289
42 *22:18 *1169:B 1.20117e-05
43 *24:15 *199:22 0
44 *25:16 *1169:B 5.37934e-05
45 *25:16 *199:22 0.00122424
46 *133:31 *199:34 6.90381e-06
47 *177:20 *199:34 0.000302547
48 *194:18 *199:22 0.000130334
49 *194:19 *1166:A_N 0.000291957
50 *196:12 *1168:B 9.80173e-05
51 *197:13 *1169:B 4.35263e-05
*RES
1 *1164:X *199:6 15.7464
2 *199:6 *1166:A_N 18.4429
3 *199:6 *199:22 12.4478
4 *199:22 *199:34 17.1443
5 *199:34 *1168:B 27.6393
6 *199:34 *1172:C 9.3
7 *199:22 *1169:B 19.8265
*END
*D_NET *200 0.000635941
*CONN
*I *1166:B I *D sky130_fd_sc_hd__and3b_1
*I *1165:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *1166:B 0.000171802
2 *1165:X 0.000171802
3 *1166:B *296:34 0.000137983
4 *1166:A_N *1166:B 0.000154354
*RES
1 *1165:X *1166:B 22.0286
*END
*D_NET *201 0.00549345
*CONN
*I *1167:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1166:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *1167:A 0.000296761
2 *1166:X 0.00121006
3 *201:16 0.00150682
4 *1167:A *1176:A 5.49544e-05
5 *201:16 *1164:A 9.25014e-06
6 *201:16 *1176:A 0.000190121
7 *201:16 *1306:CLK 0.000134268
8 *201:16 *205:8 5.16877e-05
9 *201:16 *295:25 2.72887e-05
10 *201:16 *296:19 9.56348e-05
11 io_out[31] *1167:A 0.00080105
12 *1158:B *201:16 0.000180501
13 *1302:D *201:16 0.00019712
14 *1306:D *201:16 1.62476e-05
15 *59:14 *201:16 0.000177821
16 *132:19 *1167:A 0.000311124
17 *194:19 *201:16 9.60939e-05
18 *197:13 *201:16 0.000115935
19 *199:22 *201:16 2.07233e-05
*RES
1 *1166:X *201:16 44.4786
2 *201:16 *1167:A 17.6571
*END
*D_NET *202 0.00524332
*CONN
*I *1173:B I *D sky130_fd_sc_hd__or2_1
*I *1170:A_N I *D sky130_fd_sc_hd__and3b_1
*I *1168:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *1173:B 0.000906632
2 *1170:A_N 0.000153282
3 *1168:X 0.000435299
4 *202:12 0.00149521
5 *1170:A_N *1172:B 0.000243561
6 *1173:B *1178:C 0.00062464
7 *1173:B *1185:A 2.12933e-05
8 *1173:B *294:30 0.000222666
9 *1173:B *316:15 6.26726e-05
10 *202:12 *1172:B 0.000193682
11 *202:12 *1174:A_N 1.0095e-06
12 *202:12 *206:15 0.00022729
13 io_out[29] *1173:B 5.10014e-05
14 *14:19 *1173:B 0.000259304
15 *21:22 *202:12 0
16 *22:18 *1170:A_N 0.000102494
17 *22:18 *202:12 4.61027e-05
18 *60:22 *1173:B 7.33804e-05
19 *95:17 *1170:A_N 0.0001238
*RES
1 *1168:X *202:12 20.5143
2 *202:12 *1170:A_N 17.2643
3 *202:12 *1173:B 37.55
*END
*D_NET *203 0.00252213
*CONN
*I *1170:B I *D sky130_fd_sc_hd__and3b_1
*I *1169:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *1170:B 0.000792405
2 *1169:X 0.000792405
3 *1170:B *1169:A 7.95917e-06
4 *1170:B *1173:A 0.000120267
5 *1170:B *1178:B 0
6 *1170:B *316:15 3.22304e-05
7 *346:DIODE *1170:B 7.80851e-06
8 *1155:A1 *1170:B 8.29168e-05
9 *1155:A2 *1170:B 1.01487e-05
10 *1308:D *1170:B 6.52967e-05
11 *16:18 *1170:B 9.58181e-05
12 *22:18 *1170:B 2.95076e-06
13 *24:15 *1170:B 1.90936e-05
14 *60:22 *1170:B 6.21188e-05
15 *192:17 *1170:B 0.000430716
*RES
1 *1169:X *1170:B 38.5821
*END
*D_NET *204 0.00705203
*CONN
*I *1171:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1170:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *1171:A 0.00014621
2 *1170:X 0.00153635
3 *204:8 0.00168256
4 *204:8 *1305:CLK 2.89114e-05
5 *204:8 *212:15 0.00159347
6 *204:8 *294:7 0.000267731
7 *1305:D *204:8 3.32132e-06
8 *60:22 *204:8 0.000123861
9 *128:10 *204:8 0.00163484
10 *193:21 *204:8 3.47826e-05
*RES
1 *1170:X *204:8 47.1214
2 *204:8 *1171:A 15.9786
*END
*D_NET *205 0.0113525
*CONN
*I *1174:A_N I *D sky130_fd_sc_hd__and3b_1
*I *1176:B I *D sky130_fd_sc_hd__or2_1
*I *1180:C I *D sky130_fd_sc_hd__and3_1
*I *1177:B I *D sky130_fd_sc_hd__nand2_1
*I *1172:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *1174:A_N 0.000769427
2 *1176:B 0.000171721
3 *1180:C 0.000113648
4 *1177:B 0.000465638
5 *1172:X 0
6 *205:12 0.000749206
7 *205:8 0.000908086
8 *205:5 0.00133587
9 *1174:A_N *1149:B 0.000207621
10 *1174:A_N *1172:A 9.10431e-06
11 *1174:A_N *1172:B 6.1684e-05
12 *1174:A_N *1174:B 0.000168489
13 *1174:A_N *1193:B 3.54964e-05
14 *1174:A_N *1194:B 1.58163e-05
15 *1174:A_N *1202:C 0
16 *1174:A_N *206:15 0.000397743
17 *1174:A_N *207:13 9.61455e-05
18 *1176:B *1176:A 0.000450908
19 *1176:B *292:77 0.000256709
20 *1176:B *295:44 8.34418e-05
21 *1177:B *1145:A 0
22 *1177:B *209:11 0.000415481
23 *1180:C *1181:A 0.000145101
24 *1180:C *292:45 6.94118e-05
25 *205:8 *1150:A_N 0.000701339
26 *205:8 *1169:A 9.21418e-06
27 *205:8 *1172:A 3.81531e-05
28 *205:8 *1172:B 4.86284e-05
29 *205:12 *1158:A_N 0.000129248
30 *205:12 *1176:A 0.000303542
31 *205:12 *292:77 9.60939e-05
32 io_oeb[32] *1177:B 0.000136249
33 io_out[30] *1177:B 6.07328e-05
34 io_out[30] *205:12 7.73993e-05
35 *1153:B1 *205:8 0.000562166
36 *1159:A *1177:B 0.000321916
37 *1168:B *1174:A_N 5.52238e-05
38 *1174:C *1174:A_N 0.000351156
39 *1178:A *1174:A_N 0
40 *1308:D *1176:B 5.35457e-05
41 *1314:D *1174:A_N 9.87983e-06
42 *1351:A *1177:B 2.27304e-05
43 *21:22 *1174:A_N 0
44 *21:22 *205:12 1.53472e-05
45 *25:16 *1176:B 5.45727e-06
46 *26:11 *1177:B 3.06466e-05
47 *26:11 *1180:C 5.33005e-05
48 *26:11 *205:12 1.04707e-05
49 *27:8 *1177:B 6.82648e-05
50 *177:20 *1177:B 0.000606562
51 *177:20 *205:12 0.000113285
52 *185:6 *205:8 0.000362791
53 *194:47 *1180:C 1.88218e-05
54 *194:82 *1174:A_N 7.2394e-05
55 *197:13 *205:8 2.79534e-05
56 *199:34 *1174:A_N 1.0562e-05
57 *201:16 *205:8 5.16877e-05
58 *202:12 *1174:A_N 1.0095e-06
*RES
1 *1172:X *205:5 13.8
2 *205:5 *205:8 18.5893
3 *205:8 *205:12 9.75
4 *205:12 *1177:B 28.6214
5 *205:12 *1180:C 16.4384
6 *205:8 *1176:B 23.7464
7 *205:5 *1174:A_N 32.0143
*END
*D_NET *206 0.00686215
*CONN
*I *1174:B I *D sky130_fd_sc_hd__and3b_1
*I *1173:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *1174:B 0.000679539
2 *1173:X 0.00127127
3 *206:15 0.00195081
4 *1174:B *1149:B 0.000347928
5 *206:15 *1157:A 9.84482e-05
6 *206:15 *1271:A 0.000303865
7 *206:15 *1301:CLK 2.14658e-05
8 *206:15 *222:57 1.01241e-05
9 *206:15 *228:16 3.49571e-05
10 io_oeb[20] *206:15 0.00030168
11 *1174:A_N *1174:B 0.000168489
12 *1174:A_N *206:15 0.000397743
13 *1178:A *206:15 4.17433e-05
14 *1301:D *206:15 0.000218685
15 *14:19 *206:15 1.70089e-05
16 *60:22 *206:15 4.84319e-05
17 *95:17 *206:15 0.000245793
18 *157:26 *206:15 7.6644e-05
19 *194:82 *206:15 0.000400221
20 *202:12 *206:15 0.00022729
*RES
1 *1173:X *206:15 41.6929
2 *206:15 *1174:B 17.6393
*END
*D_NET *207 0.00815379
*CONN
*I *1175:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1174:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *1175:A 0.000919501
2 *1174:X 0.000511833
3 *207:13 0.00143133
4 *1175:A *1179:A 0.00156246
5 *1175:A *218:17 0.000129344
6 *207:13 *212:15 0.00024814
7 *207:13 *324:78 4.46186e-06
8 *207:13 *324:87 0.000124223
9 io_oeb[30] *1175:A 5.49544e-05
10 *1162:A *1175:A 1.92905e-05
11 *1162:B *1175:A 0.000225616
12 *1162:C *1175:A 0.000293493
13 *1163:A *1175:A 0.000328601
14 *1174:A_N *207:13 9.61455e-05
15 *1174:C *207:13 9.60939e-05
16 *92:14 *1175:A 0.00030173
17 *128:10 *1175:A 0.00180051
18 *196:12 *207:13 6.05161e-06
*RES
1 *1174:X *207:13 31.55
2 *207:13 *1175:A 48.7464
*END
*D_NET *208 0.00179478
*CONN
*I *1178:B I *D sky130_fd_sc_hd__and3_1
*I *1176:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *1178:B 0.000516004
2 *1176:X 0.000516004
3 *1178:B *1173:A 0
4 *1178:B *295:44 0
5 *1178:B *309:10 0.000635142
6 *1178:B *316:15 0
7 io_out[29] *1178:B 5.20669e-05
8 *346:DIODE *1178:B 6.32185e-05
9 *1169:B *1178:B 4.65158e-06
10 *1170:B *1178:B 0
11 *22:18 *1178:B 7.69776e-06
*RES
1 *1176:X *1178:B 37.1714
*END
*D_NET *209 0.00849572
*CONN
*I *1178:C I *D sky130_fd_sc_hd__and3_1
*I *1177:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *1178:C 0.000878897
2 *1177:Y 0.000962995
3 *209:11 0.00184189
4 *1178:C *1185:A 0.00152142
5 *1178:C *214:15 2.49484e-05
6 *1178:C *323:8 0
7 *209:11 *311:13 0.0003693
8 io_out[29] *1178:C 0.000415121
9 *341:DIODE *1178:C 0
10 *346:DIODE *1178:C 0.000778463
11 *348:DIODE *209:11 0.000100262
12 *1173:B *1178:C 0.00062464
13 *1177:B *209:11 0.000415481
14 *1351:A *209:11 0.000407315
15 *17:14 *1178:C 0.000109321
16 *18:18 *1178:C 0
17 *60:22 *1178:C 4.56587e-05
*RES
1 *1177:Y *209:11 29.675
2 *209:11 *1178:C 41.2464
*END
*D_NET *210 0.0104426
*CONN
*I *1179:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1178:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *1179:A 0.000498061
2 *1178:X 0.00108594
3 *210:17 0.001584
4 *1179:A *218:17 0.00223288
5 *210:17 *212:15 1.94945e-05
6 *210:17 *316:15 2.06112e-05
7 io_oeb[25] *210:17 1.42701e-05
8 io_oeb[29] *210:17 0.000470297
9 io_out[27] *210:17 0.000106105
10 io_out[29] *210:17 0.00189626
11 *1175:A *1179:A 0.00156246
12 *18:13 *210:17 0.000102952
13 *95:17 *210:17 0.000444059
14 *128:10 *1179:A 0.000179332
15 *128:10 *210:17 2.09897e-05
16 *130:7 *1179:A 0.000190848
17 *130:10 *1179:A 1.41029e-05
*RES
1 *1178:X *210:17 34.8928
2 *210:17 *1179:A 41.0143
*END
*D_NET *211 0.00111436
*CONN
*I *1181:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1180:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *1181:A 0.000266778
2 *1180:X 0.000266778
3 *1181:A *313:10 0.000226507
4 *1180:C *1181:A 0.000145101
5 *26:11 *1181:A 0.000200133
6 *194:47 *1181:A 9.05976e-06
*RES
1 *1180:X *1181:A 24.5107
*END
*D_NET *212 0.00825708
*CONN
*I *1183:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1182:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *1183:A 0
2 *1182:X 0.00038444
3 *212:15 0.00110382
4 *212:9 0.00148826
5 *212:9 *1198:A_N 0.000480543
6 *212:15 *1152:A 0.000178459
7 *212:15 *324:78 1.09315e-05
8 *212:15 *324:87 2.83786e-05
9 *128:7 *212:15 0.000114665
10 *128:10 *212:15 0.000510233
11 *183:11 *212:15 0
12 *190:8 *212:15 0.000363837
13 *196:12 *212:15 0.0017324
14 *204:8 *212:15 0.00159347
15 *207:13 *212:15 0.00024814
16 *210:17 *212:15 1.94945e-05
*RES
1 *1182:X *212:9 20.5143
2 *212:9 *212:15 43.9107
3 *212:15 *1183:A 9.3
*END
*D_NET *213 0.00161082
*CONN
*I *1186:B I *D sky130_fd_sc_hd__and3_1
*I *1184:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *1186:B 0.000373528
2 *1184:X 0.000373528
3 *1186:B *1184:A 2.42516e-05
4 *1186:B *1184:B 6.05161e-06
5 *1186:B *1185:A 2.79421e-05
6 *1186:B *1270:A 3.69047e-06
7 *1186:B *222:57 0.0003919
8 io_oeb[26] *1186:B 3.22663e-05
9 *14:19 *1186:B 1.01241e-05
10 *156:13 *1186:B 0.000367537
*RES
1 *1184:X *1186:B 35.8857
*END
*D_NET *214 0.00839529
*CONN
*I *1186:C I *D sky130_fd_sc_hd__and3_1
*I *1185:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *1186:C 0.000582662
2 *1185:Y 0.00118817
3 *214:15 0.00177083
4 *1186:C *1185:B 0.000346792
5 *1186:C *228:16 1.96911e-05
6 *1186:C *284:48 9.60875e-05
7 *1186:C *285:33 0.000324437
8 *1186:C *309:10 0.00042102
9 *1186:C *309:12 8.31805e-05
10 *1186:C *326:36 6.74046e-05
11 *214:15 *1185:A 0.00116084
12 *214:15 *1185:B 0.000220008
13 *214:15 *1331:A 8.45131e-05
14 *214:15 *316:15 0.000137561
15 *214:15 *323:8 0.000243148
16 *343:DIODE *214:15 0.000509472
17 *1178:C *214:15 2.49484e-05
18 *1186:A *1186:C 3.98517e-05
19 *17:14 *214:15 0.000253911
20 *20:14 *214:15 4.5534e-05
21 *60:22 *214:15 7.03809e-05
22 *92:14 *214:15 0.00070484
*RES
1 *1185:Y *214:15 46.6929
2 *214:15 *1186:C 30.8357
*END
*D_NET *215 0.00646116
*CONN
*I *1187:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1186:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *1187:A 0.000366618
2 *1186:X 0.00107577
3 *215:16 0.00144239
4 *1187:A *1215:A 0.000138881
5 *1187:A *1254:A3 0.000218968
6 *1187:A *1255:A 0.00013833
7 *1187:A *226:17 0
8 *1187:A *245:15 0.000358279
9 *1187:A *305:14 0.000360196
10 *215:16 *1157:A 1.32681e-05
11 *215:16 *1222:A_N 8.68315e-06
12 *215:16 *228:16 4.746e-05
13 io_out[20] *215:16 0
14 io_out[27] *1187:A 1.02504e-05
15 *1317:D *215:16 5.49489e-05
16 *1320:D *1187:A 0.000100969
17 *15:27 *215:16 5.41794e-05
18 *90:22 *215:16 9.20378e-05
19 *129:14 *1187:A 0.000862174
20 *129:14 *215:16 0.000948352
21 *133:31 *1187:A 5.33005e-05
22 *133:31 *215:16 5.41794e-05
23 *141:11 *1187:A 6.19181e-05
*RES
1 *1186:X *215:16 42.3357
2 *215:16 *1187:A 32.6036
*END
*D_NET *216 0.00475357
*CONN
*I *1196:C I *D sky130_fd_sc_hd__and3_1
*I *1192:B I *D sky130_fd_sc_hd__and2_1
*I *1190:A_N I *D sky130_fd_sc_hd__and3b_1
*I *1193:B I *D sky130_fd_sc_hd__or2_1
*I *1188:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *1196:C 0.000139976
2 *1192:B 0
3 *1190:A_N 0
4 *1193:B 0.000401625
5 *1188:X 0.000175355
6 *216:9 0.00060116
7 *216:8 0.000199535
8 *216:6 0.000315331
9 *1193:B *1193:A 0.000498484
10 *1193:B *1204:A 0
11 *1193:B *285:22 9.58181e-05
12 *1196:C *1218:A_N 0.000120814
13 *1196:C *1218:B 0.000192983
14 *1196:C *222:10 3.89032e-05
15 *1196:C *222:57 8.25843e-06
16 *1196:C *285:64 0.000239157
17 *1196:C *309:12 1.11958e-05
18 *216:6 *285:25 7.72473e-05
19 *216:6 *285:64 5.37664e-05
20 *216:6 *289:65 7.28087e-05
21 *216:6 *309:12 1.14483e-05
22 *216:6 *326:36 0.000104778
23 *216:9 *1192:A 0.000226835
24 *216:9 *1200:A 5.33005e-05
25 *216:9 *1200:B 5.49489e-05
26 *216:9 *219:5 9.77264e-06
27 *216:9 *222:28 0.000106992
28 *216:9 *285:22 0.000362523
29 *216:9 *285:64 5.03772e-05
30 *1174:A_N *1193:B 3.54964e-05
31 *1194:C *1193:B 5.48198e-05
32 *1204:B *1193:B 6.01416e-05
33 *1314:D *1193:B 9.66977e-05
34 *1314:D *216:9 0.000216755
35 *21:22 *1193:B 0
36 *181:32 *1193:B 2.03618e-05
37 *181:62 *1193:B 4.59085e-05
*RES
1 *1188:X *216:6 17.8714
2 *216:6 *216:8 4.5
3 *216:8 *216:9 6.69643
4 *216:9 *1193:B 27.2018
5 *216:9 *1190:A_N 9.3
6 *216:8 *1192:B 9.3
7 *216:6 *1196:C 18.175
*END
*D_NET *217 0.000713316
*CONN
*I *1190:B I *D sky130_fd_sc_hd__and3b_1
*I *1189:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *1190:B 0.000201186
2 *1189:X 0.000201186
3 *1190:B *1204:A 7.83587e-05
4 *1190:B *218:11 5.52302e-05
5 *1190:B *289:44 3.42042e-05
6 *1194:C *1190:B 0
7 *1314:D *1190:B 4.88637e-05
8 *15:26 *1190:B 9.42874e-05
*RES
1 *1189:X *1190:B 31.5107
*END
*D_NET *218 0.0106458
*CONN
*I *1191:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1190:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *1191:A 0
2 *1190:X 0.00101044
3 *218:17 0.000999392
4 *218:11 0.00200983
5 *218:11 *1314:CLK 1.92789e-05
6 *1175:A *218:17 0.000129344
7 *1179:A *218:17 0.00223288
8 *1190:B *218:11 5.52302e-05
9 *1314:D *218:11 0.000694108
10 *128:10 *218:17 0.000305908
11 *130:7 *218:17 0.000137647
12 *130:10 *218:17 0.00295075
13 *182:8 *218:17 0.00010096
*RES
1 *1190:X *218:11 28.8536
2 *218:11 *218:17 48.4821
3 *218:17 *1191:A 9.3
*END
*D_NET *219 0.0038131
*CONN
*I *1197:B I *D sky130_fd_sc_hd__or2_1
*I *1194:A_N I *D sky130_fd_sc_hd__and3b_1
*I *1192:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *1197:B 0.00022964
2 *1194:A_N 0.000485537
3 *1192:X 0.000226924
4 *219:5 0.0009421
5 *1194:A_N *1193:A 0.00014491
6 *1194:A_N *222:28 0.000260574
7 *1194:A_N *287:20 0.000322404
8 *1197:B *1200:A 1.90936e-05
9 *1197:B *1200:B 1.85539e-05
10 *1197:B *1202:C 0.00023433
11 *1197:B *222:10 6.8646e-06
12 *1197:B *286:16 0.000233298
13 *1197:B *309:12 0
14 *219:5 *1192:A 7.24711e-05
15 *219:5 *222:28 0.000127651
16 *1194:C *1194:A_N 0.000255894
17 *1310:D *1197:B 0.000166718
18 *1314:D *1194:A_N 4.22349e-05
19 *1314:D *1197:B 1.41295e-05
20 *216:9 *219:5 9.77264e-06
*RES
1 *1192:X *219:5 12.3
2 *219:5 *1194:A_N 27.9071
3 *219:5 *1197:B 24.1929
*END
*D_NET *220 0.00281212
*CONN
*I *1194:B I *D sky130_fd_sc_hd__and3b_1
*I *1193:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *1194:B 0.000505305
2 *1193:X 0.000505305
3 *1194:B *1197:A 0.000521363
4 *1194:B *1218:A_N 8.48853e-05
5 *1194:B *222:28 1.721e-05
6 *1194:B *288:34 0.000112188
7 io_out[28] *1194:B 0.0001417
8 *1174:A_N *1194:B 1.58163e-05
9 *1295:B1 *1194:B 7.72966e-05
10 *1314:D *1194:B 4.34538e-05
11 *134:20 *1194:B 0.0007876
*RES
1 *1193:X *1194:B 41.3143
*END
*D_NET *221 0.00963107
*CONN
*I *1195:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1194:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *1195:A 0.000856642
2 *1194:X 0.00142008
3 *221:13 0.00227672
4 *1195:A *1216:A 0.000379775
5 *1195:A *1255:A 0
6 *1195:A *1289:A_N 0.000152693
7 *1195:A *226:17 1.90936e-05
8 *1195:A *229:11 1.34947e-05
9 *1195:A *241:17 0
10 *1195:A *282:11 0.000691419
11 *1195:A *326:22 0.00012401
12 *221:13 *1218:B 0.000317198
13 *221:13 *1272:A1 0.000707312
14 *221:13 *1288:A 2.27766e-05
15 *221:13 *1290:A_N 3.32631e-05
16 *221:13 *235:24 0.000340987
17 *221:13 *285:64 0.000244795
18 *338:DIODE *1195:A 0.000173097
19 *347:DIODE *1195:A 0.000141555
20 *1291:C1 *221:13 7.44399e-05
21 *1316:D *1195:A 0.000237062
22 *15:27 *1195:A 0.000574043
23 *19:18 *221:13 0.000262453
24 *131:13 *1195:A 5.33005e-05
25 *138:22 *1195:A 0
26 *168:48 *221:13 0.000149826
27 *169:23 *221:13 0.000113113
28 *170:23 *221:13 4.7312e-05
29 *172:29 *221:13 0.000204604
*RES
1 *1194:X *221:13 32.0554
2 *221:13 *1195:A 36.0768
*END
*D_NET *222 0.0135665
*CONN
*I *1201:B I *D sky130_fd_sc_hd__nand2_1
*I *1204:C I *D sky130_fd_sc_hd__and3_1
*I *1198:A_N I *D sky130_fd_sc_hd__and3b_1
*I *1200:B I *D sky130_fd_sc_hd__or2_1
*I *1196:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *1201:B 0.000234556
2 *1204:C 0
3 *1198:A_N 0.000583732
4 *1200:B 5.92648e-05
5 *1196:X 0
6 *222:57 0.0017615
7 *222:28 0.00104963
8 *222:10 0.000740896
9 *222:4 0.00174268
10 *1198:A_N *1182:A_N 0.000230432
11 *1198:A_N *1198:B 5.33063e-05
12 *1198:A_N *284:37 0.000200319
13 *1200:B *1192:A 3.27461e-05
14 *1200:B *1200:A 7.69776e-06
15 *1200:B *285:22 5.60962e-05
16 *1200:B *309:12 1.0356e-05
17 *1201:B *1333:A 9.60875e-05
18 *222:10 *1192:A 0.000139851
19 *222:10 *1218:A_N 4.44214e-05
20 *222:28 *1193:A 0.000165027
21 *222:28 *1202:B 0.000192512
22 *222:28 *284:37 0.000211201
23 *222:57 *1218:A_N 2.88188e-05
24 *222:57 *1222:A_N 0.000437357
25 *222:57 *1225:B 6.02722e-05
26 *222:57 *1270:A 6.69444e-05
27 *222:57 *1271:A 6.57032e-05
28 *222:57 *226:17 0.000604727
29 *222:57 *229:11 0.000223745
30 *222:57 *298:16 0.000145399
31 *222:57 *305:14 0.000361213
32 io_oeb[26] *222:57 1.96616e-05
33 io_out[28] *222:28 8.94561e-05
34 *1182:B *1198:A_N 0.000353079
35 *1186:B *222:57 0.0003919
36 *1194:A_N *222:28 0.000260574
37 *1194:B *222:28 1.721e-05
38 *1196:C *222:10 3.89032e-05
39 *1196:C *222:57 8.25843e-06
40 *1197:B *1200:B 1.85539e-05
41 *1197:B *222:10 6.8646e-06
42 *1295:A1 *222:10 0.000178425
43 *1314:D *222:28 0.000175892
44 *1316:D *222:57 2.36643e-05
45 *13:16 *222:57 0.000129147
46 *134:20 *222:28 0.000333797
47 *139:13 *1201:B 7.89704e-05
48 *156:13 *222:57 5.23098e-05
49 *157:26 *222:57 0.000837096
50 *172:14 *222:57 0.000145399
51 *172:29 *222:10 2.06178e-05
52 *206:15 *222:57 1.01241e-05
53 *212:9 *1198:A_N 0.000480543
54 *216:9 *1200:B 5.49489e-05
55 *216:9 *222:28 0.000106992
56 *219:5 *222:28 0.000127651
*RES
1 *1196:X *222:4 9.3
2 *222:4 *222:10 9.35714
3 *222:10 *1200:B 15.3714
4 *222:10 *222:28 25.5804
5 *222:28 *1198:A_N 21.8982
6 *222:28 *1204:C 9.3
7 *222:4 *222:57 47.4286
8 *222:57 *1201:B 17.7821
*END
*D_NET *223 0.00269485
*CONN
*I *1198:B I *D sky130_fd_sc_hd__and3b_1
*I *1197:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *1198:B 0.000892806
2 *1197:X 0.000892806
3 *1198:B *1182:A_N 0.00012306
4 *1198:B *224:19 0.000224583
5 *1198:B *228:16 0.000222244
6 *1198:B *284:37 0.000220255
7 *1182:B *1198:B 6.57914e-05
8 *1198:A_N *1198:B 5.33063e-05
*RES
1 *1197:X *1198:B 32.0107
*END
*D_NET *224 0.0102674
*CONN
*I *1199:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1198:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *1199:A 0.000834425
2 *1198:X 0.0019162
3 *224:19 0.00275062
4 *1199:A *1203:A 0.000681498
5 *1199:A *1285:A 8.24212e-05
6 *1199:A *1289:A_N 0
7 *1199:A *241:17 0
8 *1199:A *313:10 1.87585e-05
9 *224:19 *1204:A 5.9301e-05
10 *224:19 *285:33 6.35412e-05
11 *224:19 *326:27 2.24048e-05
12 io_oeb[26] *224:19 3.7154e-05
13 io_oeb[27] *224:19 6.84401e-05
14 io_out[28] *224:19 0.000243301
15 *347:DIODE *1199:A 0.000195412
16 *1182:B *224:19 0.000226507
17 *1198:B *224:19 0.000224583
18 *1310:D *224:19 0.000421498
19 *1313:D *224:19 3.87616e-05
20 *13:16 *1199:A 0.000217644
21 *15:26 *224:19 0.00057114
22 *21:22 *224:19 0.000127624
23 *97:17 *224:19 0.00139535
24 *170:13 *1199:A 7.08181e-05
*RES
1 *1198:X *224:19 44.8137
2 *224:19 *1199:A 31.4161
*END
*D_NET *225 0.00110005
*CONN
*I *1202:B I *D sky130_fd_sc_hd__and3_1
*I *1200:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *1202:B 0.000248276
2 *1200:X 0.000248276
3 *1202:B *1193:A 0.000194234
4 *1202:B *285:22 0.000216755
5 *222:28 *1202:B 0.000192512
*RES
1 *1200:X *1202:B 32.3321
*END
*D_NET *226 0.00800736
*CONN
*I *1202:C I *D sky130_fd_sc_hd__and3_1
*I *1201:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *1202:C 0.000502213
2 *1201:Y 0.00193791
3 *226:17 0.00244013
4 *1202:C *1188:C 0.000349594
5 *1202:C *1189:B1 0.000243405
6 *1202:C *227:13 0.000257619
7 *1202:C *309:12 0
8 *226:17 *1333:A 2.05938e-05
9 *226:17 *229:11 9.60337e-06
10 *226:17 *243:15 5.10822e-05
11 *226:17 *245:15 0.000178847
12 *226:17 *305:14 8.43535e-06
13 io_out[27] *226:17 0.000404593
14 *1174:A_N *1202:C 0
15 *1178:A *1202:C 0
16 *1187:A *226:17 0
17 *1195:A *226:17 1.90936e-05
18 *1197:B *1202:C 0.00023433
19 *1310:D *1202:C 5.46313e-05
20 *1314:D *1202:C 2.45348e-05
21 *1316:D *226:17 5.33005e-05
22 *15:26 *226:17 0.000127429
23 *133:31 *226:17 0.000331569
24 *138:22 *226:17 5.65955e-05
25 *141:11 *226:17 9.71197e-05
26 *222:57 *226:17 0.000604727
*RES
1 *1201:Y *226:17 43.1492
2 *226:17 *1202:C 24.6304
*END
*D_NET *227 0.00769545
*CONN
*I *1203:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1202:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *1203:A 0.000631016
2 *1202:X 0.00130663
3 *227:13 0.00193765
4 *1203:A *1254:A2 0.00012401
5 *1203:A *1270:A 0.000489711
6 *227:13 *1188:C 1.98839e-05
7 *227:13 *1211:A 0
8 *227:13 *1254:A2 4.58194e-05
9 *227:13 *1297:A 0.000119109
10 io_out[20] *227:13 7.90727e-05
11 *337:DIODE *1203:A 0.000535955
12 *1186:A *227:13 1.35121e-05
13 *1199:A *1203:A 0.000681498
14 *1202:C *227:13 0.000257619
15 *1300:D *227:13 0.000294372
16 *13:16 *1203:A 1.96282e-05
17 *57:16 *1203:A 0.000231277
18 *157:26 *227:13 2.65839e-05
19 *170:13 *1203:A 0.000867481
20 *181:42 *227:13 1.46231e-05
*RES
1 *1202:X *227:13 39.2821
2 *227:13 *1203:A 34.6571
*END
*D_NET *228 0.00689854
*CONN
*I *1205:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1204:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *1205:A 2.76557e-05
2 *1204:X 0.00152287
3 *228:16 0.00155053
4 *1205:A *1285:A 2.89016e-05
5 *228:16 *1197:A 1.07719e-05
6 *228:16 *1222:A_N 0.000108213
7 *228:16 *284:37 5.27199e-05
8 io_oeb[20] *228:16 0.000527224
9 io_oeb[27] *228:16 0.000720105
10 *346:DIODE *228:16 0
11 *1186:A *228:16 0.000369169
12 *1186:C *228:16 1.96911e-05
13 *1198:B *228:16 0.000222244
14 *18:18 *228:16 0.000741251
15 *19:18 *228:16 0.000110498
16 *95:17 *228:16 0.000804279
17 *206:15 *228:16 3.49571e-05
18 *215:16 *228:16 4.746e-05
*RES
1 *1204:X *228:16 47.2107
2 *228:16 *1205:A 14.3357
*END
*D_NET *229 0.00505583
*CONN
*I *1207:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1206:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *1207:A 0
2 *1206:X 0.000974867
3 *229:11 0.000974867
4 *229:11 *1216:B 0.000398293
5 *229:11 *1289:A_N 0.000185417
6 *229:11 *233:34 9.91086e-05
7 *229:11 *236:11 1.81805e-05
8 *229:11 *241:17 6.49564e-05
9 *229:11 *278:46 9.60875e-05
10 *229:11 *281:27 2.24195e-05
11 *229:11 *305:14 0.000225662
12 *229:11 *326:22 0.000151333
13 *229:11 *326:76 0.000188206
14 *1195:A *229:11 1.34947e-05
15 *1272:B1 *229:11 0.000126426
16 *1282:B1 *229:11 4.43256e-05
17 *1316:D *229:11 0.000995046
18 *157:31 *229:11 0.000243792
19 *222:57 *229:11 0.000223745
20 *226:17 *229:11 9.60337e-06
*RES
1 *1206:X *229:11 40.8536
2 *229:11 *1207:A 9.3
*END
*D_NET *230 0.00536841
*CONN
*I *1210:B I *D sky130_fd_sc_hd__and3_1
*I *1208:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *1210:B 0
2 *1208:X 0.00138905
3 *230:21 0.00138905
4 *230:21 *1220:B 0
5 *230:21 *1221:A 5.62139e-05
6 *230:21 *1277:A1 0.000223469
7 *230:21 *1283:A 0.000162863
8 *230:21 *235:24 0.00015307
9 *230:21 *278:66 2.16315e-05
10 *230:21 *326:7 0.00076229
11 *230:21 *333:11 1.07753e-05
12 io_out[18] *230:21 2.09266e-05
13 *1210:A *230:21 1.10868e-05
14 *1226:A *230:21 0.000160847
15 *1228:B *230:21 5.33005e-05
16 *1277:A2 *230:21 7.92229e-06
17 *1277:B1 *230:21 2.66089e-05
18 *1277:B2 *230:21 9.43803e-05
19 *1281:A2 *230:21 1.90936e-05
20 *1283:B *230:21 0.000306042
21 *49:19 *230:21 0.000376709
22 *138:22 *230:21 2.04825e-05
23 *168:84 *230:21 9.41642e-05
24 *172:29 *230:21 8.43535e-06
*RES
1 *1208:X *230:21 43.9999
2 *230:21 *1210:B 9.3
*END
*D_NET *231 0.00155397
*CONN
*I *1210:C I *D sky130_fd_sc_hd__and3_1
*I *1209:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *1210:C 0.0003085
2 *1209:Y 0.0003085
3 *1210:C *1209:B 0.000218409
4 *1210:C *1214:A_N 0.000170048
5 *1210:C *1221:A 0.000130952
6 *1210:C *236:11 0.000249233
7 *1317:D *1210:C 0.000168333
*RES
1 *1209:Y *1210:C 34.475
*END
*D_NET *232 0.00177545
*CONN
*I *1211:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1210:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *1211:A 0.000454755
2 *1210:X 0.000454755
3 *1211:A *1212:A 6.49987e-05
4 *1211:A *1221:A 2.2816e-05
5 *1211:A *1222:A_N 0.000176868
6 *1211:A *1222:B 5.47722e-05
7 io_out[20] *1211:A 2.50137e-05
8 *1317:D *1211:A 0.000443268
9 *97:17 *1211:A 7.82011e-05
10 *227:13 *1211:A 0
*RES
1 *1210:X *1211:A 45.9571
*END
*D_NET *233 0.00787992
*CONN
*I *1220:C I *D sky130_fd_sc_hd__and3_1
*I *1216:B I *D sky130_fd_sc_hd__and2_1
*I *1217:B I *D sky130_fd_sc_hd__or2_1
*I *1214:A_N I *D sky130_fd_sc_hd__and3b_1
*I *1212:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *1220:C 0.000272286
2 *1216:B 0.000179959
3 *1217:B 0
4 *1214:A_N 0.000881515
5 *1212:X 0
6 *233:34 0.0005956
7 *233:7 0.00120399
8 *233:4 0.000465829
9 *1214:A_N *1206:A_N 9.41642e-05
10 *1214:A_N *1212:A 0.000147334
11 *1214:A_N *1217:A 5.45307e-05
12 *1214:A_N *1218:B 9.83388e-05
13 *1214:A_N *1222:A_N 7.82506e-05
14 *1214:A_N *234:11 2.59355e-05
15 *1214:A_N *277:8 3.15529e-05
16 *1214:A_N *326:111 1.38167e-05
17 *1216:B *1254:A3 9.41642e-05
18 *1216:B *236:11 0.000355951
19 *1216:B *241:17 2.04644e-05
20 *1220:C *1228:C 6.82892e-05
21 *1220:C *1254:A1 4.17433e-05
22 *1220:C *1283:A 9.99853e-05
23 *1220:C *239:14 9.41642e-05
24 *1220:C *280:26 0.000135028
25 *1220:C *280:38 0.000630498
26 *1220:C *326:22 0.000136682
27 *233:7 *1209:B 9.66073e-06
28 *233:7 *1212:A 0.000180073
29 *233:7 *1212:C 8.08483e-05
30 *233:7 *1217:A 1.10868e-05
31 *233:34 *1212:C 0.000135028
32 *233:34 *236:11 8.98585e-05
33 io_oeb[20] *1214:A_N 0
34 *1210:C *1214:A_N 0.000170048
35 *1317:D *1214:A_N 8.15171e-05
36 *14:19 *1214:A_N 0
37 *90:22 *1214:A_N 0.000350932
38 *133:31 *1216:B 9.60875e-05
39 *156:13 *1214:A_N 5.41897e-05
40 *157:26 *1214:A_N 5.72597e-05
41 *157:31 *1214:A_N 5.96516e-05
42 *168:16 *1214:A_N 5.42698e-05
43 *168:21 *1214:A_N 0.000125886
44 *170:23 *1214:A_N 6.05161e-06
45 *229:11 *1216:B 0.000398293
46 *229:11 *233:34 9.91086e-05
*RES
1 *1212:X *233:4 9.3
2 *233:4 *233:7 4.25
3 *233:7 *1214:A_N 45.2286
4 *233:7 *1217:B 9.3
5 *233:4 *233:34 7.19643
6 *233:34 *1216:B 20.0321
7 *233:34 *1220:C 21.175
*END
*D_NET *234 0.00616912
*CONN
*I *1214:B I *D sky130_fd_sc_hd__and3b_1
*I *1213:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *1214:B 0
2 *1213:X 0.00220289
3 *234:11 0.00220289
4 *234:11 *1213:B1 0.000147446
5 *234:11 *1320:CLK 4.88515e-05
6 *234:11 *235:24 0.000135354
7 *234:11 *281:27 0.000267279
8 *234:11 *326:94 0.000192221
9 *234:11 *327:32 3.32631e-05
10 *1214:A_N *234:11 2.59355e-05
11 *141:11 *234:11 0.000195547
12 *164:17 *234:11 0.000521184
13 *168:84 *234:11 0.000196269
*RES
1 *1213:X *234:11 48.2107
2 *234:11 *1214:B 9.3
*END
*D_NET *235 0.00846623
*CONN
*I *1215:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1214:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *1215:A 3.52235e-05
2 *1214:X 0.0020694
3 *235:24 0.00210463
4 *1215:A *1254:A3 0.000136676
5 *235:24 *1222:B 0.000219785
6 *235:24 *1254:A3 2.26424e-05
7 *235:24 *1272:A1 9.51343e-06
8 *235:24 *241:17 0
9 *235:24 *243:15 0.000698176
10 *235:24 *280:6 2.57006e-05
11 *235:24 *326:27 0
12 *235:24 *326:94 4.24105e-05
13 *235:24 *326:111 0.000178847
14 *235:24 *327:20 0.000125685
15 io_out[20] *235:24 0
16 *1187:A *1215:A 0.000138881
17 *1280:B1 *235:24 4.3244e-05
18 *1281:A2 *235:24 2.18782e-05
19 *1317:D *235:24 0.000508902
20 *1321:D *235:24 0.000707315
21 *49:19 *235:24 0.000158823
22 *168:48 *235:24 0.000149826
23 *169:23 *235:24 2.85533e-05
24 *172:29 *235:24 0.000410711
25 *221:13 *235:24 0.000340987
26 *230:21 *235:24 0.00015307
27 *234:11 *235:24 0.000135354
*RES
1 *1214:X *235:24 49.2257
2 *235:24 *1215:A 10.6571
*END
*D_NET *236 0.00804852
*CONN
*I *1221:B I *D sky130_fd_sc_hd__or2_1
*I *1218:A_N I *D sky130_fd_sc_hd__and3b_1
*I *1216:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *1221:B 2.23854e-05
2 *1218:A_N 0.00116783
3 *1216:X 0.00102717
4 *236:11 0.00221739
5 *1218:A_N *1288:A 0.000406518
6 *1218:A_N *286:12 1.01241e-05
7 *1218:A_N *288:34 3.06511e-05
8 *1218:A_N *309:12 0
9 *1218:A_N *326:111 0.00018597
10 *236:11 *1221:A 6.3811e-05
11 *236:11 *1254:A3 5.52238e-05
12 *236:11 *241:17 0.000750875
13 *236:11 *326:22 0.000154762
14 *236:11 *326:76 0.000112188
15 io_oeb[21] *1218:A_N 6.905e-05
16 *1194:B *1218:A_N 8.48853e-05
17 *1196:C *1218:A_N 0.000120814
18 *1210:A *236:11 5.33005e-05
19 *1210:C *236:11 0.000249233
20 *1216:B *236:11 0.000355951
21 *1283:B *236:11 2.05612e-05
22 *1291:A2 *1218:A_N 3.08382e-06
23 *1291:B2 *1218:A_N 0.000167016
24 *1295:A1 *1218:A_N 6.8377e-06
25 *1295:A2 *1218:A_N 0.000127446
26 *1295:B1 *1218:A_N 4.18505e-05
27 *172:29 *1218:A_N 0.000142606
28 *172:29 *236:11 0.000219711
29 *222:10 *1218:A_N 4.44214e-05
30 *222:57 *1218:A_N 2.88188e-05
31 *229:11 *236:11 1.81805e-05
32 *233:34 *236:11 8.98585e-05
*RES
1 *1216:X *236:11 37.425
2 *236:11 *1218:A_N 38.55
3 *236:11 *1221:B 9.72857
*END
*D_NET *237 0.00370806
*CONN
*I *1218:B I *D sky130_fd_sc_hd__and3b_1
*I *1217:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *1218:B 0.00105711
2 *1217:X 0.00105711
3 *1218:B *1192:A 0.000138605
4 *1218:B *1209:B 9.26769e-05
5 *1218:B *1212:A 6.39425e-05
6 *1218:B *1288:B_N 0.000154127
7 *1218:B *238:20 0.000115699
8 *1218:B *285:64 3.34639e-05
9 *1218:B *309:12 0.000163426
10 *1218:B *326:111 2.79918e-05
11 *1196:C *1218:B 0.000192983
12 *1214:A_N *1218:B 9.83388e-05
13 *1295:A1 *1218:B 0.000166345
14 *14:19 *1218:B 0
15 *170:23 *1218:B 2.90437e-05
16 *221:13 *1218:B 0.000317198
*RES
1 *1217:X *1218:B 47.35
*END
*D_NET *238 0.00948274
*CONN
*I *1219:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1218:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *1219:A 0
2 *1218:X 0.00289148
3 *238:20 0.00289148
4 *238:20 *1289:A_N 0.00150793
5 *238:20 *298:16 0.00013248
6 *238:20 *327:20 0.00098656
7 io_out[25] *238:20 0.000657985
8 io_out[27] *238:20 1.57327e-05
9 *1218:B *238:20 0.000115699
10 *1282:B2 *238:20 0.000121549
11 *14:19 *238:20 3.91668e-05
12 *169:23 *238:20 0.000112278
13 *172:14 *238:20 1.03859e-05
*RES
1 *1218:X *238:20 41.2369
2 *238:20 *1219:A 9.3
*END
*D_NET *239 0.011359
*CONN
*I *1228:C I *D sky130_fd_sc_hd__and3_1
*I *1224:B I *D sky130_fd_sc_hd__or2_1
*I *1222:A_N I *D sky130_fd_sc_hd__and3b_1
*I *1225:B I *D sky130_fd_sc_hd__nand2_1
*I *1220:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *1228:C 0.00115368
2 *1224:B 0
3 *1222:A_N 0.000883798
4 *1225:B 0.000163768
5 *1220:X 0
6 *239:28 0.000958812
7 *239:14 0.0010724
8 *239:4 0.00198729
9 *1222:A_N *1254:A2 6.05161e-06
10 *1222:A_N *277:8 0.000181157
11 *1222:A_N *277:12 0.000354511
12 *1225:B *1225:A 0.000327613
13 *1225:B *305:14 3.18676e-05
14 *1228:C *1220:B 0.000387079
15 *1228:C *1274:B_N 0.000168733
16 *1228:C *1279:A1 0.000303368
17 *1228:C *278:52 1.11476e-05
18 *1228:C *280:38 4.32638e-05
19 *1228:C *299:8 7.6644e-05
20 *1228:C *328:38 6.97586e-05
21 *1228:C *328:48 2.06581e-05
22 *1228:C *333:11 0
23 *239:14 *1225:A 3.17148e-05
24 *239:14 *1254:A1 5.31855e-05
25 *239:14 *241:17 6.82922e-05
26 *239:14 *242:21 0.00010363
27 *239:14 *280:26 9.38921e-05
28 *239:14 *280:38 5.01338e-05
29 *239:28 *1224:A 5.33005e-05
30 *239:28 *1254:A2 4.43256e-05
31 io_oeb[20] *1222:A_N 6.47912e-05
32 *1211:A *1222:A_N 0.000176868
33 *1214:A_N *1222:A_N 7.82506e-05
34 *1220:C *1228:C 6.82892e-05
35 *1220:C *239:14 9.41642e-05
36 *1298:D *1228:C 1.64343e-05
37 *1317:D *1222:A_N 5.20751e-05
38 *1318:D *239:14 0.000119738
39 *1320:D *1228:C 7.6644e-05
40 *13:16 *1225:B 0.000303434
41 *13:16 *239:14 0.000161411
42 *49:19 *1228:C 0.000206022
43 *97:17 *1222:A_N 8.90724e-05
44 *138:22 *239:14 0.000180959
45 *157:26 *1222:A_N 0.000336966
46 *168:21 *1222:A_N 1.92789e-05
47 *215:16 *1222:A_N 8.68315e-06
48 *222:57 *1222:A_N 0.000437357
49 *222:57 *1225:B 6.02722e-05
50 *228:16 *1222:A_N 0.000108213
*RES
1 *1220:X *239:4 9.3
2 *239:4 *239:14 25.8571
3 *239:14 *1225:B 19.1393
4 *239:14 *239:28 5.85714
5 *239:28 *1222:A_N 37.8893
6 *239:28 *1224:B 9.3
7 *239:4 *1228:C 45.4786
*END
*D_NET *240 0.00186753
*CONN
*I *1222:B I *D sky130_fd_sc_hd__and3b_1
*I *1221:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *1222:B 0.000502674
2 *1221:X 0.000502674
3 *1222:B *1221:A 0.000178425
4 *1222:B *1272:A1 9.73239e-06
5 io_oeb[20] *1222:B 7.72966e-05
6 io_out[20] *1222:B 0
7 *1211:A *1222:B 5.47722e-05
8 *1317:D *1222:B 2.56182e-05
9 *90:22 *1222:B 7.5779e-05
10 *156:13 *1222:B 4.07975e-05
11 *168:33 *1222:B 5.33005e-05
12 *168:48 *1222:B 0.000126675
13 *235:24 *1222:B 0.000219785
*RES
1 *1221:X *1222:B 45.35
*END
*D_NET *241 0.00531564
*CONN
*I *1223:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1222:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *1223:A 0
2 *1222:X 0.00123589
3 *241:17 0.00123589
4 *241:17 *1225:A 0.000376238
5 *241:17 *1280:B2 7.48343e-05
6 *241:17 *1284:A 8.07313e-05
7 *241:17 *1289:A_N 5.20564e-05
8 *241:17 *278:46 7.29646e-05
9 *241:17 *280:21 8.76591e-06
10 *241:17 *326:22 2.2892e-05
11 *241:17 *326:27 1.01912e-05
12 *241:17 *326:76 9.40199e-05
13 io_out[20] *241:17 4.26825e-05
14 *1195:A *241:17 0
15 *1199:A *241:17 0
16 *1216:B *241:17 2.04644e-05
17 *1280:A2 *241:17 0.000111245
18 *1280:B1 *241:17 6.7347e-06
19 *1299:D *241:17 0.000290271
20 *13:16 *241:17 0.000188955
21 *57:16 *241:17 0.000142856
22 *91:17 *241:17 0.000283218
23 *169:23 *241:17 8.06096e-05
24 *229:11 *241:17 6.49564e-05
25 *235:24 *241:17 0
26 *236:11 *241:17 0.000750875
27 *239:14 *241:17 6.82922e-05
*RES
1 *1222:X *241:17 49.4406
2 *241:17 *1223:A 9.3
*END
*D_NET *242 0.00454935
*CONN
*I *1226:B I *D sky130_fd_sc_hd__and3_1
*I *1224:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *1226:B 0
2 *1224:X 0.000701045
3 *242:21 0.000701045
4 *242:21 *1220:B 0.000307325
5 *242:21 *1254:A2 0.000382133
6 *242:21 *1269:A 0.000266064
7 *242:21 *1279:A1 0.000117809
8 *242:21 *243:15 5.33005e-05
9 *242:21 *280:38 0.000528441
10 *242:21 *282:11 6.09572e-05
11 *242:21 *333:11 0.000338344
12 *1298:D *242:21 0.000100823
13 *5:33 *242:21 0.000123358
14 *97:17 *242:21 0.000425953
15 *138:22 *242:21 0.000339118
16 *239:14 *242:21 0.00010363
*RES
1 *1224:X *242:21 49.0321
2 *242:21 *1226:B 9.3
*END
*D_NET *243 0.00650989
*CONN
*I *1226:C I *D sky130_fd_sc_hd__and3_1
*I *1225:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *1226:C 0
2 *1225:Y 0.00137076
3 *243:15 0.00137076
4 *243:15 *1227:A 0.000262504
5 *243:15 *1333:A 0.000761748
6 *243:15 *305:14 0.00098518
7 *243:15 *326:7 0.000136958
8 *243:15 *327:20 0.000135968
9 *1277:B2 *243:15 0.000472734
10 *1277:C1 *243:15 3.88455e-05
11 *1281:A2 *243:15 0.000171886
12 *141:11 *243:15 0
13 *226:17 *243:15 5.10822e-05
14 *235:24 *243:15 0.000698176
15 *242:21 *243:15 5.33005e-05
*RES
1 *1225:Y *243:15 47.1393
2 *243:15 *1226:C 9.3
*END
*D_NET *244 0.00234224
*CONN
*I *1227:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1226:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *1227:A 0.000441566
2 *1226:X 0.000441566
3 *1227:A *1254:A0 0.000218542
4 *1227:A *1332:A 7.6644e-05
5 *1227:A *299:8 0.000113038
6 *1320:D *1227:A 0.000100864
7 *141:11 *1227:A 0.000687511
8 *243:15 *1227:A 0.000262504
*RES
1 *1226:X *1227:A 39.1179
*END
*D_NET *245 0.00399714
*CONN
*I *1229:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1228:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *1229:A 0
2 *1228:X 0.000965147
3 *245:15 0.000965147
4 *245:15 *1220:B 0.000581371
5 *245:15 *1247:A 0.000138131
6 *245:15 *305:14 0.000528856
7 *1187:A *245:15 0.000358279
8 *1277:C1 *245:15 7.55769e-05
9 *141:11 *245:15 4.72917e-05
10 *164:17 *245:15 0.000158492
11 *226:17 *245:15 0.000178847
*RES
1 *1228:X *245:15 43.5679
2 *245:15 *1229:A 9.3
*END
*D_NET *246 0.00334807
*CONN
*I *1231:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1230:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *1231:A 0.000847095
2 *1230:X 0.000847095
3 *1231:A *1235:A 0.000313474
4 *1231:A *1237:A2 0.000472734
5 *1231:A *1251:A 4.61169e-05
6 *1231:A *1261:A_N 0.000350043
7 *1231:A *255:19 1.98839e-05
8 *1231:A *256:33 0
9 *1231:A *257:17 0.000116099
10 io_oeb[9] *1231:A 0.000152973
11 *1267:A1 *1231:A 3.14012e-05
12 *1267:A2 *1231:A 0
13 *45:15 *1231:A 0.000103612
14 *142:14 *1231:A 1.64583e-05
15 *143:11 *1231:A 0
16 *155:30 *1231:A 3.10885e-05
*RES
1 *1230:X *1231:A 45.1536
*END
*D_NET *247 0.00463431
*CONN
*I *1234:B I *D sky130_fd_sc_hd__and3_1
*I *1232:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *1234:B 0
2 *1232:X 0.000934713
3 *247:22 0.000934713
4 *247:22 *1232:B 5.33005e-05
5 *247:22 *1233:A 0.000193629
6 *247:22 *1237:B1 1.21371e-05
7 *247:22 *1238:B 0.000101481
8 *247:22 *1242:B 0.000113701
9 *247:22 *1250:B 0.000100476
10 *247:22 *1260:B_N 9.41642e-05
11 *247:22 *1263:A1 5.33005e-05
12 *247:22 *1263:A2 0.000701828
13 *247:22 *1263:B1 4.20416e-05
14 *247:22 *1263:B2 3.25078e-05
15 *247:22 *255:19 0.000223469
16 *247:22 *270:54 0.000207481
17 *247:22 *270:56 0.00016357
18 *1242:C *247:22 5.49544e-05
19 *1265:A2 *247:22 6.06332e-05
20 *1267:A1 *247:22 0.000298483
21 *1328:D *247:22 0.000244653
22 *142:14 *247:22 1.30764e-05
*RES
1 *1232:X *247:22 41.3357
2 *247:22 *1234:B 9.3
*END
*D_NET *248 0.00279842
*CONN
*I *1234:C I *D sky130_fd_sc_hd__and3_1
*I *1233:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *1234:C 0.000727636
2 *1233:Y 0.000727636
3 *1234:C *1235:A 0.000224314
4 *1234:C *1334:A 0.000100831
5 *1234:A *1234:C 0.000152614
6 *8:13 *1234:C 4.78056e-05
7 *83:17 *1234:C 0.000817583
*RES
1 *1233:Y *1234:C 39.725
*END
*D_NET *249 0.00217583
*CONN
*I *1235:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1234:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *1235:A 0.00071596
2 *1234:X 0.00071596
3 *1231:A *1235:A 0.000313474
4 *1234:C *1235:A 0.000224314
5 *43:19 *1235:A 0.000173864
6 *45:15 *1235:A 3.22583e-05
*RES
1 *1234:X *1235:A 38.6714
*END
*D_NET *250 0.00564059
*CONN
*I *1238:A_N I *D sky130_fd_sc_hd__and3b_1
*I *1240:B I *D sky130_fd_sc_hd__and2_1
*I *1244:C I *D sky130_fd_sc_hd__and3_1
*I *1241:B I *D sky130_fd_sc_hd__or2_1
*I *1236:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *1238:A_N 6.15499e-05
2 *1240:B 0.000202058
3 *1244:C 0.000121019
4 *1241:B 0.000207315
5 *1236:X 0
6 *250:24 0.000553164
7 *250:21 0.000957686
8 *250:4 0.000873364
9 *1240:B *1252:A 1.32056e-05
10 *1240:B *1252:C 0.000125154
11 *1240:B *1253:A 6.05161e-06
12 *1240:B *256:33 0.000128383
13 *1241:B *1236:C 5.52385e-06
14 *1244:C *1253:A 0.000182882
15 *250:21 *1236:A 3.6423e-05
16 *250:21 *1236:B 0.000182605
17 *250:21 *1236:C 0.000367934
18 *250:21 *271:8 9.87024e-05
19 *250:21 *273:13 9.86146e-05
20 *250:24 *255:19 0.000105377
21 *250:24 *273:18 6.70728e-05
22 io_oeb[14] *1238:A_N 0.000122657
23 io_oeb[14] *250:24 4.04292e-05
24 io_out[11] *250:24 0.000334545
25 io_out[17] *250:21 0
26 *1265:A2 *250:24 0.000123295
27 *1267:A2 *1240:B 0.000184507
28 *1269:B *1240:B 8.76554e-05
29 *1269:B *1244:C 0.000254776
30 *4:25 *1238:A_N 1.48555e-05
31 *4:25 *250:24 1.53146e-05
32 *46:16 *1238:A_N 2.6726e-05
33 *143:11 *250:24 1.74909e-05
34 *155:35 *1240:B 2.42516e-05
*RES
1 *1236:X *250:4 9.3
2 *250:4 *1241:B 12.2107
3 *250:4 *250:21 23.7143
4 *250:21 *250:24 10.0893
5 *250:24 *1244:C 12.7107
6 *250:24 *1240:B 23.05
7 *250:21 *1238:A_N 15.4429
*END
*D_NET *251 0.00392798
*CONN
*I *1238:B I *D sky130_fd_sc_hd__and3b_1
*I *1237:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *1238:B 0.00101977
2 *1237:X 0.00101977
3 *1238:B *252:14 3.80953e-06
4 *1238:B *328:27 0.000107644
5 *1328:D *1238:B 0.000723547
6 *8:13 *1238:B 3.76999e-05
7 *142:14 *1238:B 0.000711368
8 *155:13 *1238:B 0.0002029
9 *247:22 *1238:B 0.000101481
*RES
1 *1237:X *1238:B 46.975
*END
*D_NET *252 0.00619835
*CONN
*I *1239:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1238:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *1239:A 0.000212684
2 *1238:X 0.00140164
3 *252:14 0.00161432
4 *252:14 *1237:B1 0.000447399
5 *252:14 *1246:A_N 0.000205471
6 *252:14 *1248:B 0.000487135
7 *252:14 *1263:B2 2.49484e-05
8 *252:14 *272:8 4.60041e-05
9 *252:14 *272:16 0
10 io_out[12] *252:14 0.000296423
11 io_out[8] *252:14 0.000184845
12 *1238:B *252:14 3.80953e-06
13 *1238:C *252:14 0.000185118
14 *1263:C1 *252:14 3.87365e-05
15 *1325:D *252:14 1.64343e-05
16 *38:19 *252:14 0.000233369
17 *80:14 *1239:A 0.000190858
18 *80:14 *252:14 0.00019701
19 *147:13 *252:14 0.000139485
20 *155:13 *252:14 0.000272662
*RES
1 *1238:X *252:14 48.675
2 *252:14 *1239:A 13.1393
*END
*D_NET *253 0.00290358
*CONN
*I *1242:A_N I *D sky130_fd_sc_hd__and3b_1
*I *1245:B I *D sky130_fd_sc_hd__or2_1
*I *1240:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *1242:A_N 0.000260798
2 *1245:B 0.000139158
3 *1240:X 0
4 *253:4 0.000399956
5 *1242:A_N *1261:A_N 6.05161e-06
6 *1242:A_N *1263:B1 5.33005e-05
7 *1242:A_N *256:33 2.24079e-05
8 *1242:A_N *275:16 6.53083e-05
9 *1242:A_N *328:14 5.33005e-05
10 *1245:B *1240:A 0.000175892
11 *1245:B *1244:A 3.1549e-05
12 *1245:B *256:33 2.94004e-05
13 *1267:A1 *1242:A_N 0.000302719
14 *1267:A2 *1242:A_N 0.000214706
15 *1267:A2 *1245:B 5.49544e-05
16 *1325:D *1242:A_N 0.00038955
17 *153:17 *1242:A_N 0.000310665
18 *153:17 *1245:B 0.000393861
19 *155:26 *1242:A_N 0
*RES
1 *1240:X *253:4 9.3
2 *253:4 *1245:B 13.55
3 *253:4 *1242:A_N 27.1214
*END
*D_NET *254 0.00393826
*CONN
*I *1242:B I *D sky130_fd_sc_hd__and3b_1
*I *1241:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *1242:B 0.000861158
2 *1241:X 0.000861158
3 *1242:B *1260:A 0.000219711
4 *1242:B *1263:B1 0.000310256
5 *1242:B *328:38 0.000103267
6 io_oeb[17] *1242:B 0.000220333
7 *1265:A2 *1242:B 0.00109388
8 *145:14 *1242:B 9.60939e-05
9 *146:17 *1242:B 5.33978e-05
10 *164:17 *1242:B 5.30637e-06
11 *247:22 *1242:B 0.000113701
*RES
1 *1241:X *1242:B 45.9214
*END
*D_NET *255 0.00441922
*CONN
*I *1243:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1242:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *1243:A 0
2 *1242:X 0.000787655
3 *255:19 0.000787655
4 *255:19 *1237:A2 0.000262504
5 *255:19 *1249:A 0.000189358
6 *255:19 *270:25 0.000190235
7 *255:19 *273:18 0.000306279
8 *255:19 *325:8 8.85664e-07
9 io_out[11] *255:19 0.000506449
10 *1231:A *255:19 1.98839e-05
11 *1267:A2 *255:19 7.69776e-06
12 *2:10 *255:19 2.19185e-05
13 *44:19 *255:19 0.000297496
14 *45:15 *255:19 0.000360309
15 *83:17 *255:19 0.000111719
16 *142:14 *255:19 0.000219711
17 *143:11 *255:19 2.06178e-05
18 *247:22 *255:19 0.000223469
19 *250:24 *255:19 0.000105377
*RES
1 *1242:X *255:19 42.991
2 *255:19 *1243:A 9.3
*END
*D_NET *256 0.00920391
*CONN
*I *1252:C I *D sky130_fd_sc_hd__and3_1
*I *1246:A_N I *D sky130_fd_sc_hd__and3b_1
*I *1248:B I *D sky130_fd_sc_hd__or2_1
*I *1249:B I *D sky130_fd_sc_hd__nand2_1
*I *1244:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *1252:C 9.72266e-05
2 *1246:A_N 0.000132015
3 *1248:B 0.000230852
4 *1249:B 0.000704922
5 *1244:X 1.34084e-05
6 *256:33 0.00132655
7 *256:27 0.00127225
8 *256:8 0.000929667
9 *1246:A_N *1263:B2 9.41642e-05
10 *1248:B *1237:A2 1.24368e-05
11 *1249:B *1244:B 0.000366382
12 *1249:B *1247:A 0.000180727
13 *1249:B *1249:A 0.000288702
14 *1249:B *1250:C 9.58126e-05
15 *1249:B *1254:S1 7.68857e-05
16 *1249:B *1264:A 6.84905e-05
17 *256:8 *271:34 4.5894e-05
18 *256:8 *325:8 4.38058e-05
19 *256:27 *1247:A 0.000361101
20 *256:33 *1261:A_N 0.000342302
21 *256:33 *1263:B2 7.07155e-06
22 io_out[10] *256:27 4.64974e-05
23 io_out[12] *1246:A_N 0.000214721
24 io_out[12] *1248:B 0.000161587
25 *1231:A *256:33 0
26 *1240:B *1252:C 0.000125154
27 *1240:B *256:33 0.000128383
28 *1242:A_N *256:33 2.24079e-05
29 *1245:B *256:33 2.94004e-05
30 *1267:A2 *1252:C 1.76569e-05
31 *1267:A2 *256:33 0.00014592
32 *2:10 *256:27 3.97161e-05
33 *4:25 *1249:B 2.43869e-05
34 *4:25 *256:27 4.57872e-05
35 *80:14 *1248:B 3.4323e-06
36 *143:11 *1252:C 9.63528e-05
37 *143:11 *256:33 0.000173717
38 *147:13 *1248:B 0.000313601
39 *148:18 *1249:B 0.000231917
40 *252:14 *1246:A_N 0.000205471
41 *252:14 *1248:B 0.000487135
*RES
1 *1244:X *256:8 17.7904
2 *256:8 *1249:B 23.841
3 *256:8 *256:27 4.03353
4 *256:27 *256:33 21.7946
5 *256:33 *1248:B 30.0679
6 *256:33 *1246:A_N 17.6036
7 *256:27 *1252:C 15.8982
*END
*D_NET *257 0.00407277
*CONN
*I *1246:B I *D sky130_fd_sc_hd__and3b_1
*I *1245:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *1246:B 0
2 *1245:X 0.00128924
3 *257:17 0.00128924
4 *257:17 *1247:A 9.50676e-06
5 io_oeb[10] *257:17 0.000150061
6 io_out[10] *257:17 2.97647e-05
7 *1231:A *257:17 0.000116099
8 *1246:C *257:17 0.000111545
9 *1267:A1 *257:17 0.000108511
10 *1267:A2 *257:17 9.56698e-06
11 *153:17 *257:17 0.000702986
12 *155:30 *257:17 1.94945e-05
13 *155:35 *257:17 5.47231e-05
14 *155:55 *257:17 8.2607e-05
15 *155:63 *257:17 9.94194e-05
*RES
1 *1245:X *257:17 40.8475
2 *257:17 *1246:B 9.3
*END
*D_NET *258 0.00612765
*CONN
*I *1247:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1246:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *1247:A 0.0016834
2 *1246:X 0.0016834
3 *1247:A *1244:B 0.000364117
4 *1247:A *1249:A 4.74031e-05
5 *1247:A *1252:A 3.10885e-05
6 *1247:A *1254:S1 8.87461e-06
7 *1247:A *270:11 5.33005e-05
8 *1247:A *270:25 0.000175892
9 *1247:A *270:54 0.000175892
10 *1247:A *305:14 9.01864e-05
11 io_oeb[10] *1247:A 0.00099867
12 io_oeb[11] *1247:A 0
13 io_out[10] *1247:A 2.26118e-05
14 *1249:B *1247:A 0.000180727
15 *1267:A2 *1247:A 2.71065e-05
16 *155:30 *1247:A 3.86555e-05
17 *155:35 *1247:A 2.10756e-05
18 *155:55 *1247:A 1.65169e-05
19 *245:15 *1247:A 0.000138131
20 *256:27 *1247:A 0.000361101
21 *257:17 *1247:A 9.50676e-06
*RES
1 *1246:X *1247:A 46.8669
*END
*D_NET *259 0.00134732
*CONN
*I *1250:B I *D sky130_fd_sc_hd__and3_1
*I *1248:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *1250:B 0.000354455
2 *1248:X 0.000354455
3 *1250:B *1233:A 9.56567e-05
4 *1250:B *1237:A2 0.000346882
5 *3:13 *1250:B 9.53914e-05
6 *247:22 *1250:B 0.000100476
*RES
1 *1248:X *1250:B 35.2071
*END
*D_NET *260 0.00347679
*CONN
*I *1250:C I *D sky130_fd_sc_hd__and3_1
*I *1249:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *1250:C 0.00128475
2 *1249:Y 0.00128475
3 *1250:C *1237:A2 2.42516e-05
4 *1250:C *1253:A 0.000120604
5 *1250:C *1264:A 4.42981e-05
6 *345:DIODE *1250:C 0.000424029
7 *1249:B *1250:C 9.58126e-05
8 *142:14 *1250:C 0.000198301
*RES
1 *1249:Y *1250:C 34.2161
*END
*D_NET *261 0.0014459
*CONN
*I *1251:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1250:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *1251:A 0.00057029
2 *1250:X 0.00057029
3 *1251:A *1237:A2 4.58194e-05
4 *1251:A *1261:A_N 7.93238e-05
5 io_oeb[9] *1251:A 7.54868e-05
6 *354:DIODE *1251:A 5.8569e-05
7 *1231:A *1251:A 4.61169e-05
8 *43:19 *1251:A 0
*RES
1 *1250:X *1251:A 37.475
*END
*D_NET *262 0.00268407
*CONN
*I *1253:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1252:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *1253:A 0.000837122
2 *1252:X 0.000837122
3 *1253:A *1244:A 5.33005e-05
4 *1253:A *1244:B 1.90936e-05
5 *1253:A *1264:A 0.000228514
6 *1253:A *264:15 7.63848e-05
7 io_oeb[10] *1253:A 9.2539e-06
8 *345:DIODE *1253:A 3.10885e-05
9 *1240:B *1253:A 6.05161e-06
10 *1244:C *1253:A 0.000182882
11 *1250:C *1253:A 0.000120604
12 *1269:B *1253:A 5.12548e-05
13 *42:17 *1253:A 0
14 *153:17 *1253:A 0.0002314
*RES
1 *1252:X *1253:A 41.2429
*END
*D_NET *263 0.000618911
*CONN
*I *1255:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1254:X O *D sky130_fd_sc_hd__mux4_1
*CAP
1 *1255:A 0.000109511
2 *1254:X 0.000109511
3 *1255:A *1254:A3 0.000136682
4 *1255:A *327:20 2.04825e-05
5 *1187:A *1255:A 0.00013833
6 *1195:A *1255:A 0
7 *15:27 *1255:A 2.30116e-06
8 *133:31 *1255:A 9.25014e-06
9 *138:22 *1255:A 9.28431e-05
*RES
1 *1254:X *1255:A 30.6
*END
*D_NET *264 0.0069221
*CONN
*I *1258:A2 I *D sky130_fd_sc_hd__o22a_1
*I *1268:A2 I *D sky130_fd_sc_hd__a221oi_4
*I *1256:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *1258:A2 0
2 *1268:A2 0.000548637
3 *1256:Y 0.000902555
4 *264:15 0.00145119
5 *1268:A2 *1324:CLK 9.71197e-05
6 *1268:A2 *1326:CLK 0.000193641
7 *1268:A2 *271:8 0
8 *1268:A2 *328:79 2.11419e-05
9 *264:15 *1257:A 3.95826e-05
10 *264:15 *1259:A 0.000314458
11 *264:15 *322:8 9.01214e-05
12 io_oeb[12] *1268:A2 0.000135028
13 *345:DIODE *264:15 8.9558e-05
14 *360:DIODE *264:15 0.000142884
15 *1253:A *264:15 7.63848e-05
16 *5:29 *1268:A2 0.000146293
17 *9:13 *1268:A2 0.000305185
18 *42:17 *264:15 9.71197e-05
19 *83:17 *264:15 0.000125724
20 *84:13 *1268:A2 7.6644e-05
21 *84:13 *264:15 0.000960604
22 *143:11 *1268:A2 0.000100688
23 *145:14 *264:15 0.000127109
24 *148:18 *264:15 9.60939e-05
25 *153:17 *264:15 0.000784342
*RES
1 *1256:Y *264:15 43.175
2 *264:15 *1268:A2 30.8536
3 *264:15 *1258:A2 9.3
*END
*D_NET *265 0.00538207
*CONN
*I *1266:A2 I *D sky130_fd_sc_hd__a22o_1
*I *1258:B1 I *D sky130_fd_sc_hd__o22a_1
*I *1257:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *1266:A2 0.000149386
2 *1258:B1 0.000187043
3 *1257:Y 0.000566419
4 *265:8 0.000902847
5 *1258:B1 *1258:A1 5.43599e-05
6 *1258:B1 *1258:B2 2.57836e-05
7 *1258:B1 *274:19 0.000115356
8 *1258:B1 *274:34 1.46556e-05
9 *1266:A2 *1266:A1 1.83136e-05
10 *1266:A2 *1266:B2 1.21436e-05
11 *1266:A2 *273:50 0.000130597
12 io_oeb[12] *1266:A2 6.62243e-05
13 io_out[17] *1266:A2 0.000210671
14 io_out[17] *265:8 0.000520257
15 *345:DIODE *265:8 3.39021e-05
16 *361:DIODE *265:8 0
17 *1266:B1 *1266:A2 6.05161e-06
18 *1361:A *1258:B1 9.56233e-05
19 *42:17 *265:8 0.000681275
20 *46:16 *1258:B1 0.000554947
21 *148:18 *1258:B1 1.10868e-05
22 *148:18 *1266:A2 6.7939e-05
23 *148:18 *265:8 0.000957192
*RES
1 *1257:Y *265:8 30.6571
2 *265:8 *1258:B1 19.8179
3 *265:8 *1266:A2 18.0321
*END
*D_NET *266 0.00233878
*CONN
*I *1268:B1 I *D sky130_fd_sc_hd__a221oi_4
*I *1258:X O *D sky130_fd_sc_hd__o22a_1
*CAP
1 *1268:B1 0
2 *1258:X 0.000634054
3 *266:22 0.000634054
4 *266:22 *273:50 0.000175892
5 io_oeb[14] *266:22 0.00012116
6 *1268:B2 *266:22 0.000151852
7 *1329:D *266:22 0.000252999
8 *8:13 *266:22 7.89097e-05
9 *46:16 *266:22 7.28927e-05
10 *84:13 *266:22 0.000216966
*RES
1 *1258:X *266:22 40.7464
2 *266:22 *1268:B1 9.3
*END
*D_NET *267 0.00696812
*CONN
*I *1265:B1 I *D sky130_fd_sc_hd__o22a_1
*I *1263:A2 I *D sky130_fd_sc_hd__a221o_1
*I *1259:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *1265:B1 8.05272e-05
2 *1263:A2 0.000290101
3 *1259:Y 0.00107284
4 *267:19 0.00144347
5 *1263:A2 *1263:B1 0.000701405
6 *1265:B1 *272:46 5.33005e-05
7 *1265:B1 *273:13 5.33005e-05
8 *267:19 *1249:A 2.31868e-05
9 *267:19 *1254:S1 1.46617e-05
10 *267:19 *325:8 0.000246136
11 io_out[11] *267:19 8.69554e-05
12 io_out[13] *267:19 0
13 *1267:A2 *1263:A2 6.52476e-05
14 *1267:A2 *1265:B1 9.60337e-06
15 *2:10 *267:19 1.67779e-05
16 *4:25 *267:19 0.000255057
17 *43:19 *267:19 0.000802918
18 *44:19 *267:19 0.000622784
19 *83:17 *267:19 0.000218685
20 *143:11 *1263:A2 0.000134329
21 *143:11 *1265:B1 7.50106e-05
22 *247:22 *1263:A2 0.000701828
*RES
1 *1259:Y *267:19 35.7639
2 *267:19 *1263:A2 23.0321
3 *267:19 *1265:B1 15.5232
*END
*D_NET *268 0.00189276
*CONN
*I *1263:B1 I *D sky130_fd_sc_hd__a221o_1
*I *1260:X O *D sky130_fd_sc_hd__or2b_1
*CAP
1 *1263:B1 0.000321162
2 *1260:X 0.000321162
3 *1263:B1 *1263:A1 2.89114e-05
4 *1263:B1 *328:14 0.000102385
5 *1242:A_N *1263:B1 5.33005e-05
6 *1242:B *1263:B1 0.000310256
7 *1263:A2 *1263:B1 0.000701405
8 *1263:C1 *1263:B1 1.21371e-05
9 *247:22 *1263:B1 4.20416e-05
*RES
1 *1260:X *1263:B1 27.3679
*END
*D_NET *269 0.00168956
*CONN
*I *1263:B2 I *D sky130_fd_sc_hd__a221o_1
*I *1261:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *1263:B2 0.000540887
2 *1261:X 0.000540887
3 *1263:B2 *1237:B1 0.00020291
4 *1263:B2 *270:54 0.000128399
5 *1263:B2 *272:16 2.80412e-05
6 io_out[12] *1263:B2 7.3475e-05
7 *1246:A_N *1263:B2 9.41642e-05
8 *1263:C1 *1263:B2 1.28809e-05
9 *1328:D *1263:B2 3.38196e-06
10 *6:10 *1263:B2 0
11 *247:22 *1263:B2 3.25078e-05
12 *252:14 *1263:B2 2.49484e-05
13 *256:33 *1263:B2 7.07155e-06
*RES
1 *1261:X *1263:B2 44.8723
*END
*D_NET *270 0.0113306
*CONN
*I *1261:B I *D sky130_fd_sc_hd__and2b_1
*I *1237:A1 I *D sky130_fd_sc_hd__a21o_1
*I *1233:A I *D sky130_fd_sc_hd__nand2_1
*I *1230:A_N I *D sky130_fd_sc_hd__and2b_1
*I *1232:A I *D sky130_fd_sc_hd__or2_1
*I *1236:A I *D sky130_fd_sc_hd__and3_1
*I *1323:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1261:B 0
2 *1237:A1 4.36033e-05
3 *1233:A 0.000804723
4 *1230:A_N 0
5 *1232:A 0
6 *1236:A 0.000406763
7 *1323:Q 0.000531292
8 *270:56 0.000903091
9 *270:54 0.000371557
10 *270:25 0.000972012
11 *270:11 0.000585691
12 *270:10 0.000868527
13 *1233:A *1248:A 6.4845e-05
14 *1236:A *1232:B 9.41642e-05
15 *1236:A *271:8 5.79582e-06
16 *1237:A1 *1237:B1 5.33005e-05
17 *270:10 *272:46 5.96516e-05
18 *270:10 *328:14 0.000209777
19 *270:25 *1232:B 5.33005e-05
20 *270:25 *1249:A 0.00022251
21 *270:25 *274:34 8.74121e-05
22 *270:25 *275:17 9.97713e-05
23 *270:54 *1237:B1 1.84099e-05
24 io_oeb[10] *270:25 0.000832446
25 io_out[11] *1233:A 0.000182694
26 io_out[17] *1236:A 0.000118648
27 *1246:C *270:11 6.05161e-06
28 *1246:C *270:54 0.000135762
29 *1247:A *270:11 5.33005e-05
30 *1247:A *270:25 0.000175892
31 *1247:A *270:54 0.000175892
32 *1250:B *1233:A 9.56567e-05
33 *1263:B2 *270:54 0.000128399
34 *1263:C1 *270:10 3.06878e-06
35 *1265:A2 *1236:A 0.000130656
36 *1267:A1 *270:25 4.00349e-05
37 *1268:B2 *270:10 0.00039147
38 *1323:D *270:10 0.000181803
39 *3:13 *1233:A 0
40 *8:13 *270:10 8.57091e-05
41 *9:13 *270:25 8.92374e-05
42 *83:17 *1233:A 0.00046623
43 *147:13 *1233:A 0.000449491
44 *147:13 *270:54 4.50033e-05
45 *147:13 *270:56 0.000172105
46 *153:17 *1236:A 0
47 *155:30 *270:25 1.8038e-05
48 *155:63 *270:11 1.98839e-05
49 *155:63 *270:25 8.55871e-05
50 *247:22 *1233:A 0.000193629
51 *247:22 *270:54 0.000207481
52 *247:22 *270:56 0.00016357
53 *250:21 *1236:A 3.6423e-05
54 *255:19 *270:25 0.000190235
*RES
1 *1323:Q *270:10 27.8179
2 *270:10 *270:11 0.535714
3 *270:11 *270:25 21.3077
4 *270:25 *1236:A 25.4161
5 *270:25 *1232:A 9.3
6 *270:11 *1230:A_N 9.3
7 *270:10 *270:54 11.3214
8 *270:54 *270:56 2.25
9 *270:56 *1233:A 31.4607
10 *270:56 *1237:A1 14.3357
11 *270:54 *1261:B 13.8
*END
*D_NET *271 0.0104877
*CONN
*I *1260:A I *D sky130_fd_sc_hd__or2b_1
*I *1232:B I *D sky130_fd_sc_hd__or2_1
*I *1237:A2 I *D sky130_fd_sc_hd__a21o_1
*I *1233:B I *D sky130_fd_sc_hd__nand2_1
*I *1262:B I *D sky130_fd_sc_hd__and2b_1
*I *1236:B I *D sky130_fd_sc_hd__and3_1
*I *1324:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1260:A 0.000170609
2 *1232:B 7.40047e-05
3 *1237:A2 0.000708139
4 *1233:B 0.00010514
5 *1262:B 2.23854e-05
6 *1236:B 0.000161106
7 *1324:Q 0.000306772
8 *271:34 0.00121876
9 *271:28 0.000516678
10 *271:26 0.000315399
11 *271:15 0.000349119
12 *271:8 0.000687009
13 *1233:B *330:12 0.000172375
14 *1236:B *1236:C 1.34548e-05
15 *1237:A2 *1237:B1 7.1763e-05
16 *271:8 *1326:CLK 3.69684e-05
17 *271:8 *274:19 0.00023378
18 *271:8 *328:79 6.27272e-06
19 *271:15 *1236:C 2.42516e-05
20 *271:15 *1262:A_N 8.55871e-05
21 *271:26 *1262:A_N 5.68536e-05
22 *271:26 *274:34 6.81083e-05
23 *271:28 *1262:A_N 3.18206e-05
24 *271:28 *274:34 6.86793e-05
25 *271:28 *325:8 3.47641e-06
26 *271:34 *1244:A 2.49484e-05
27 *271:34 *1245:A 0.000130358
28 *271:34 *274:34 0.000238365
29 *271:34 *325:8 0.000186886
30 *271:34 *330:12 0.000187733
31 io_out[17] *271:8 0
32 io_out[9] *1237:A2 2.21972e-05
33 *360:DIODE *271:34 5.91072e-05
34 *1231:A *1237:A2 0.000472734
35 *1236:A *1232:B 9.41642e-05
36 *1236:A *271:8 5.79582e-06
37 *1242:B *1260:A 0.000219711
38 *1248:B *1237:A2 1.24368e-05
39 *1250:B *1237:A2 0.000346882
40 *1250:C *1237:A2 2.42516e-05
41 *1251:A *1237:A2 4.58194e-05
42 *1263:C1 *271:26 5.33005e-05
43 *1265:A2 *1232:B 0.000219711
44 *1268:A2 *271:8 0
45 *4:25 *1233:B 0.000168939
46 *4:25 *271:34 0.000274031
47 *43:19 *1237:A2 8.84829e-05
48 *83:17 *1233:B 9.60939e-05
49 *142:14 *1237:A2 0.000854105
50 *143:11 *1237:A2 8.52279e-05
51 *153:17 *271:8 0.000371566
52 *247:22 *1232:B 5.33005e-05
53 *250:21 *1236:B 0.000182605
54 *250:21 *271:8 9.87024e-05
55 *255:19 *1237:A2 0.000262504
56 *256:8 *271:34 4.5894e-05
57 *270:25 *1232:B 5.33005e-05
*RES
1 *1324:Q *271:8 25.7107
2 *271:8 *1236:B 11.4786
3 *271:8 *271:15 2.58929
4 *271:15 *1262:B 9.72857
5 *271:15 *271:26 6.48214
6 *271:26 *271:28 1.03571
7 *271:28 *271:34 10.5
8 *271:34 *1233:B 16.9964
9 *271:34 *1237:A2 40.6571
10 *271:28 *1232:B 15.9786
11 *271:26 *1260:A 15.9786
*END
*D_NET *272 0.00691443
*CONN
*I *1265:B2 I *D sky130_fd_sc_hd__o22a_1
*I *1236:C I *D sky130_fd_sc_hd__and3_1
*I *1237:B1 I *D sky130_fd_sc_hd__a21o_1
*I *1263:A1 I *D sky130_fd_sc_hd__a221o_1
*I *1325:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1265:B2 1.33831e-05
2 *1236:C 0.000366796
3 *1237:B1 0.000378954
4 *1263:A1 4.0851e-05
5 *1325:Q 0.00019871
6 *272:46 0.000771117
7 *272:16 0.000592012
8 *272:8 0.000761855
9 *1236:C *1262:A_N 0.000345251
10 *1236:C *273:13 7.99184e-05
11 *1265:B2 *273:13 3.99614e-06
12 *272:46 *273:13 4.22431e-05
13 io_out[12] *272:8 0
14 *1236:B *1236:C 1.34548e-05
15 *1237:A1 *1237:B1 5.33005e-05
16 *1237:A2 *1237:B1 7.1763e-05
17 *1241:B *1236:C 5.52385e-06
18 *1263:B1 *1263:A1 2.89114e-05
19 *1263:B2 *1237:B1 0.00020291
20 *1263:B2 *272:16 2.80412e-05
21 *1263:C1 *1236:C 0.000192257
22 *1263:C1 *1237:B1 2.22761e-05
23 *1263:C1 *1263:A1 6.05161e-06
24 *1263:C1 *272:16 0.000123594
25 *1263:C1 *272:46 0.000564294
26 *1265:B1 *272:46 5.33005e-05
27 *1323:D *272:46 0.000277299
28 *1328:D *272:8 0.000210221
29 *1328:D *272:16 0.000230197
30 *147:13 *1237:B1 0.000206858
31 *247:22 *1237:B1 1.21371e-05
32 *247:22 *1263:A1 5.33005e-05
33 *250:21 *1236:C 0.000367934
34 *252:14 *1237:B1 0.000447399
35 *252:14 *272:8 4.60041e-05
36 *252:14 *272:16 0
37 *270:10 *272:46 5.96516e-05
38 *270:54 *1237:B1 1.84099e-05
39 *271:15 *1236:C 2.42516e-05
*RES
1 *1325:Q *272:8 18.0143
2 *272:8 *272:16 8.32143
3 *272:16 *1263:A1 10.2464
4 *272:16 *1237:B1 27.7821
5 *272:8 *272:46 14.2143
6 *272:46 *1236:C 18.6929
7 *272:46 *1265:B2 9.72857
*END
*D_NET *273 0.00959892
*CONN
*I *1266:B2 I *D sky130_fd_sc_hd__a22o_1
*I *1241:A I *D sky130_fd_sc_hd__or2_1
*I *1265:A1 I *D sky130_fd_sc_hd__o22a_1
*I *1240:A I *D sky130_fd_sc_hd__and2_1
*I *1244:A I *D sky130_fd_sc_hd__and3_1
*I *1326:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1266:B2 3.91307e-05
2 *1241:A 0.000432312
3 *1265:A1 0
4 *1240:A 9.52458e-05
5 *1244:A 0.000346277
6 *1326:Q 0.000116982
7 *273:50 0.000883405
8 *273:18 0.000728652
9 *273:13 0.000594669
10 *273:6 0.000836484
11 *1241:A *1274:B_N 8.07951e-05
12 *1241:A *1276:A_N 9.1934e-05
13 *1241:A *328:38 0.000313254
14 *1244:A *1245:A 0.000231954
15 *1244:A *274:34 9.85994e-05
16 *273:6 *1324:CLK 0.000159269
17 *273:13 *1324:CLK 4.15161e-05
18 *273:13 *328:27 0.000180827
19 *273:18 *325:8 1.66595e-05
20 *273:50 *1266:A1 6.8377e-06
21 *273:50 *274:5 4.4974e-05
22 io_oeb[13] *273:6 0.000311766
23 io_oeb[13] *273:13 0.000344157
24 io_oeb[14] *273:6 4.91424e-05
25 *360:DIODE *1244:A 5.83233e-05
26 *1236:C *273:13 7.99184e-05
27 *1245:B *1240:A 0.000175892
28 *1245:B *1244:A 3.1549e-05
29 *1253:A *1244:A 5.33005e-05
30 *1265:A2 *273:18 0.000123295
31 *1265:B1 *273:13 5.33005e-05
32 *1265:B2 *273:13 3.99614e-06
33 *1266:A2 *1266:B2 1.21436e-05
34 *1266:A2 *273:50 0.000130597
35 *1266:B1 *1241:A 6.97648e-05
36 *1266:B1 *1266:B2 5.52302e-05
37 *1266:B1 *273:50 1.02821e-05
38 *1269:B *1244:A 1.58163e-05
39 *1329:D *273:50 0.000435832
40 *4:25 *273:18 0.000531494
41 *9:13 *1244:A 0.000231136
42 *84:13 *273:50 0.000159966
43 *143:11 *273:13 6.49521e-05
44 *146:17 *1241:A 7.48356e-05
45 *146:17 *273:50 0.000374034
46 *153:17 *1244:A 5.08183e-05
47 *153:17 *273:50 4.25569e-05
48 *250:21 *273:13 9.86146e-05
49 *250:24 *273:18 6.70728e-05
50 *255:19 *273:18 0.000306279
51 *266:22 *273:50 0.000175892
52 *271:34 *1244:A 2.49484e-05
53 *272:46 *273:13 4.22431e-05
*RES
1 *1326:Q *273:6 17.8714
2 *273:6 *273:13 12.1964
3 *273:13 *273:18 16.7143
4 *273:18 *1244:A 26.05
5 *273:18 *1240:A 11.0679
6 *273:13 *1265:A1 9.3
7 *273:6 *273:50 15.75
8 *273:50 *1241:A 27.1393
9 *273:50 *1266:B2 10.5679
*END
*D_NET *274 0.0081865
*CONN
*I *1244:B I *D sky130_fd_sc_hd__and3_1
*I *1245:A I *D sky130_fd_sc_hd__or2_1
*I *1258:B2 I *D sky130_fd_sc_hd__o22a_1
*I *1266:A1 I *D sky130_fd_sc_hd__a22o_1
*I *1327:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1244:B 0.000447129
2 *1245:A 8.12193e-05
3 *1258:B2 2.29824e-05
4 *1266:A1 0.000159439
5 *1327:Q 0.000592216
6 *274:34 0.000953534
7 *274:19 0.000674133
8 *274:5 0.00097762
9 *1258:B2 *1258:A1 5.71465e-06
10 *274:19 *1258:A1 5.46824e-05
11 *274:34 *1262:A_N 1.37292e-05
12 *1244:A *1245:A 0.000231954
13 *1244:A *274:34 9.85994e-05
14 *1247:A *1244:B 0.000364117
15 *1249:B *1244:B 0.000366382
16 *1253:A *1244:B 1.90936e-05
17 *1258:B1 *1258:B2 2.57836e-05
18 *1258:B1 *274:19 0.000115356
19 *1258:B1 *274:34 1.46556e-05
20 *1266:A2 *1266:A1 1.83136e-05
21 *1269:B *1244:B 0.000339346
22 *1329:D *274:5 0.000435832
23 *4:25 *274:34 0
24 *9:13 *274:34 4.69062e-05
25 *145:14 *274:34 0.000345029
26 *146:17 *1266:A1 9.66977e-05
27 *146:17 *274:5 0.000277336
28 *146:17 *274:19 9.30366e-05
29 *148:18 *274:19 0.000272462
30 *148:18 *274:34 6.89111e-05
31 *153:17 *1245:A 9.57786e-05
32 *270:25 *274:34 8.74121e-05
33 *271:8 *274:19 0.00023378
34 *271:26 *274:34 6.81083e-05
35 *271:28 *274:34 6.86793e-05
36 *271:34 *1245:A 0.000130358
37 *271:34 *274:34 0.000238365
38 *273:50 *1266:A1 6.8377e-06
39 *273:50 *274:5 4.4974e-05
*RES
1 *1327:Q *274:5 17.2286
2 *274:5 *1266:A1 11.4964
3 *274:5 *274:19 15.1205
4 *274:19 *1258:B2 9.89375
5 *274:19 *274:34 14.2812
6 *274:34 *1245:A 16.5411
7 *274:34 *1244:B 24.931
*END
*D_NET *275 0.0149211
*CONN
*I *1268:A1 I *D sky130_fd_sc_hd__a221oi_4
*I *1258:A1 I *D sky130_fd_sc_hd__o22a_1
*I *1248:A I *D sky130_fd_sc_hd__or2_1
*I *1252:A I *D sky130_fd_sc_hd__and3_1
*I *1249:A I *D sky130_fd_sc_hd__nand2_1
*I *1328:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1268:A1 3.72884e-05
2 *1258:A1 0.000546936
3 *1248:A 0.00170193
4 *1252:A 0.000145785
5 *1249:A 0.00125009
6 *1328:Q 0.000522807
7 *275:17 0.00166756
8 *275:16 0.00221106
9 *275:10 0.000977855
10 *275:8 0.00075357
11 *1249:A *1264:A 5.68722e-05
12 *1258:A1 *328:30 7.99124e-05
13 *275:8 *1328:CLK 7.72412e-05
14 *275:10 *1328:CLK 8.97552e-05
15 *275:10 *328:21 4.22135e-06
16 *275:10 *328:106 0.000109822
17 *275:16 *328:14 0.000120506
18 *275:16 *328:21 0.000178
19 io_oeb[10] *1248:A 0.00013097
20 io_oeb[10] *1249:A 7.98398e-05
21 io_oeb[10] *275:17 1.46911e-05
22 io_oeb[11] *1249:A 6.08423e-05
23 io_oeb[11] *1258:A1 0.000554574
24 io_oeb[12] *1249:A 0.000115364
25 io_oeb[8] *1248:A 5.40377e-05
26 io_out[11] *1248:A 6.83915e-05
27 io_out[11] *1249:A 2.00469e-05
28 io_out[11] *275:17 3.28113e-05
29 io_out[9] *1248:A 5.0027e-06
30 *361:DIODE *1249:A 0.000188769
31 *1233:A *1248:A 6.4845e-05
32 *1240:B *1252:A 1.32056e-05
33 *1242:A_N *275:16 6.53083e-05
34 *1247:A *1249:A 4.74031e-05
35 *1247:A *1252:A 3.10885e-05
36 *1249:B *1249:A 0.000288702
37 *1258:B1 *1258:A1 5.43599e-05
38 *1258:B2 *1258:A1 5.71465e-06
39 *1267:A2 *1252:A 0.000128305
40 *1268:B2 *275:8 1.59312e-05
41 *1268:B2 *275:10 0.000197132
42 *1268:B2 *275:16 4.06087e-07
43 *1325:D *275:16 0.000142058
44 *1328:D *275:8 0.000137733
45 *3:13 *1248:A 0.000141361
46 *4:25 *1258:A1 0.00069172
47 *8:13 *275:8 0
48 *9:13 *1258:A1 1.90936e-05
49 *44:19 *1249:A 6.32409e-06
50 *46:16 *1249:A 0.000165501
51 *83:17 *1248:A 7.6696e-05
52 *84:13 *1258:A1 2.04825e-05
53 *148:18 *1249:A 9.39537e-05
54 *155:26 *1252:A 7.26699e-06
55 *155:26 *275:16 2.60785e-05
56 *155:30 *1252:A 1.65085e-05
57 *155:35 *1252:A 1.78534e-05
58 *255:19 *1249:A 0.000189358
59 *267:19 *1249:A 2.31868e-05
60 *270:25 *1249:A 0.00022251
61 *270:25 *275:17 9.97713e-05
62 *274:19 *1258:A1 5.46824e-05
*RES
1 *1328:Q *275:8 21.2107
2 *275:8 *275:10 5.13393
3 *275:10 *275:16 8.92786
4 *275:16 *275:17 0.4794
5 *275:17 *1249:A 26.838
6 *275:17 *1252:A 19.9511
7 *275:16 *1248:A 28.139
8 *275:10 *1258:A1 23.4049
9 *275:8 *1268:A1 14.3357
*END
*D_NET *276 0.000204616
*CONN
*I *1268:C1 I *D sky130_fd_sc_hd__a221oi_4
*I *1329:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1268:C1 8.10091e-05
2 *1329:Q 8.10091e-05
3 io_oeb[15] *1268:C1 4.25974e-05
4 *6:10 *1268:C1 0
*RES
1 *1329:Q *1268:C1 29.2429
*END
*D_NET *277 0.011727
*CONN
*I *1213:A1 I *D sky130_fd_sc_hd__a21o_1
*I *1275:B I *D sky130_fd_sc_hd__and2b_1
*I *1208:A I *D sky130_fd_sc_hd__or2_1
*I *1206:A_N I *D sky130_fd_sc_hd__and2b_1
*I *1212:A I *D sky130_fd_sc_hd__and3_1
*I *1209:A I *D sky130_fd_sc_hd__nand2_1
*I *1316:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1213:A1 0.000249733
2 *1275:B 0
3 *1208:A 0.000105477
4 *1206:A_N 2.99504e-05
5 *1212:A 0.000411099
6 *1209:A 0
7 *1316:Q 0
8 *277:64 0.000249733
9 *277:53 0.00081885
10 *277:12 0.000543792
11 *277:8 0.00139027
12 *277:4 0.001941
13 *1208:A *1274:B_N 0.000135028
14 *1208:A *278:52 0.000136951
15 *1208:A *333:11 0.000196269
16 *1212:A *1209:B 0.000181072
17 *1212:A *1217:A 0.000136953
18 *1213:A1 *1213:B1 4.21121e-05
19 *1213:A1 *278:66 0.00032458
20 *1213:A1 *333:11 0.000139208
21 *277:8 *1280:A1 1.42135e-05
22 *277:8 *1280:B2 7.85891e-05
23 *277:53 *281:27 0.00023986
24 *277:53 *333:11 3.34366e-05
25 io_oeb[20] *277:8 0.000283553
26 io_oeb[20] *277:12 0.000351089
27 io_out[18] *277:53 6.59765e-05
28 io_out[20] *1212:A 2.05695e-05
29 *1211:A *1212:A 6.49987e-05
30 *1214:A_N *1206:A_N 9.41642e-05
31 *1214:A_N *1212:A 0.000147334
32 *1214:A_N *277:8 3.15529e-05
33 *1218:B *1212:A 6.39425e-05
34 *1222:A_N *277:8 0.000181157
35 *1222:A_N *277:12 0.000354511
36 *1277:B2 *1208:A 0.000174805
37 *1280:A2 *277:8 3.19945e-05
38 *1280:B1 *277:8 0.000998855
39 *1280:B1 *277:53 0.000379436
40 *1320:D *277:53 0.000101117
41 *5:33 *1208:A 1.17968e-05
42 *5:33 *277:53 8.2395e-05
43 *141:11 *277:53 7.6644e-05
44 *157:31 *1206:A_N 9.41642e-05
45 *159:8 *277:53 3.92854e-05
46 *164:17 *1213:A1 0.000311088
47 *168:21 *277:8 9.41642e-05
48 *168:33 *277:8 9.41642e-05
49 *233:7 *1212:A 0.000180073
*RES
1 *1316:Q *277:4 9.3
2 *277:4 *277:8 22.7679
3 *277:8 *277:12 9.17857
4 *277:12 *1209:A 9.3
5 *277:12 *1212:A 26.4429
6 *277:8 *1206:A_N 14.7464
7 *277:4 *277:53 24.4286
8 *277:53 *1208:A 17.7107
9 *277:53 *277:64 4.5
10 *277:64 *1275:B 9.3
11 *277:64 *1213:A1 15.4964
*END
*D_NET *278 0.0100859
*CONN
*I *1274:A I *D sky130_fd_sc_hd__or2b_1
*I *1213:A2 I *D sky130_fd_sc_hd__a21o_1
*I *1276:B I *D sky130_fd_sc_hd__and2b_1
*I *1208:B I *D sky130_fd_sc_hd__or2_1
*I *1212:B I *D sky130_fd_sc_hd__and3_1
*I *1209:B I *D sky130_fd_sc_hd__nand2_1
*I *1317:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1274:A 8.18148e-05
2 *1213:A2 0
3 *1276:B 0.000125412
4 *1208:B 0
5 *1212:B 0
6 *1209:B 0.000579177
7 *1317:Q 0.00020578
8 *278:66 0.000558297
9 *278:52 0.000929623
10 *278:46 0.00109583
11 *278:12 0.000794536
12 *278:8 0.00093842
13 *1209:B *1217:A 0.000154332
14 *1276:B *1276:A_N 8.39e-05
15 *278:8 *280:21 4.91753e-05
16 *278:8 *326:94 9.60939e-05
17 *278:12 *280:21 0.0001287
18 *278:46 *1228:A 0.000417804
19 *278:46 *1321:CLK 9.41642e-05
20 *278:46 *281:27 0.000178847
21 *278:46 *282:5 9.83388e-05
22 *278:46 *326:76 7.14469e-05
23 *278:52 *1274:B_N 0.000116168
24 *278:66 *1220:B 8.0347e-05
25 *278:66 *1274:B_N 9.83388e-05
26 *1208:A *278:52 0.000136951
27 *1210:C *1209:B 0.000218409
28 *1212:A *1209:B 0.000181072
29 *1213:A1 *278:66 0.00032458
30 *1218:B *1209:B 9.26769e-05
31 *1228:C *278:52 1.11476e-05
32 *1280:A2 *278:8 6.47471e-05
33 *1280:B1 *278:8 9.91086e-05
34 *1282:A2 *278:46 0.000178847
35 *1282:B1 *278:46 0.000433698
36 *1321:D *278:46 0.000135577
37 *5:29 *1276:B 5.52238e-05
38 *10:12 *1276:B 0.000129523
39 *13:16 *278:8 0.000279342
40 *13:16 *278:12 0.000341239
41 *49:19 *1274:A 0
42 *49:19 *278:46 0.000118277
43 *87:11 *1276:B 0
44 *168:84 *1274:A 1.24368e-05
45 *168:84 *278:52 3.30237e-05
46 *172:29 *278:12 6.30931e-05
47 *229:11 *278:46 9.60875e-05
48 *230:21 *278:66 2.16315e-05
49 *233:7 *1209:B 9.66073e-06
50 *241:17 *278:46 7.29646e-05
*RES
1 *1317:Q *278:8 18.5143
2 *278:8 *278:12 9.17857
3 *278:12 *1209:B 19.0098
4 *278:12 *1212:B 9.3
5 *278:8 *278:46 27.8036
6 *278:46 *278:52 6.92857
7 *278:52 *1208:B 9.3
8 *278:52 *278:66 16.2321
9 *278:66 *1276:B 21.6929
10 *278:66 *1213:A2 9.3
11 *278:46 *1274:A 11.1036
*END
*D_NET *279 0.00691721
*CONN
*I *1279:B2 I *D sky130_fd_sc_hd__o22a_1
*I *1213:B1 I *D sky130_fd_sc_hd__a21o_1
*I *1277:A1 I *D sky130_fd_sc_hd__a221o_1
*I *1212:C I *D sky130_fd_sc_hd__and3_1
*I *1318:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1279:B2 4.19379e-06
2 *1213:B1 0.000465171
3 *1277:A1 7.73194e-05
4 *1212:C 0.000369102
5 *1318:Q 0.000237448
6 *279:35 0.00075431
7 *279:10 0.000639044
8 *279:8 0.000715016
9 *1212:C *1283:A 0.000218726
10 *1212:C *326:22 0.000136951
11 *1213:B1 *1279:A1 9.3111e-05
12 *1213:B1 *333:11 0.000139208
13 *1277:A1 *1283:A 5.33005e-05
14 *279:8 *1282:A1 3.52562e-05
15 *279:8 *281:27 0.000114577
16 *279:10 *1282:A1 2.91887e-05
17 *279:10 *1283:A 3.06466e-05
18 *1213:A1 *1213:B1 4.21121e-05
19 *1277:A2 *1277:A1 4.15744e-05
20 *1277:B2 *1277:A1 1.11453e-05
21 *1279:A2 *1213:B1 5.7661e-06
22 *1279:A2 *1279:B2 1.97695e-05
23 *1280:B1 *1213:B1 3.14078e-05
24 *1280:B1 *279:35 0.000543664
25 *1281:A1 *1212:C 0.000110574
26 *1281:B1 *1212:C 0.000197262
27 *1281:B1 *279:10 0.000313643
28 *1282:B1 *279:8 0.000121573
29 *1282:B1 *279:10 0.000220185
30 *1282:B2 *1212:C 3.22964e-05
31 *1318:D *279:10 0
32 *164:17 *1213:B1 0.00047871
33 *172:14 *279:10 4.60232e-06
34 *172:29 *1277:A1 4.35597e-05
35 *230:21 *1277:A1 0.000223469
36 *233:7 *1212:C 8.08483e-05
37 *233:34 *1212:C 0.000135028
38 *234:11 *1213:B1 0.000147446
*RES
1 *1318:Q *279:8 17.6214
2 *279:8 *279:10 5.28571
3 *279:10 *1212:C 21.9786
4 *279:10 *1277:A1 16.4071
5 *279:8 *279:35 10.9286
6 *279:35 *1213:B1 20.3179
7 *279:35 *1279:B2 9.72857
*END
*D_NET *280 0.011619
*CONN
*I *1217:A I *D sky130_fd_sc_hd__or2_1
*I *1279:A1 I *D sky130_fd_sc_hd__o22a_1
*I *1220:A I *D sky130_fd_sc_hd__and3_1
*I *1216:A I *D sky130_fd_sc_hd__and2_1
*I *1280:B2 I *D sky130_fd_sc_hd__a22o_1
*I *1319:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1217:A 0.000108095
2 *1279:A1 0.000447432
3 *1220:A 0
4 *1216:A 0.000134009
5 *1280:B2 0.000139904
6 *1319:Q 0.000163613
7 *280:38 0.000656087
8 *280:26 0.000646302
9 *280:21 0.00106512
10 *280:6 0.000956899
11 *1279:A1 *1269:A 0.000139637
12 *280:21 *1221:A 2.60731e-05
13 *280:21 *1280:A1 4.98329e-05
14 *280:21 *1289:A_N 0.000238118
15 *280:26 *1254:A2 3.69047e-06
16 *280:26 *326:22 4.20079e-05
17 io_out[20] *280:6 0
18 io_out[20] *280:21 3.89555e-05
19 *1195:A *1216:A 0.000379775
20 *1209:B *1217:A 0.000154332
21 *1212:A *1217:A 0.000136953
22 *1213:B1 *1279:A1 9.3111e-05
23 *1214:A_N *1217:A 5.45307e-05
24 *1220:C *280:26 0.000135028
25 *1220:C *280:38 0.000630498
26 *1228:C *1279:A1 0.000303368
27 *1228:C *280:38 4.32638e-05
28 *1280:A2 *280:21 0.000217318
29 *1280:B1 *1280:B2 6.60281e-05
30 *1280:B1 *280:21 4.28249e-05
31 *1283:B *280:21 5.26224e-05
32 *1299:D *280:26 8.23182e-05
33 *1316:D *1216:A 0.000378257
34 *1317:D *1280:B2 1.26641e-05
35 *1317:D *280:6 3.09981e-05
36 *1320:D *1279:A1 0.00072573
37 *5:33 *1279:A1 0.00072573
38 *13:16 *280:21 1.69115e-05
39 *13:16 *280:26 7.90327e-05
40 *90:22 *1280:B2 6.43424e-05
41 *90:22 *280:6 0.000238312
42 *91:17 *280:21 0.000464502
43 *164:17 *1279:A1 0.00018077
44 *168:33 *1280:B2 8.28647e-05
45 *168:48 *280:21 4.00349e-05
46 *170:13 *280:21 4.75956e-06
47 *170:13 *280:26 9.44233e-05
48 *172:29 *280:21 6.47471e-05
49 *233:7 *1217:A 1.10868e-05
50 *235:24 *280:6 2.57006e-05
51 *239:14 *280:26 9.38921e-05
52 *239:14 *280:38 5.01338e-05
53 *241:17 *1280:B2 7.48343e-05
54 *241:17 *280:21 8.76591e-06
55 *242:21 *1279:A1 0.000117809
56 *242:21 *280:38 0.000528441
57 *277:8 *1280:B2 7.85891e-05
58 *278:8 *280:21 4.91753e-05
59 *278:12 *280:21 0.0001287
*RES
1 *1319:Q *280:6 17.1125
2 *280:6 *1280:B2 17.3625
3 *280:6 *280:21 15.8294
4 *280:21 *280:26 11.2857
5 *280:26 *1216:A 23.2821
6 *280:26 *280:38 7.53571
7 *280:38 *1220:A 9.3
8 *280:38 *1279:A1 33.425
9 *280:21 *1217:A 17.2286
*END
*D_NET *281 0.0116521
*CONN
*I *1220:B I *D sky130_fd_sc_hd__and3_1
*I *1280:A1 I *D sky130_fd_sc_hd__a22o_1
*I *1221:A I *D sky130_fd_sc_hd__or2_1
*I *1272:B2 I *D sky130_fd_sc_hd__o22a_1
*I *1320:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1220:B 0.00134585
2 *1280:A1 9.54569e-05
3 *1221:A 0.000351409
4 *1272:B2 2.28141e-05
5 *1320:Q 0
6 *281:41 0.000510366
7 *281:27 0.00120498
8 *281:4 0.00246452
9 *1220:B *1269:A 4.31483e-05
10 *1220:B *333:11 0
11 *281:27 *1320:CLK 0.00012747
12 *281:27 *326:76 0.000193424
13 *281:27 *326:94 4.27729e-05
14 *281:27 *327:32 9.90367e-05
15 io_out[20] *1221:A 0.000223924
16 io_out[20] *1280:A1 0.00017698
17 *1210:A *1221:A 6.05161e-06
18 *1210:C *1221:A 0.000130952
19 *1211:A *1221:A 2.2816e-05
20 *1222:B *1221:A 0.000178425
21 *1228:B *1220:B 5.83304e-05
22 *1228:C *1220:B 0.000387079
23 *1269:B *1220:B 0.000228168
24 *1272:A2 *281:41 5.33005e-05
25 *1272:B1 *1272:B2 2.38839e-05
26 *1272:B1 *281:27 2.14658e-05
27 *1272:B1 *281:41 2.22299e-05
28 *1277:C1 *1220:B 0.000153903
29 *1280:A2 *1221:A 7.14469e-05
30 *1280:A2 *1280:A1 8.61216e-05
31 *1282:A2 *281:27 0.00013389
32 *1282:A2 *281:41 0.000136958
33 *1282:B1 *281:27 0.000179745
34 *1283:B *1221:A 5.26224e-05
35 *1316:D *281:27 0.000195139
36 *1318:D *281:27 1.64343e-05
37 *1320:D *281:27 0.000101117
38 *5:29 *1220:B 9.07239e-05
39 *5:29 *281:27 1.00073e-05
40 *10:12 *1220:B 4.16114e-05
41 *48:14 *281:27 0.00031389
42 *168:48 *1221:A 3.1412e-05
43 *229:11 *281:27 2.24195e-05
44 *230:21 *1220:B 0
45 *230:21 *1221:A 5.62139e-05
46 *234:11 *281:27 0.000267279
47 *236:11 *1221:A 6.3811e-05
48 *242:21 *1220:B 0.000307325
49 *245:15 *1220:B 0.000581371
50 *277:8 *1280:A1 1.42135e-05
51 *277:53 *281:27 0.00023986
52 *278:46 *281:27 0.000178847
53 *278:66 *1220:B 8.0347e-05
54 *279:8 *281:27 0.000114577
55 *280:21 *1221:A 2.60731e-05
56 *280:21 *1280:A1 4.98329e-05
*RES
1 *1320:Q *281:4 9.3
2 *281:4 *281:27 44
3 *281:27 *1272:B2 9.85804
4 *281:27 *281:41 6.28571
5 *281:41 *1221:A 22.0321
6 *281:41 *1280:A1 16.4964
7 *281:4 *1220:B 43.0143
*END
*D_NET *282 0.0124403
*CONN
*I *1228:A I *D sky130_fd_sc_hd__and3_1
*I *1282:A1 I *D sky130_fd_sc_hd__a221oi_4
*I *1272:A1 I *D sky130_fd_sc_hd__o22a_1
*I *1225:A I *D sky130_fd_sc_hd__nand2_1
*I *1224:A I *D sky130_fd_sc_hd__or2_1
*I *1321:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1228:A 0.00130955
2 *1282:A1 0.000341256
3 *1272:A1 0.000397135
4 *1225:A 0.000165449
5 *1224:A 4.02701e-05
6 *1321:Q 6.94264e-05
7 *282:27 0.000768317
8 *282:11 0.000697937
9 *282:7 0.000522143
10 *282:5 0.00137898
11 *1224:A *1254:A2 9.41642e-05
12 *1228:A *1274:B_N 5.33005e-05
13 *1228:A *327:20 2.83129e-05
14 *1228:A *328:38 4.33002e-05
15 *282:11 *1254:A1 0
16 *282:11 *1254:A2 0.000359829
17 *282:11 *1283:A 0
18 io_oeb[19] *1282:A1 0.000515527
19 *1195:A *282:11 0.000691419
20 *1222:B *1272:A1 9.73239e-06
21 *1225:B *1225:A 0.000327613
22 *1272:A2 *1272:A1 4.08637e-05
23 *1277:A2 *1228:A 1.90936e-05
24 *1281:A1 *282:11 0.000311416
25 *1281:A2 *1228:A 2.04825e-05
26 *1281:B1 *1282:A1 7.26764e-05
27 *1281:B1 *282:11 3.34687e-05
28 *1281:B1 *282:27 1.78394e-05
29 *1282:B1 *1282:A1 0
30 *1316:D *1282:A1 1.9429e-05
31 *1316:D *282:11 3.43988e-06
32 *1316:D *282:27 7.55308e-06
33 *1319:D *1282:A1 5.33433e-05
34 *1321:D *1228:A 0.000202986
35 *13:16 *1225:A 6.87839e-06
36 *49:19 *1228:A 0.000852006
37 *156:13 *1272:A1 9.41642e-05
38 *157:31 *1272:A1 0.000136676
39 *159:8 *1282:A1 9.90431e-05
40 *164:17 *1228:A 4.33002e-05
41 *168:48 *1272:A1 1.90936e-05
42 *169:23 *1272:A1 0.000753308
43 *221:13 *1272:A1 0.000707312
44 *235:24 *1272:A1 9.51343e-06
45 *239:14 *1225:A 3.17148e-05
46 *239:28 *1224:A 5.33005e-05
47 *241:17 *1225:A 0.000376238
48 *242:21 *282:11 6.09572e-05
49 *278:46 *1228:A 0.000417804
50 *278:46 *282:5 9.83388e-05
51 *279:8 *1282:A1 3.52562e-05
52 *279:10 *1282:A1 2.91887e-05
*RES
1 *1321:Q *282:5 10.2464
2 *282:5 *282:7 4.5
3 *282:7 *282:11 17.1429
4 *282:11 *1224:A 10.2464
5 *282:11 *1225:A 23.2821
6 *282:7 *282:27 0.580357
7 *282:27 *1272:A1 23.5261
8 *282:27 *1282:A1 22.6125
9 *282:5 *1228:A 34.6472
*END
*D_NET *283 0.00187882
*CONN
*I *1282:C1 I *D sky130_fd_sc_hd__a221oi_4
*I *1322:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1282:C1 0.000593166
2 *1322:Q 0.000593166
3 *1282:C1 *1322:CLK 2.28499e-05
4 *1282:C1 *304:15 9.28413e-05
5 *141:11 *1282:C1 0.000433952
6 *168:84 *1282:C1 0.00014285
*RES
1 *1322:Q *1282:C1 29.1536
*END
*D_NET *284 0.0154688
*CONN
*I *1289:B I *D sky130_fd_sc_hd__and2b_1
*I *1189:A1 I *D sky130_fd_sc_hd__a21o_1
*I *1185:A I *D sky130_fd_sc_hd__nand2_1
*I *1184:A I *D sky130_fd_sc_hd__or2_1
*I *1188:A I *D sky130_fd_sc_hd__and3_1
*I *1182:A_N I *D sky130_fd_sc_hd__and2b_1
*I *1309:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1289:B 0.000426161
2 *1189:A1 0
3 *1185:A 0.000888368
4 *1184:A 6.20643e-05
5 *1188:A 0
6 *1182:A_N 3.97495e-05
7 *1309:Q 0.000277706
8 *284:48 0.00121218
9 *284:37 0.000815331
10 *284:14 0.000727142
11 *284:10 0.000763691
12 *284:8 0.00133375
13 *1184:A *1184:B 9.41642e-05
14 *1185:A *1185:B 0.000621372
15 *1185:A *1331:A 0.000100385
16 *1185:A *289:65 0.000211948
17 *1289:B *1294:B2 2.59355e-05
18 *284:8 *326:111 0.000141504
19 *284:10 *1189:A2 4.33227e-05
20 *284:10 *285:22 9.52638e-05
21 *284:10 *288:10 0.000276027
22 *284:10 *289:10 0
23 *284:14 *1189:A2 9.54798e-06
24 *284:37 *1188:C 0.000140719
25 *284:37 *1197:A 5.52238e-05
26 *284:48 *1188:C 8.95814e-05
27 *284:48 *1331:A 0.000212849
28 *284:48 *289:65 0.000367729
29 *284:48 *326:36 0.000163503
30 io_oeb[22] *284:10 0
31 io_oeb[26] *1184:A 0.000177545
32 io_oeb[26] *1185:A 8.2607e-05
33 io_oeb[32] *1185:A 0.000232399
34 io_out[22] *284:8 3.99243e-05
35 io_out[22] *284:10 5.65092e-05
36 io_out[26] *284:14 0
37 io_out[27] *284:10 0.000109871
38 io_out[27] *284:14 0.000181911
39 *343:DIODE *1185:A 3.14048e-05
40 *1173:B *1185:A 2.12933e-05
41 *1178:C *1185:A 0.00152142
42 *1186:A *284:37 7.20217e-06
43 *1186:A *284:48 0.000136928
44 *1186:B *1184:A 2.42516e-05
45 *1186:B *1185:A 2.79421e-05
46 *1186:C *284:48 9.60875e-05
47 *1198:A_N *1182:A_N 0.000230432
48 *1198:A_N *284:37 0.000200319
49 *1198:B *1182:A_N 0.00012306
50 *1198:B *284:37 0.000220255
51 *1286:B1 *284:10 0.000109535
52 *1291:B1 *284:10 3.50838e-05
53 *1294:B1 *1289:B 4.44367e-05
54 *1296:B1 *284:10 0
55 *1315:D *284:10 5.41027e-05
56 *14:19 *1185:A 2.74584e-05
57 *15:26 *284:10 0.000106291
58 *17:14 *1185:A 0.000293888
59 *92:14 *1185:A 0.000297694
60 *170:23 *1289:B 0.000358971
61 *214:15 *1185:A 0.00116084
62 *222:28 *284:37 0.000211201
63 *228:16 *284:37 5.27199e-05
*RES
1 *1309:Q *284:8 17.7286
2 *284:8 *284:10 12.5714
3 *284:10 *284:14 7.05357
4 *284:14 *1182:A_N 11.4786
5 *284:14 *284:37 11.7857
6 *284:37 *1188:A 9.3
7 *284:37 *284:48 16.5714
8 *284:48 *1184:A 11.0679
9 *284:48 *1185:A 49.8179
10 *284:10 *1189:A1 13.8
11 *284:8 *1289:B 20.9071
*END
*D_NET *285 0.0160617
*CONN
*I *1290:B I *D sky130_fd_sc_hd__and2b_1
*I *1288:A I *D sky130_fd_sc_hd__or2b_1
*I *1188:B I *D sky130_fd_sc_hd__and3_1
*I *1184:B I *D sky130_fd_sc_hd__or2_1
*I *1185:B I *D sky130_fd_sc_hd__nand2_1
*I *1189:A2 I *D sky130_fd_sc_hd__a21o_1
*I *1310:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1290:B 0
2 *1288:A 0.000599189
3 *1188:B 0
4 *1184:B 9.5352e-05
5 *1185:B 0.000926288
6 *1189:A2 4.19951e-05
7 *1310:Q 0.000153096
8 *285:64 0.00111713
9 *285:33 0.00126638
10 *285:25 0.00036677
11 *285:22 0.0013089
12 *285:7 0.000864027
13 *1185:B *1331:A 0.000305231
14 *1185:B *292:77 0.000284544
15 *1185:B *309:10 0.000427318
16 *1185:B *323:8 0.000853525
17 *1185:B *324:11 0.000191921
18 *1288:A *1288:B_N 0
19 *1288:A *1289:A_N 9.15186e-06
20 *1288:A *326:111 0.000189335
21 *285:22 *1200:A 5.49544e-05
22 *285:22 *289:10 0
23 *285:22 *289:33 0.000260574
24 *285:25 *309:12 0.000243401
25 *285:25 *326:36 0.000104778
26 *285:33 *1331:A 0.00018948
27 *285:33 *309:12 0.0001678
28 *285:33 *326:36 0.000167246
29 *285:64 *1192:A 1.21258e-05
30 *285:64 *1290:A_N 9.71197e-05
31 *285:64 *289:65 2.11372e-05
32 io_oeb[26] *1184:B 3.97677e-05
33 io_oeb[32] *1185:B 4.13595e-05
34 io_out[26] *1189:A2 0
35 io_out[26] *285:22 0
36 *1184:A *1184:B 9.41642e-05
37 *1185:A *1185:B 0.000621372
38 *1186:B *1184:B 6.05161e-06
39 *1186:C *1185:B 0.000346792
40 *1186:C *285:33 0.000324437
41 *1193:B *285:22 9.58181e-05
42 *1196:C *285:64 0.000239157
43 *1200:B *285:22 5.60962e-05
44 *1202:B *285:22 0.000216755
45 *1218:A_N *1288:A 0.000406518
46 *1218:B *285:64 3.34639e-05
47 *1291:C1 *1288:A 0.000141734
48 *1314:D *285:22 0.00021706
49 *14:19 *285:64 1.29096e-05
50 *15:26 *285:33 0.00011802
51 *17:14 *1185:B 0.000236666
52 *92:14 *1185:B 0.000151984
53 *170:23 *285:64 0.00050336
54 *181:29 *285:7 0.000226867
55 *197:13 *1185:B 0.000369394
56 *214:15 *1185:B 0.000220008
57 *216:6 *285:25 7.72473e-05
58 *216:6 *285:64 5.37664e-05
59 *216:9 *285:22 0.000362523
60 *216:9 *285:64 5.03772e-05
61 *221:13 *1288:A 2.27766e-05
62 *221:13 *285:64 0.000244795
63 *224:19 *285:33 6.35412e-05
64 *284:10 *1189:A2 4.33227e-05
65 *284:10 *285:22 9.52638e-05
66 *284:14 *1189:A2 9.54798e-06
*RES
1 *1310:Q *285:7 15.9786
2 *285:7 *1189:A2 14.5321
3 *285:7 *285:22 17.1964
4 *285:22 *285:25 7.96429
5 *285:25 *285:33 13.029
6 *285:33 *1185:B 43.1214
7 *285:33 *1184:B 15.5679
8 *285:25 *1188:B 13.8
9 *285:22 *285:64 20.25
10 *285:64 *1288:A 28.9786
11 *285:64 *1290:B 9.3
*END
*D_NET *286 0.00614765
*CONN
*I *1293:B2 I *D sky130_fd_sc_hd__o22a_1
*I *1291:A1 I *D sky130_fd_sc_hd__a221o_1
*I *1188:C I *D sky130_fd_sc_hd__and3_1
*I *1189:B1 I *D sky130_fd_sc_hd__a21o_1
*I *1311:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1293:B2 2.08002e-05
2 *1291:A1 0.000161721
3 *1188:C 0.00037364
4 *1189:B1 0.000187648
5 *1311:Q 0.000222053
6 *286:36 0.000217978
7 *286:16 0.000775192
8 *286:12 0.000471413
9 *1188:C *309:12 0
10 *286:12 *288:34 1.37836e-05
11 *286:12 *309:12 0
12 *286:16 *1197:A 5.41797e-05
13 *286:16 *288:34 2.61337e-05
14 *1186:A *1188:C 4.52907e-05
15 *1197:B *286:16 0.000233298
16 *1202:A *1189:B1 8.42609e-05
17 *1202:C *1188:C 0.000349594
18 *1202:C *1189:B1 0.000243405
19 *1218:A_N *286:12 1.01241e-05
20 *1291:A2 *1291:A1 0.000268774
21 *1291:A2 *1293:B2 1.00733e-05
22 *1291:A2 *286:36 1.98839e-05
23 *1291:B2 *1291:A1 1.38106e-05
24 *1295:A1 *1291:A1 0.000520087
25 *1295:A1 *286:36 0.000125547
26 *1295:A2 *286:12 8.00806e-05
27 *1296:B2 *286:12 9.81122e-05
28 *1310:D *1189:B1 0.000198813
29 *1314:D *286:16 0.000185939
30 *172:29 *286:12 8.10363e-06
31 *172:29 *286:16 6.2589e-06
32 *172:29 *286:36 9.71197e-05
33 *181:29 *1189:B1 0.000225193
34 *181:42 *1188:C 0.000123617
35 *181:42 *1189:B1 0.000425537
36 *227:13 *1188:C 1.98839e-05
37 *284:37 *1188:C 0.000140719
38 *284:48 *1188:C 8.95814e-05
*RES
1 *1311:Q *286:12 17.3536
2 *286:12 *286:16 9.17857
3 *286:16 *1189:B1 15.5857
4 *286:16 *1188:C 25.3714
5 *286:12 *286:36 5.85714
6 *286:36 *1291:A1 14.7821
7 *286:36 *1293:B2 9.72857
*END
*D_NET *287 0.00751365
*CONN
*I *1293:A1 I *D sky130_fd_sc_hd__o22a_1
*I *1196:A I *D sky130_fd_sc_hd__and3_1
*I *1192:A I *D sky130_fd_sc_hd__and2_1
*I *1193:A I *D sky130_fd_sc_hd__or2_1
*I *1294:B2 I *D sky130_fd_sc_hd__a22o_1
*I *1312:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1293:A1 0
2 *1196:A 1.11492e-05
3 *1192:A 0.000207371
4 *1193:A 0.000425857
5 *1294:B2 9.25446e-05
6 *1312:Q 0.00034488
7 *287:40 0.000634673
8 *287:20 0.0012654
9 *287:5 0.000860815
10 *1192:A *309:12 9.05568e-05
11 *1196:A *309:12 1.01912e-05
12 *287:20 *1294:A1 5.25192e-06
13 *287:40 *289:44 4.10455e-05
14 *287:40 *289:65 4.13107e-06
15 io_out[28] *1193:A 1.48981e-05
16 *1193:B *1193:A 0.000498484
17 *1194:A_N *1193:A 0.00014491
18 *1194:A_N *287:20 0.000322404
19 *1194:C *1193:A 2.18964e-05
20 *1194:C *287:20 0.000126515
21 *1200:B *1192:A 3.27461e-05
22 *1202:B *1193:A 0.000194234
23 *1218:B *1192:A 0.000138605
24 *1289:B *1294:B2 2.59355e-05
25 *1291:B1 *1294:B2 0.000122262
26 *1294:B1 *1193:A 6.81294e-05
27 *1294:B1 *287:20 0.000414979
28 *1296:B1 *287:5 1.09249e-05
29 *1315:D *287:5 0.000262082
30 *1315:D *287:20 0.000140884
31 *15:26 *1294:B2 6.61881e-05
32 *19:18 *287:40 9.09737e-05
33 *134:20 *1193:A 3.25339e-05
34 *172:29 *1192:A 9.21418e-06
35 *172:29 *287:40 0.000109422
36 *177:20 *1193:A 2.06178e-05
37 *177:20 *287:40 3.46295e-05
38 *216:9 *1192:A 0.000226835
39 *219:5 *1192:A 7.24711e-05
40 *222:10 *1192:A 0.000139851
41 *222:28 *1193:A 0.000165027
42 *285:64 *1192:A 1.21258e-05
*RES
1 *1312:Q *287:5 13.5321
2 *287:5 *1294:B2 20.4786
3 *287:5 *287:20 13.0893
4 *287:20 *1193:A 24.5321
5 *287:20 *287:40 7.65973
6 *287:40 *1192:A 19.5054
7 *287:40 *1196:A 14.0768
8 *287:20 *1293:A1 13.8
*END
*D_NET *288 0.00692678
*CONN
*I *1196:B I *D sky130_fd_sc_hd__and3_1
*I *1197:A I *D sky130_fd_sc_hd__or2_1
*I *1294:A1 I *D sky130_fd_sc_hd__a22o_1
*I *1286:B2 I *D sky130_fd_sc_hd__o22a_1
*I *1313:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1196:B 0.000280085
2 *1197:A 0.000269594
3 *1294:A1 0.00024569
4 *1286:B2 4.64423e-05
5 *1313:Q 0.000268908
6 *288:34 0.00108307
7 *288:10 0.000424715
8 *288:5 0.000934879
9 *1294:A1 *1286:A1 2.89114e-05
10 *1194:B *1197:A 0.000521363
11 *1194:B *288:34 0.000112188
12 *1194:C *1294:A1 7.55769e-05
13 *1218:A_N *288:34 3.06511e-05
14 *1286:A2 *1294:A1 9.41642e-05
15 *1286:A2 *288:10 5.78834e-05
16 *1286:B1 *1294:A1 4.28365e-05
17 *1291:A2 *288:5 1.57155e-05
18 *1291:A2 *288:34 0.000581556
19 *1291:B1 *288:10 7.93851e-05
20 *1291:B2 *1294:A1 0.000135028
21 *1291:C1 *1294:A1 9.41642e-05
22 *1295:A1 *1196:B 0.000393285
23 *1295:A1 *288:5 1.95906e-05
24 *1296:A2 *288:10 6.28248e-05
25 *1314:D *1197:A 0.000407917
26 *1315:D *1286:B2 3.18676e-05
27 *1315:D *1294:A1 3.269e-05
28 *15:26 *1294:A1 0.00011443
29 *228:16 *1197:A 1.07719e-05
30 *284:10 *288:10 0.000276027
31 *284:37 *1197:A 5.52238e-05
32 *286:12 *288:34 1.37836e-05
33 *286:16 *1197:A 5.41797e-05
34 *286:16 *288:34 2.61337e-05
35 *287:20 *1294:A1 5.25192e-06
*RES
1 *1313:Q *288:5 12.3
2 *288:5 *288:10 12.7679
3 *288:10 *1286:B2 10.6929
4 *288:10 *1294:A1 23.8536
5 *288:5 *288:34 12.0179
6 *288:34 *1197:A 21.4429
7 *288:34 *1196:B 17.6214
*END
*D_NET *289 0.0145196
*CONN
*I *1201:A I *D sky130_fd_sc_hd__nand2_1
*I *1200:A I *D sky130_fd_sc_hd__or2_1
*I *1204:A I *D sky130_fd_sc_hd__and3_1
*I *1286:A1 I *D sky130_fd_sc_hd__o22a_1
*I *1296:A1 I *D sky130_fd_sc_hd__a221oi_4
*I *1314:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1201:A 0
2 *1200:A 2.90888e-05
3 *1204:A 0.000217111
4 *1286:A1 0.000219391
5 *1296:A1 3.63958e-05
6 *1314:Q 4.75882e-05
7 *289:65 0.00258458
8 *289:44 0.0032259
9 *289:33 0.00115071
10 *289:10 0.000485497
11 *289:5 0.000598663
12 *289:65 *1284:A 0.000627946
13 *289:65 *1331:A 9.89818e-05
14 *289:65 *298:16 0
15 *289:65 *326:36 4.80107e-05
16 io_oeb[27] *289:44 0.00010023
17 io_oeb[27] *289:65 2.29896e-05
18 io_out[20] *289:65 1.38737e-05
19 io_out[26] *289:10 0.000406765
20 io_out[29] *289:65 0.000232358
21 *336:DIODE *289:65 1.24079e-05
22 *1185:A *289:65 0.000211948
23 *1190:B *1204:A 7.83587e-05
24 *1190:B *289:44 3.42042e-05
25 *1193:B *1204:A 0
26 *1194:C *289:44 8.03354e-06
27 *1197:B *1200:A 1.90936e-05
28 *1200:B *1200:A 7.69776e-06
29 *1204:B *1204:A 6.28435e-05
30 *1291:B1 *1286:A1 0.000232947
31 *1291:B2 *1286:A1 0.000164853
32 *1291:C1 *1286:A1 2.39876e-05
33 *1294:A1 *1286:A1 2.89114e-05
34 *1296:A2 *1286:A1 7.11463e-05
35 *1296:A2 *1296:A1 0
36 *1296:B1 *1296:A1 5.71472e-05
37 *1296:B2 *1286:A1 0.000395207
38 *1296:B2 *1296:A1 1.98839e-05
39 *1311:D *1286:A1 0.000121167
40 *1314:D *289:5 1.58163e-05
41 *1314:D *289:33 5.99526e-05
42 *1315:D *1286:A1 5.52302e-05
43 *1320:D *289:65 0
44 *14:19 *289:65 0.000674866
45 *15:26 *1204:A 1.81288e-05
46 *15:26 *1286:A1 6.20091e-06
47 *19:18 *289:44 0
48 *21:22 *1204:A 6.46107e-05
49 *53:17 *289:44 2.74457e-05
50 *57:16 *289:65 2.05823e-05
51 *139:13 *289:65 0.000698109
52 *170:13 *289:65 7.16068e-05
53 *172:29 *289:65 8.4702e-05
54 *177:20 *289:44 2.4175e-05
55 *181:32 *1204:A 1.68854e-05
56 *181:62 *1204:A 4.59234e-05
57 *181:62 *289:44 4.46186e-06
58 *216:6 *289:65 7.28087e-05
59 *216:9 *1200:A 5.33005e-05
60 *224:19 *1204:A 5.9301e-05
61 *284:10 *289:10 0
62 *284:48 *289:65 0.000367729
63 *285:22 *1200:A 5.49544e-05
64 *285:22 *289:10 0
65 *285:22 *289:33 0.000260574
66 *285:64 *289:65 2.11372e-05
67 *287:40 *289:44 4.10455e-05
68 *287:40 *289:65 4.13107e-06
*RES
1 *1314:Q *289:5 9.83571
2 *289:5 *289:10 14.2857
3 *289:10 *1296:A1 10.2643
4 *289:10 *1286:A1 25.8179
5 *289:5 *289:33 8.73214
6 *289:33 *1204:A 18.4786
7 *289:33 *289:44 5.04629
8 *289:44 *1200:A 18.0225
9 *289:44 *289:65 47.6694
10 *289:65 *1201:A 13.8
*END
*D_NET *290 0.00090484
*CONN
*I *1296:C1 I *D sky130_fd_sc_hd__a221oi_4
*I *1315:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1296:C1 0.000112574
2 *1315:Q 0.000112574
3 *1296:C1 *1309:CLK 0.000266094
4 *1296:C1 *324:96 7.95888e-05
5 *1296:C1 *326:119 0.000115625
6 *1296:C1 *326:121 0.000218386
*RES
1 *1315:Q *1296:C1 31.975
*END
*D_NET *291 0.0142046
*CONN
*I *1160:A I *D sky130_fd_sc_hd__or2_1
*I *1149:B I *D sky130_fd_sc_hd__and2b_1
*I *1165:A1 I *D sky130_fd_sc_hd__a21o_1
*I *1164:A I *D sky130_fd_sc_hd__and3_1
*I *1161:A I *D sky130_fd_sc_hd__nand2_1
*I *1158:A_N I *D sky130_fd_sc_hd__and2b_1
*I *1302:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1160:A 1.27355e-05
2 *1149:B 0.000217643
3 *1165:A1 0
4 *1164:A 0.000607257
5 *1161:A 0.000918856
6 *1158:A_N 0.000187907
7 *1302:Q 0.000169954
8 *291:79 0.000869982
9 *291:44 0.00104317
10 *291:11 0.00131882
11 *291:8 0.000770499
12 *291:5 0.000932095
13 *1149:B *1160:B 0.000180764
14 *1158:A_N *295:44 5.05056e-05
15 *1160:A *1160:B 4.25176e-05
16 *1161:A *1330:A 0.000351958
17 *1161:A *295:44 0.000473011
18 *1164:A *1164:C 2.0954e-05
19 *1164:A *1177:A 8.9356e-05
20 *1164:A *296:34 0.000143188
21 *291:5 *296:19 5.33005e-05
22 *291:8 *1154:B2 0.00011333
23 *291:8 *296:19 0
24 *291:11 *295:44 7.07312e-05
25 *291:44 *296:19 1.89507e-06
26 *291:44 *296:24 0.00010054
27 *291:79 *1153:B2 0.00055884
28 *291:79 *1156:C1 5.33005e-05
29 *291:79 *292:32 0.000299831
30 *291:79 *293:29 4.48128e-05
31 *291:79 *315:10 1.21258e-05
32 *291:79 *324:28 9.41642e-05
33 io_oeb[21] *1161:A 5.32559e-05
34 io_oeb[30] *291:44 0
35 io_oeb[31] *291:44 5.58875e-06
36 io_out[27] *1149:B 0.000191688
37 io_out[30] *1158:A_N 2.68288e-05
38 io_out[31] *291:11 0.000331966
39 *346:DIODE *1161:A 0.000204962
40 *1151:B2 *291:5 5.99526e-05
41 *1151:B2 *291:79 5.21937e-05
42 *1168:B *1149:B 0.000168579
43 *1168:B *1160:A 2.84109e-05
44 *1174:A_N *1149:B 0.000207621
45 *1174:B *1149:B 0.000347928
46 *1302:D *1164:A 6.89751e-05
47 *1306:D *1164:A 0
48 *20:14 *1161:A 8.91412e-05
49 *20:14 *291:11 3.24721e-05
50 *21:22 *1149:B 1.85668e-05
51 *21:22 *1158:A_N 0.000107581
52 *21:22 *291:11 0.00012005
53 *21:22 *291:79 0.00037277
54 *22:18 *291:79 1.15359e-05
55 *25:16 *1161:A 0.000485641
56 *25:16 *291:11 3.98338e-05
57 *59:14 *1158:A_N 1.42131e-05
58 *92:14 *1164:A 8.6229e-06
59 *95:17 *1161:A 0
60 *134:20 *1161:A 3.28681e-06
61 *190:8 *291:8 1.13265e-05
62 *190:8 *291:44 0.000154056
63 *192:17 *1158:A_N 1.94945e-05
64 *194:19 *1164:A 0.000137983
65 *194:47 *1164:A 0.000135028
66 *194:47 *291:44 0.00017311
67 *196:12 *1149:B 0.000139913
68 *197:13 *1161:A 0.000351958
69 *199:6 *1164:A 6.88963e-05
70 *199:22 *1164:A 1.85914e-05
71 *201:16 *1164:A 9.25014e-06
72 *205:12 *1158:A_N 0.000129248
*RES
1 *1302:Q *291:5 11.4786
2 *291:5 *291:8 6.59821
3 *291:8 *291:11 4.1056
4 *291:11 *1158:A_N 20.8618
5 *291:11 *1161:A 25.2468
6 *291:8 *291:44 11.7946
7 *291:44 *1164:A 27.9786
8 *291:44 *1165:A1 9.3
9 *291:5 *291:79 31.6429
10 *291:79 *1149:B 26.0321
11 *291:79 *1160:A 9.83571
*END
*D_NET *292 0.0130899
*CONN
*I *1148:A I *D sky130_fd_sc_hd__or2b_1
*I *1150:B I *D sky130_fd_sc_hd__and2b_1
*I *1161:B I *D sky130_fd_sc_hd__nand2_1
*I *1165:A2 I *D sky130_fd_sc_hd__a21o_1
*I *1164:B I *D sky130_fd_sc_hd__and3_1
*I *1160:B I *D sky130_fd_sc_hd__or2_1
*I *1303:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1148:A 0.000171291
2 *1150:B 0
3 *1161:B 0
4 *1165:A2 2.30855e-05
5 *1164:B 0.000165342
6 *1160:B 0.000494229
7 *1303:Q 0.000203607
8 *292:77 0.00114591
9 *292:45 0.000737913
10 *292:34 0.00179582
11 *292:32 0.000588883
12 *292:19 0.000912471
13 *292:5 0.000950557
14 *1160:B *1168:A 8.81651e-05
15 *1160:B *294:17 9.89983e-05
16 *1164:B *1164:C 0.000121423
17 *1164:B *296:34 7.95355e-05
18 *292:5 *1153:A1 2.51343e-06
19 *292:19 *1153:A1 8.25843e-06
20 *292:19 *1153:B2 5.03772e-05
21 *292:19 *294:30 5.74499e-06
22 *292:32 *1151:A1 2.11419e-05
23 *292:32 *1153:B2 9.90819e-06
24 *292:45 *1180:A 2.59355e-05
25 *292:45 *296:34 0.00018233
26 *292:45 *318:10 0.000112418
27 *292:77 *1176:A 2.36643e-05
28 *292:77 *309:10 0.000284544
29 io_out[30] *292:32 0.000265776
30 io_out[31] *292:32 0.000221353
31 *344:DIODE *292:45 1.59782e-05
32 *1149:B *1160:B 0.000180764
33 *1151:B1 *1148:A 9.41642e-05
34 *1151:B1 *292:32 0.000252611
35 *1151:B2 *1160:B 0
36 *1153:A2 *292:19 2.59355e-05
37 *1153:B1 *292:19 8.43535e-06
38 *1154:A2 *1164:B 5.52238e-05
39 *1155:A2 *1148:A 0.000238468
40 *1155:A2 *292:19 2.59355e-05
41 *1160:A *1160:B 4.25176e-05
42 *1168:B *1160:B 3.94655e-05
43 *1176:B *292:77 0.000256709
44 *1180:C *292:45 6.94118e-05
45 *1185:B *292:77 0.000284544
46 *18:18 *292:77 0
47 *21:22 *292:34 1.55052e-05
48 *21:22 *292:45 4.71941e-05
49 *26:11 *292:45 0.000150509
50 *59:14 *292:32 6.8335e-05
51 *59:14 *292:34 0.000117919
52 *95:17 *292:5 1.75415e-05
53 *132:19 *292:32 0.000219711
54 *132:19 *292:77 0.000768181
55 *185:6 *292:32 7.78829e-05
56 *185:6 *292:34 0.000183349
57 *185:6 *292:45 0.000216304
58 *192:17 *292:32 4.09966e-05
59 *194:19 *1164:B 6.05161e-06
60 *194:47 *1164:B 8.25114e-05
61 *194:47 *1165:A2 0
62 *194:47 *292:45 0.000117143
63 *196:12 *1160:B 6.12434e-05
64 *199:6 *1164:B 0.000122226
65 *205:12 *292:77 9.60939e-05
66 *291:79 *292:32 0.000299831
*RES
1 *1303:Q *292:5 11.4786
2 *292:5 *1160:B 25.5143
3 *292:5 *292:19 3
4 *292:19 *292:32 26
5 *292:32 *292:34 2.55357
6 *292:34 *292:45 15.4464
7 *292:45 *1164:B 22.2464
8 *292:45 *1165:A2 9.72857
9 *292:34 *292:77 41.3036
10 *292:77 *1161:B 9.3
11 *292:32 *1150:B 13.8
12 *292:19 *1148:A 12.9964
*END
*D_NET *293 0.00556771
*CONN
*I *1153:B2 I *D sky130_fd_sc_hd__o22a_1
*I *1151:A1 I *D sky130_fd_sc_hd__a221o_1
*I *1165:B1 I *D sky130_fd_sc_hd__a21o_1
*I *1164:C I *D sky130_fd_sc_hd__and3_1
*I *1304:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1153:B2 0.000328614
2 *1151:A1 0.000146029
3 *1165:B1 0
4 *1164:C 0.000127545
5 *1304:Q 0
6 *293:29 0.000750482
7 *293:10 0.000330544
8 *293:4 0.000478838
9 *1153:B2 *1153:A1 3.08382e-06
10 *1153:B2 *294:30 2.28499e-05
11 *1164:C *1177:A 9.50968e-06
12 *293:10 *295:25 4.58194e-05
13 *293:29 *1154:B2 2.84026e-05
14 *293:29 *295:25 9.39621e-05
15 *293:29 *295:44 3.29979e-05
16 io_oeb[30] *293:10 9.40798e-05
17 io_out[30] *1151:A1 1.61421e-05
18 io_out[30] *1153:B2 0.000190193
19 io_out[30] *293:29 0.000193635
20 *1151:C1 *1151:A1 9.71197e-05
21 *1153:B1 *1153:B2 5.33005e-05
22 *1154:A2 *293:29 5.42764e-05
23 *1164:A *1164:C 2.0954e-05
24 *1164:B *1164:C 0.000121423
25 *1304:D *293:10 5.48987e-05
26 *1304:D *293:29 0.000431585
27 *1306:D *1164:C 0.000236259
28 *1306:D *293:10 0.0001419
29 *16:18 *1151:A1 3.32631e-05
30 *21:22 *1153:B2 0.000175826
31 *21:22 *293:29 3.95086e-05
32 *24:15 *1151:A1 0
33 *132:19 *293:10 1.94879e-05
34 *192:17 *1151:A1 8.23597e-06
35 *194:18 *293:10 0.000330249
36 *199:6 *1164:C 1.01075e-05
37 *199:22 *1151:A1 0.000153064
38 *199:22 *1164:C 3.47641e-06
39 *199:22 *293:10 4.9663e-06
40 *291:79 *1153:B2 0.00055884
41 *291:79 *293:29 4.48128e-05
42 *292:19 *1153:B2 5.03772e-05
43 *292:32 *1151:A1 2.11419e-05
44 *292:32 *1153:B2 9.90819e-06
*RES
1 *1304:Q *293:4 9.3
2 *293:4 *293:10 10.5536
3 *293:10 *1164:C 17.1571
4 *293:10 *1165:B1 13.8
5 *293:4 *293:29 11.4375
6 *293:29 *1151:A1 22.1064
7 *293:29 *1153:B2 22.7375
*END
*D_NET *294 0.00771116
*CONN
*I *1168:A I *D sky130_fd_sc_hd__and2_1
*I *1172:A I *D sky130_fd_sc_hd__and3_1
*I *1169:A I *D sky130_fd_sc_hd__or2_1
*I *1153:A1 I *D sky130_fd_sc_hd__o22a_1
*I *1154:B2 I *D sky130_fd_sc_hd__a22o_1
*I *1305:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1168:A 0.000207232
2 *1172:A 0.000175424
3 *1169:A 0.000336912
4 *1153:A1 4.90716e-05
5 *1154:B2 0.000437307
6 *1305:Q 5.26593e-05
7 *294:30 0.000702898
8 *294:19 0.000239633
9 *294:17 0.000322138
10 *294:7 0.000604872
11 *1154:B2 *295:25 5.33005e-05
12 *1154:B2 *296:19 0
13 *1169:A *1150:A_N 0.00056612
14 *1169:A *1172:B 2.2351e-05
15 *1169:A *316:15 1.02433e-05
16 *294:30 *316:15 5.33005e-05
17 io_oeb[26] *1172:A 2.66687e-05
18 io_out[27] *1168:A 0.000286899
19 io_out[29] *1169:A 4.15526e-05
20 *1151:B2 *1154:B2 0
21 *1151:B2 *1168:A 0
22 *1153:A2 *1153:A1 6.05161e-06
23 *1153:A2 *1154:B2 0.00054995
24 *1153:A2 *294:17 0.00013747
25 *1153:A2 *294:30 1.98839e-05
26 *1153:B1 *294:30 0.000431615
27 *1153:B2 *1153:A1 3.08382e-06
28 *1153:B2 *294:30 2.28499e-05
29 *1155:A1 *1169:A 0.000254089
30 *1155:A2 *1169:A 0.000137336
31 *1155:A2 *294:30 1.40034e-05
32 *1160:B *1168:A 8.81651e-05
33 *1160:B *294:17 9.89983e-05
34 *1169:B *1169:A 9.41642e-05
35 *1170:B *1169:A 7.95917e-06
36 *1173:B *294:30 0.000222666
37 *1174:A_N *1172:A 9.10431e-06
38 *1178:A *1172:A 0
39 *1308:D *1169:A 1.81709e-05
40 *16:18 *1169:A 1.98839e-05
41 *21:22 *1168:A 1.89507e-06
42 *21:22 *294:17 1.14483e-05
43 *60:22 *294:7 0.000267731
44 *95:17 *1153:A1 5.33005e-05
45 *95:17 *294:30 0.000434564
46 *190:8 *1154:B2 8.6229e-06
47 *197:13 *1169:A 0.000127601
48 *199:34 *1172:A 8.6229e-06
49 *204:8 *294:7 0.000267731
50 *205:8 *1169:A 9.21418e-06
51 *205:8 *1172:A 3.81531e-05
52 *291:8 *1154:B2 0.00011333
53 *292:5 *1153:A1 2.51343e-06
54 *292:19 *1153:A1 8.25843e-06
55 *292:19 *294:30 5.74499e-06
56 *293:29 *1154:B2 2.84026e-05
*RES
1 *1305:Q *294:7 16.3893
2 *294:7 *1154:B2 22.05
3 *294:7 *294:17 2.55357
4 *294:17 *294:19 4.5
5 *294:19 *1153:A1 10.2643
6 *294:19 *294:30 11.1964
7 *294:30 *1169:A 23.175
8 *294:30 *1172:A 16.6393
9 *294:17 *1168:A 18.175
*END
*D_NET *295 0.0115956
*CONN
*I *1173:A I *D sky130_fd_sc_hd__or2_1
*I *1172:B I *D sky130_fd_sc_hd__and3_1
*I *1154:A1 I *D sky130_fd_sc_hd__a22o_1
*I *1146:B2 I *D sky130_fd_sc_hd__o22a_1
*I *1306:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1173:A 0.000473939
2 *1172:B 0.000792078
3 *1154:A1 0
4 *1146:B2 0.00016742
5 *1306:Q 0.000164642
6 *295:44 0.00176854
7 *295:25 0.00100239
8 *295:7 0.000831928
9 *1173:A *324:11 0.000262686
10 *295:25 *1306:CLK 9.60939e-05
11 io_oeb[21] *295:44 4.88232e-05
12 io_oeb[26] *1172:B 0.000183726
13 io_out[30] *295:44 2.74787e-05
14 io_out[31] *295:44 5.49489e-05
15 *346:DIODE *1173:A 5.42961e-05
16 *1151:A2 *1172:B 2.59355e-05
17 *1153:B1 *1172:B 0.000552916
18 *1154:A2 *1146:B2 2.59355e-05
19 *1154:B2 *295:25 5.33005e-05
20 *1156:A2 *1146:B2 0.000273836
21 *1158:A_N *295:44 5.05056e-05
22 *1161:A *295:44 0.000473011
23 *1169:A *1172:B 2.2351e-05
24 *1169:B *1172:B 9.41642e-05
25 *1169:B *1173:A 7.69776e-06
26 *1170:A_N *1172:B 0.000243561
27 *1170:B *1173:A 0.000120267
28 *1174:A_N *1172:B 6.1684e-05
29 *1176:B *295:44 8.34418e-05
30 *1178:B *1173:A 0
31 *1178:B *295:44 0
32 *1304:D *295:25 5.90455e-05
33 *1304:D *295:44 1.54142e-05
34 *1305:D *1146:B2 0.000355856
35 *1308:D *1172:B 8.98342e-05
36 *1308:D *1173:A 9.09523e-05
37 *1308:D *295:44 0.000194115
38 *1347:A *1173:A 0.000178425
39 *16:18 *1172:B 2.33614e-05
40 *20:14 *295:44 0.000206662
41 *21:22 *295:44 0.00011502
42 *22:18 *1172:B 6.36771e-05
43 *59:14 *1172:B 0.000126954
44 *92:17 *1146:B2 2.89114e-05
45 *131:13 *1173:A 0.000239204
46 *132:19 *295:25 0.000387796
47 *132:19 *295:44 0.000119018
48 *182:8 *1146:B2 7.95981e-05
49 *185:6 *1172:B 5.55449e-05
50 *192:17 *1172:B 1.52206e-05
51 *192:17 *295:44 0.000100919
52 *194:18 *295:25 0.000255778
53 *199:22 *295:25 0.000263551
54 *201:16 *295:25 2.72887e-05
55 *202:12 *1172:B 0.000193682
56 *205:8 *1172:B 4.86284e-05
57 *291:11 *295:44 7.07312e-05
58 *293:10 *295:25 4.58194e-05
59 *293:29 *295:25 9.39621e-05
60 *293:29 *295:44 3.29979e-05
*RES
1 *1306:Q *295:7 11.4964
2 *295:7 *1146:B2 23.5143
3 *295:7 *295:25 20.5179
4 *295:25 *1154:A1 9.3
5 *295:25 *295:44 18.8568
6 *295:44 *1172:B 38.925
7 *295:44 *1173:A 24.3357
*END
*D_NET *296 0.0107345
*CONN
*I *1156:A1 I *D sky130_fd_sc_hd__a221oi_4
*I *1176:A I *D sky130_fd_sc_hd__or2_1
*I *1180:A I *D sky130_fd_sc_hd__and3_1
*I *1177:A I *D sky130_fd_sc_hd__nand2_1
*I *1146:A1 I *D sky130_fd_sc_hd__o22a_1
*I *1307:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1156:A1 0.000143238
2 *1176:A 0.000489077
3 *1180:A 3.67829e-05
4 *1177:A 0.000339707
5 *1146:A1 4.04768e-05
6 *1307:Q 0.000212851
7 *296:34 0.000899306
8 *296:24 0.000691257
9 *296:19 0.00109865
10 *296:6 0.000830307
11 *1156:A1 *324:28 3.63805e-05
12 io_oeb[21] *1176:A 2.06112e-05
13 io_oeb[30] *1177:A 0.000192777
14 io_oeb[30] *296:19 0.000319869
15 io_oeb[30] *296:24 0
16 io_oeb[30] *296:34 0
17 io_oeb[31] *1177:A 0
18 io_oeb[31] *296:24 0.000142782
19 io_oeb[31] *296:34 7.60041e-05
20 io_out[26] *296:6 7.83659e-05
21 io_out[32] *1177:A 0.00037796
22 *1151:B2 *296:6 0.000459274
23 *1151:B2 *296:19 0.000220778
24 *1154:A2 *1146:A1 3.97677e-05
25 *1154:A2 *1177:A 0.00035013
26 *1154:B2 *296:19 0
27 *1156:B1 *1156:A1 0.000162456
28 *1158:B *1176:A 0.000180501
29 *1159:A *1176:A 1.58163e-05
30 *1164:A *1177:A 8.9356e-05
31 *1164:A *296:34 0.000143188
32 *1164:B *296:34 7.95355e-05
33 *1164:C *1177:A 9.50968e-06
34 *1166:B *296:34 0.000137983
35 *1167:A *1176:A 5.49544e-05
36 *1176:B *1176:A 0.000450908
37 *1302:D *296:34 0.000147347
38 *16:18 *296:19 3.49733e-05
39 *25:16 *1176:A 7.00437e-05
40 *59:14 *1176:A 0.00017947
41 *59:14 *296:6 0.000146042
42 *59:14 *296:19 7.82551e-05
43 *60:22 *296:6 6.60111e-05
44 *92:17 *1146:A1 4.58194e-05
45 *131:13 *1156:A1 0.000347181
46 *132:19 *1176:A 2.84109e-05
47 *194:7 *1156:A1 9.60939e-05
48 *194:18 *296:19 1.46976e-05
49 *194:19 *296:34 5.74499e-06
50 *194:47 *1180:A 7.6931e-05
51 *201:16 *1176:A 0.000190121
52 *201:16 *296:19 9.56348e-05
53 *205:12 *1176:A 0.000303542
54 *291:5 *296:19 5.33005e-05
55 *291:8 *296:19 0
56 *291:44 *296:19 1.89507e-06
57 *291:44 *296:24 0.00010054
58 *292:45 *1180:A 2.59355e-05
59 *292:45 *296:34 0.00018233
60 *292:77 *1176:A 2.36643e-05
*RES
1 *1307:Q *296:6 19.9964
2 *296:6 *296:19 23.7679
3 *296:19 *296:24 7.17857
4 *296:24 *296:34 21.5357
5 *296:34 *1146:A1 10.2464
6 *296:34 *1177:A 27.55
7 *296:24 *1180:A 14.7643
8 *296:19 *1176:A 30.55
9 *296:6 *1156:A1 18.05
*END
*D_NET *297 0.000524017
*CONN
*I *1156:C1 I *D sky130_fd_sc_hd__a221oi_4
*I *1308:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1156:C1 0.000123274
2 *1308:Q 0.000123274
3 *1156:C1 *315:10 0.000107387
4 *1156:C1 *324:28 0.000116782
5 *291:79 *1156:C1 5.33005e-05
*RES
1 *1308:Q *1156:C1 21.6357
*END
*D_NET *298 0.0060419
*CONN
*I *1330:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1255:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1330:A 0.00111429
2 *1255:X 0.00090961
3 *298:16 0.0020239
4 *298:16 *1333:A 0.000224385
5 *298:16 *310:11 0
6 *298:16 *327:20 5.44987e-05
7 io_out[27] *298:16 1.1991e-05
8 *338:DIODE *1330:A 1.03105e-05
9 *339:DIODE *1330:A 0.000183438
10 *1161:A *1330:A 0.000351958
11 *16:18 *1330:A 0.000315168
12 *129:14 *298:16 0.000224341
13 *172:14 *298:16 0.000233962
14 *197:13 *1330:A 0.000106166
15 *222:57 *298:16 0.000145399
16 *238:20 *298:16 0.00013248
17 *289:65 *298:16 0
*RES
1 *1255:X *298:16 39.7231
2 *298:16 *1330:A 28.7464
*END
*D_NET *299 0.00219331
*CONN
*I *1269:A I *D sky130_fd_sc_hd__xnor2_1
*I *1254:A0 I *D sky130_fd_sc_hd__mux4_1
*I *1298:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1269:A 0.000155154
2 *1254:A0 8.95723e-05
3 *1298:Q 0.00034333
4 *299:8 0.000588056
5 *299:8 *1333:A 2.36158e-05
6 *1220:B *1269:A 4.31483e-05
7 *1227:A *1254:A0 0.000218542
8 *1227:A *299:8 0.000113038
9 *1228:C *299:8 7.6644e-05
10 *1279:A1 *1269:A 0.000139637
11 *1281:A2 *299:8 6.05161e-06
12 *1320:D *1254:A0 0.000108788
13 *1320:D *299:8 2.16719e-05
14 *242:21 *1269:A 0.000266064
*RES
1 *1298:Q *299:8 18.4429
2 *299:8 *1254:A0 16.6571
3 *299:8 *1269:A 17.6214
*END
*D_NET *300 0.0040526
*CONN
*I *1254:A1 I *D sky130_fd_sc_hd__mux4_1
*I *1283:A I *D sky130_fd_sc_hd__xnor2_1
*I *1299:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1254:A1 0.000839742
2 *1283:A 0.000383387
3 *1299:Q 0
4 *300:4 0.00122313
5 *1254:A1 *1254:A2 1.82292e-05
6 *1254:A1 *1254:A3 3.61761e-05
7 *1254:A1 *1254:S0 9.92016e-05
8 *1254:A1 *1254:S1 8.50099e-05
9 *1212:C *1283:A 0.000218726
10 *1220:C *1254:A1 4.17433e-05
11 *1220:C *1283:A 9.99853e-05
12 *1277:A1 *1283:A 5.33005e-05
13 *1281:A1 *1283:A 6.8646e-06
14 *1318:D *1254:A1 0.00013572
15 *1318:D *1283:A 0
16 *97:17 *1254:A1 6.94985e-06
17 *138:22 *1254:A1 7.99569e-05
18 *172:14 *1283:A 9.50426e-05
19 *172:29 *1283:A 0.000382744
20 *230:21 *1283:A 0.000162863
21 *239:14 *1254:A1 5.31855e-05
22 *279:10 *1283:A 3.06466e-05
23 *282:11 *1254:A1 0
24 *282:11 *1283:A 0
*RES
1 *1299:Q *300:4 9.3
2 *300:4 *1283:A 27.4429
3 *300:4 *1254:A1 30.3357
*END
*D_NET *301 0.00580416
*CONN
*I *1254:A2 I *D sky130_fd_sc_hd__mux4_1
*I *1297:A I *D sky130_fd_sc_hd__xnor2_1
*I *1300:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1254:A2 0.000901017
2 *1297:A 0.000958037
3 *1300:Q 0
4 *301:4 0.00185905
5 *1254:A2 *1254:S1 0.000278837
6 *1254:A2 *1333:A 1.94135e-05
7 *1254:A2 *327:20 9.57416e-06
8 *1297:A *1300:CLK 2.89016e-05
9 *1186:A *1297:A 6.15517e-05
10 *1203:A *1254:A2 0.00012401
11 *1222:A_N *1254:A2 6.05161e-06
12 *1224:A *1254:A2 9.41642e-05
13 *1254:A1 *1254:A2 1.82292e-05
14 *1300:D *1297:A 0.000245061
15 *13:16 *1254:A2 6.19181e-05
16 *97:17 *1254:A2 9.55695e-05
17 *157:26 *1297:A 4.35306e-05
18 *172:14 *1254:A2 4.43299e-05
19 *227:13 *1254:A2 4.58194e-05
20 *227:13 *1297:A 0.000119109
21 *239:28 *1254:A2 4.43256e-05
22 *242:21 *1254:A2 0.000382133
23 *280:26 *1254:A2 3.69047e-06
24 *282:11 *1254:A2 0.000359829
*RES
1 *1300:Q *301:4 9.3
2 *301:4 *1297:A 21.3268
3 *301:4 *1254:A2 44.8794
*END
*D_NET *302 0.00588406
*CONN
*I *1254:A3 I *D sky130_fd_sc_hd__mux4_1
*I *1157:A I *D sky130_fd_sc_hd__xnor2_1
*I *1301:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1254:A3 0.000993633
2 *1157:A 0.00045207
3 *1301:Q 8.55959e-05
4 *302:7 0.0015313
5 *1157:A *1301:CLK 0.000216755
6 *1254:A3 *1254:S0 9.41642e-05
7 *1178:A *1157:A 6.05161e-06
8 *1187:A *1254:A3 0.000218968
9 *1215:A *1254:A3 0.000136676
10 *1216:B *1254:A3 9.41642e-05
11 *1254:A1 *1254:A3 3.61761e-05
12 *1255:A *1254:A3 0.000136682
13 *1301:D *1157:A 0.000181294
14 *1310:D *1157:A 0.000361924
15 *1318:D *1254:A3 5.49489e-05
16 *1321:D *1254:A3 0.000223185
17 *97:17 *1254:A3 0.000177263
18 *129:14 *1157:A 2.12087e-05
19 *133:31 *1157:A 5.49544e-05
20 *133:31 *1254:A3 0.000402861
21 *141:11 *1254:A3 0.000214605
22 *206:15 *1157:A 9.84482e-05
23 *215:16 *1157:A 1.32681e-05
24 *235:24 *1254:A3 2.26424e-05
25 *236:11 *1254:A3 5.52238e-05
*RES
1 *1301:Q *302:7 10.675
2 *302:7 *1157:A 19.8357
3 *302:7 *1254:A3 38.8179
*END
*D_NET *303 0.00635116
*CONN
*I *1254:S1 I *D sky130_fd_sc_hd__mux4_1
*I *1335:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1254:S1 0.00273959
2 *1335:X 0.00273959
3 *1254:S1 *325:8 6.98971e-05
4 *1254:S1 *327:20 6.11781e-05
5 *1247:A *1254:S1 8.87461e-06
6 *1249:B *1254:S1 7.68857e-05
7 *1254:A1 *1254:S1 8.50099e-05
8 *1254:A2 *1254:S1 0.000278837
9 *4:25 *1254:S1 0.000141623
10 *97:17 *1254:S1 8.92707e-05
11 *138:22 *1254:S1 4.57524e-05
12 *267:19 *1254:S1 1.46617e-05
*RES
1 *1335:X *1254:S1 42.4642
*END
*D_NET *304 0.00620777
*CONN
*I *1273:A I *D sky130_fd_sc_hd__inv_2
*I *1336:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1273:A 0
2 *1336:X 0.00227271
3 *304:15 0.00227271
4 *304:15 *1322:CLK 0.00030398
5 *304:15 *326:111 8.97609e-05
6 *1282:C1 *304:15 9.28413e-05
7 *1319:D *304:15 0.000887238
8 *141:11 *304:15 4.43718e-05
9 *159:8 *304:15 0.000244162
*RES
1 *1336:X *304:15 41.6571
2 *304:15 *1273:A 9.3
*END
*D_NET *305 0.00587872
*CONN
*I *1278:A I *D sky130_fd_sc_hd__inv_2
*I *1337:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1278:A 0
2 *1337:X 0.00138167
3 *305:14 0.00138167
4 *305:14 *322:8 0.000206314
5 *1187:A *305:14 0.000360196
6 *1225:B *305:14 3.18676e-05
7 *1247:A *305:14 9.01864e-05
8 *13:16 *305:14 0.000177821
9 *146:17 *305:14 0.000122032
10 *164:17 *305:14 1.76135e-05
11 *222:57 *305:14 0.000361213
12 *226:17 *305:14 8.43535e-06
13 *229:11 *305:14 0.000225662
14 *243:15 *305:14 0.00098518
15 *245:15 *305:14 0.000528856
*RES
1 *1337:X *305:14 47.7464
2 *305:14 *1278:A 13.8
*END
*D_NET *306 0.00104288
*CONN
*I *1271:A I *D sky130_fd_sc_hd__inv_2
*I *1338:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1271:A 0.000194561
2 *1338:X 0.000194561
3 *1271:A *1270:A 0.000135028
4 *14:19 *1271:A 0.000149159
5 *206:15 *1271:A 0.000303865
6 *222:57 *1271:A 6.57032e-05
*RES
1 *1338:X *1271:A 33.0286
*END
*D_NET *307 0.00335737
*CONN
*I *1270:A I *D sky130_fd_sc_hd__inv_2
*I *1339:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1270:A 0.000835696
2 *1339:X 0.000835696
3 *1270:A *313:10 0
4 *1270:A *316:15 0.000228508
5 io_oeb[26] *1270:A 0.000385277
6 *336:DIODE *1270:A 6.8646e-06
7 *337:DIODE *1270:A 3.50409e-05
8 *1186:B *1270:A 3.69047e-06
9 *1203:A *1270:A 0.000489711
10 *1271:A *1270:A 0.000135028
11 *57:16 *1270:A 4.80553e-05
12 *90:22 *1270:A 0.000286858
13 *170:13 *1270:A 0
14 *222:57 *1270:A 6.69444e-05
*RES
1 *1339:X *1270:A 43.1357
*END
*D_NET *308 0.0106168
*CONN
*I *1289:A_N I *D sky130_fd_sc_hd__and2b_1
*I *1340:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1289:A_N 0.00282076
2 *1340:X 0.00282076
3 *1289:A_N *1288:B_N 5.7903e-06
4 io_out[24] *1289:A_N 0.00235301
5 io_out[25] *1289:A_N 0.000216492
6 *338:DIODE *1289:A_N 9.59532e-06
7 *1195:A *1289:A_N 0.000152693
8 *1199:A *1289:A_N 0
9 *1288:A *1289:A_N 9.15186e-06
10 *91:17 *1289:A_N 0.000245046
11 *169:23 *1289:A_N 0
12 *229:11 *1289:A_N 0.000185417
13 *238:20 *1289:A_N 0.00150793
14 *241:17 *1289:A_N 5.20564e-05
15 *280:21 *1289:A_N 0.000238118
*RES
1 *1340:X *1289:A_N 49.7178
*END
*D_NET *309 0.00956897
*CONN
*I *1288:B_N I *D sky130_fd_sc_hd__or2b_1
*I *1290:A_N I *D sky130_fd_sc_hd__and2b_1
*I *1341:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1288:B_N 0.000446317
2 *1290:A_N 2.91227e-05
3 *1341:X 0.000881599
4 *309:12 0.00133506
5 *309:10 0.00174122
6 *1288:B_N *326:111 0.000620157
7 *309:10 *324:11 0.000191921
8 io_oeb[21] *1288:B_N 0
9 *335:DIODE *1288:B_N 0.000196856
10 *348:DIODE *309:10 0.000334882
11 *1178:A *309:10 0.000148292
12 *1178:A *309:12 9.47114e-05
13 *1178:B *309:10 0.000635142
14 *1185:B *309:10 0.000427318
15 *1186:A *309:12 0.000164065
16 *1186:C *309:10 0.00042102
17 *1186:C *309:12 8.31805e-05
18 *1188:C *309:12 0
19 *1192:A *309:12 9.05568e-05
20 *1196:A *309:12 1.01912e-05
21 *1196:C *309:12 1.11958e-05
22 *1197:B *309:12 0
23 *1200:B *309:12 1.0356e-05
24 *1202:C *309:12 0
25 *1218:A_N *309:12 0
26 *1218:B *1288:B_N 0.000154127
27 *1218:B *309:12 0.000163426
28 *1288:A *1288:B_N 0
29 *1289:A_N *1288:B_N 5.7903e-06
30 *1295:A1 *309:12 0.000164653
31 *1295:A2 *309:12 0
32 *14:19 *1288:B_N 0
33 *25:16 *309:10 0.000242086
34 *92:14 *309:10 0.000128154
35 *216:6 *309:12 1.14483e-05
36 *221:13 *1290:A_N 3.32631e-05
37 *285:25 *309:12 0.000243401
38 *285:33 *309:12 0.0001678
39 *285:64 *1290:A_N 9.71197e-05
40 *286:12 *309:12 0
41 *292:77 *309:10 0.000284544
*RES
1 *1341:X *309:10 37.3089
2 *309:10 *309:12 16.1696
3 *309:12 *1290:A_N 14.7464
4 *309:12 *1288:B_N 24.9607
*END
*D_NET *310 0.00416035
*CONN
*I *1287:A I *D sky130_fd_sc_hd__inv_2
*I *1342:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1287:A 0
2 *1342:X 0.00177218
3 *310:11 0.00177218
4 *338:DIODE *310:11 5.03694e-05
5 *339:DIODE *310:11 0.000150618
6 *342:DIODE *310:11 0
7 *346:DIODE *310:11 0.000229456
8 *347:DIODE *310:11 0.000185547
9 *298:16 *310:11 0
*RES
1 *1342:X *310:11 44.5857
2 *310:11 *1287:A 9.3
*END
*D_NET *311 0.00416206
*CONN
*I *1292:A I *D sky130_fd_sc_hd__inv_2
*I *1343:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1292:A 0
2 *1343:X 0.00158575
3 *311:13 0.00158575
4 *311:13 *1145:A 6.56534e-05
5 io_oeb[24] *311:13 0
6 *340:DIODE *311:13 5.62995e-05
7 *342:DIODE *311:13 4.15914e-05
8 *348:DIODE *311:13 9.83388e-05
9 *1351:A *311:13 0.000302225
10 *177:20 *311:13 5.71472e-05
11 *209:11 *311:13 0.0003693
*RES
1 *1343:X *311:13 49.6393
2 *311:13 *1292:A 9.3
*END
*D_NET *312 0.00197597
*CONN
*I *1285:A I *D sky130_fd_sc_hd__inv_2
*I *1344:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1285:A 0.000598186
2 *1344:X 0.000598186
3 *1285:A *313:10 0.000328547
4 io_oeb[24] *1285:A 0.000113644
5 *1199:A *1285:A 8.24212e-05
6 *1205:A *1285:A 2.89016e-05
7 *95:17 *1285:A 0.000226085
*RES
1 *1344:X *1285:A 39.4929
*END
*D_NET *313 0.00738757
*CONN
*I *1284:A I *D sky130_fd_sc_hd__inv_2
*I *1345:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1284:A 0.000314156
2 *1345:X 0.00188054
3 *313:10 0.0021947
4 *1284:A *1333:A 0.000125524
5 *1284:A *327:20 1.92789e-05
6 io_oeb[27] *313:10 0
7 io_out[20] *1284:A 6.05161e-06
8 *349:DIODE *313:10 0.000203761
9 *1181:A *313:10 0.000226507
10 *1199:A *313:10 1.87585e-05
11 *1270:A *313:10 0
12 *1285:A *313:10 0.000328547
13 *1349:A *313:10 2.59355e-05
14 *18:18 *313:10 0
15 *26:11 *313:10 0.000251096
16 *57:16 *1284:A 0.000232011
17 *90:22 *313:10 0
18 *139:13 *1284:A 0.00039745
19 *170:13 *313:10 0.000454577
20 *241:17 *1284:A 8.07313e-05
21 *289:65 *1284:A 0.000627946
*RES
1 *1345:X *313:10 47.1036
2 *313:10 *1284:A 20.675
*END
*D_NET *314 0.00245996
*CONN
*I *1261:A_N I *D sky130_fd_sc_hd__and2b_1
*I *1346:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1261:A_N 0.000483095
2 *1346:X 0.000483095
3 *1231:A *1261:A_N 0.000350043
4 *1242:A_N *1261:A_N 6.05161e-06
5 *1251:A *1261:A_N 7.93238e-05
6 *1268:B2 *1261:A_N 1.40306e-05
7 *1325:D *1261:A_N 0.000654936
8 *1346:A *1261:A_N 2.89016e-05
9 *3:13 *1261:A_N 6.05161e-06
10 *153:17 *1261:A_N 1.21258e-05
11 *256:33 *1261:A_N 0.000342302
*RES
1 *1346:X *1261:A_N 40.1714
*END
*D_NET *315 0.00504188
*CONN
*I *1149:A_N I *D sky130_fd_sc_hd__and2b_1
*I *1347:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1149:A_N 0
2 *1347:X 0.00153451
3 *315:10 0.00153451
4 *315:10 *324:11 0.000221822
5 *315:10 *324:28 0.000434752
6 *1156:C1 *315:10 0.000107387
7 *1174:C *315:10 1.24469e-05
8 *1308:D *315:10 6.69556e-05
9 *1313:D *315:10 0.000208414
10 *193:21 *315:10 0.000128982
11 *194:18 *315:10 7.75093e-05
12 *194:64 *315:10 0.00011197
13 *194:73 *315:10 0.000590492
14 *291:79 *315:10 1.21258e-05
*RES
1 *1347:X *315:10 42.8357
2 *315:10 *1149:A_N 9.3
*END
*D_NET *316 0.00523949
*CONN
*I *1148:B_N I *D sky130_fd_sc_hd__or2b_1
*I *1150:A_N I *D sky130_fd_sc_hd__and2b_1
*I *1348:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1148:B_N 0
2 *1150:A_N 0.000327484
3 *1348:X 0.000822446
4 *316:15 0.00114993
5 *316:15 *1331:A 1.98839e-05
6 io_out[29] *316:15 0.000108617
7 *337:DIODE *316:15 0.000602649
8 *1155:A2 *316:15 4.44971e-05
9 *1169:A *1150:A_N 0.00056612
10 *1169:A *316:15 1.02433e-05
11 *1170:B *316:15 3.22304e-05
12 *1173:B *316:15 6.26726e-05
13 *1178:B *316:15 0
14 *1270:A *316:15 0.000228508
15 *60:22 *316:15 0.000289403
16 *197:13 *1150:A_N 6.19932e-05
17 *205:8 *1150:A_N 0.000701339
18 *210:17 *316:15 2.06112e-05
19 *214:15 *316:15 0.000137561
20 *294:30 *316:15 5.33005e-05
*RES
1 *1348:X *316:15 34.6036
2 *316:15 *1150:A_N 27.8357
3 *316:15 *1148:B_N 9.3
*END
*D_NET *317 0.000825997
*CONN
*I *1147:A I *D sky130_fd_sc_hd__inv_2
*I *1349:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1147:A 0.000350199
2 *1349:X 0.000350199
3 *348:DIODE *1147:A 0.000125599
4 *1308:D *1147:A 0
5 *197:13 *1147:A 0
*RES
1 *1349:X *1147:A 33.4929
*END
*D_NET *318 0.00596352
*CONN
*I *1152:A I *D sky130_fd_sc_hd__inv_2
*I *1350:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1152:A 0.000658401
2 *1350:X 0.000993088
3 *318:10 0.00165149
4 *318:10 *1145:A 0.000432361
5 *344:DIODE *318:10 0.000661723
6 *350:DIODE *1152:A 6.70515e-05
7 *350:DIODE *318:10 0
8 *26:11 *318:10 0.000980128
9 *185:6 *318:10 1.8338e-05
10 *190:8 *1152:A 0.000210062
11 *190:8 *318:10 0
12 *197:13 *318:10 0
13 *212:15 *1152:A 0.000178459
14 *292:45 *318:10 0.000112418
*RES
1 *1350:X *318:10 40.1393
2 *318:10 *1152:A 21.7643
*END
*D_NET *319 0.00317191
*CONN
*I *1145:A I *D sky130_fd_sc_hd__inv_2
*I *1351:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1145:A 0.0011998
2 *1351:X 0.0011998
3 io_out[33] *1145:A 0.000274288
4 *1177:B *1145:A 0
5 *311:13 *1145:A 6.56534e-05
6 *318:10 *1145:A 0.000432361
*RES
1 *1351:X *1145:A 48.5464
*END
*D_NET *320 0.000472148
*CONN
*I *1144:A I *D sky130_fd_sc_hd__inv_2
*I *1352:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1144:A 0.000118463
2 *1352:X 0.000118463
3 *28:13 *1144:A 0.000235222
*RES
1 *1352:X *1144:A 21.5107
*END
*D_NET *321 0.000871064
*CONN
*I *1334:A I *D sky130_fd_sc_hd__clkbuf_2
*I *1353:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1334:A 0.000178114
2 *1353:X 0.000178114
3 io_oeb[8] *1334:A 1.21859e-05
4 *1234:C *1334:A 0.000100831
5 *1325:D *1334:A 0.000289564
6 *3:13 *1334:A 5.62995e-05
7 *8:13 *1334:A 5.59556e-05
*RES
1 *1353:X *1334:A 32.3143
*END
*D_NET *322 0.00765897
*CONN
*I *1254:S0 I *D sky130_fd_sc_hd__mux4_1
*I *1354:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1254:S0 0.000457733
2 *1354:X 0.00225456
3 *322:8 0.0027123
4 *1254:S0 *333:11 0.000352719
5 *322:8 *1256:A 0.000784234
6 *353:DIODE *322:8 7.77751e-05
7 *361:DIODE *322:8 0
8 *1254:A1 *1254:S0 9.92016e-05
9 *1254:A3 *1254:S0 9.41642e-05
10 *3:13 *322:8 4.16984e-05
11 *42:17 *322:8 3.32816e-05
12 *97:17 *1254:S0 0.000307581
13 *146:17 *1254:S0 3.32631e-05
14 *146:17 *322:8 8.15095e-05
15 *151:8 *322:8 3.25078e-05
16 *264:15 *322:8 9.01214e-05
17 *305:14 *322:8 0.000206314
*RES
1 *1354:X *322:8 46.4429
2 *322:8 *1254:S0 25.0143
*END
*D_NET *323 0.00603238
*CONN
*I *1362:A I *D sky130_fd_sc_hd__buf_2
*I *1330:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1362:A 0.00113687
2 *1330:X 0.000968798
3 *323:8 0.00210567
4 *340:DIODE *323:8 2.74584e-05
5 *343:DIODE *323:8 0.000511186
6 *348:DIODE *323:8 0
7 *1178:C *323:8 0
8 *1185:B *323:8 0.000853525
9 *17:14 *323:8 0.000118315
10 *20:14 *323:8 3.52836e-05
11 *92:14 *323:8 3.21222e-05
12 *214:15 *323:8 0.000243148
*RES
1 *1330:X *323:8 42.1036
2 *323:8 *1362:A 28.3179
*END
*D_NET *324 0.0155745
*CONN
*I *1302:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1309:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1311:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1310:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1307:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1303:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1305:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1304:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1306:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1308:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1331:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *1302:CLK 0
2 *1309:CLK 0.000320249
3 *1311:CLK 0.000867351
4 *1310:CLK 3.72704e-05
5 *1307:CLK 2.76349e-05
6 *1303:CLK 3.72704e-05
7 *1305:CLK 2.61003e-05
8 *1304:CLK 0.000273013
9 *1306:CLK 0.000363926
10 *1308:CLK 0
11 *1331:X 0.000220053
12 *324:96 0.00155542
13 *324:87 0.000787031
14 *324:78 0.000519449
15 *324:69 0.000251544
16 *324:60 0.000317012
17 *324:55 0.000436862
18 *324:30 0.000699969
19 *324:28 0.000906854
20 *324:11 0.000813529
21 *1309:CLK *326:119 2.82057e-05
22 *324:96 *1314:CLK 0.000116107
23 *324:96 *326:121 7.88258e-05
24 *1156:A1 *324:28 3.63805e-05
25 *1156:B1 *324:28 2.44318e-05
26 *1156:B1 *324:60 0.000149005
27 *1156:C1 *324:28 0.000116782
28 *1173:A *324:11 0.000262686
29 *1185:B *324:11 0.000191921
30 *1296:C1 *1309:CLK 0.000266094
31 *1296:C1 *324:96 7.95888e-05
32 *1302:D *1306:CLK 5.11316e-05
33 *1302:D *324:55 0.000179889
34 *1304:D *1304:CLK 0.000264572
35 *1306:D *1306:CLK 8.03949e-05
36 *1308:D *324:28 0.000225639
37 *1309:D *1309:CLK 5.52302e-05
38 *1311:D *1311:CLK 0.00111418
39 *1313:D *1306:CLK 6.36172e-05
40 *1313:D *324:28 0.000138676
41 *1313:D *324:30 0.000135858
42 *16:11 *1309:CLK 0
43 *16:11 *324:78 0
44 *16:11 *324:87 0
45 *16:11 *324:96 0
46 *16:18 *324:55 3.97677e-05
47 *126:13 *324:60 8.22975e-05
48 *126:13 *324:69 5.80125e-05
49 *126:13 *324:78 4.09856e-05
50 *128:10 *1309:CLK 0
51 *128:10 *324:87 0
52 *128:10 *324:96 0
53 *131:13 *324:11 5.74499e-06
54 *131:13 *324:28 0.000933258
55 *193:21 *324:28 4.84712e-05
56 *194:7 *324:28 4.87953e-05
57 *194:18 *324:28 4.5352e-05
58 *194:18 *324:30 2.03438e-05
59 *196:12 *1307:CLK 2.89114e-05
60 *196:12 *324:60 0.000202246
61 *196:12 *324:69 9.03462e-05
62 *196:12 *324:78 7.03204e-05
63 *199:22 *1306:CLK 0.000284051
64 *199:22 *324:30 8.58829e-05
65 *201:16 *1306:CLK 0.000134268
66 *204:8 *1305:CLK 2.89114e-05
67 *207:13 *324:78 4.46186e-06
68 *207:13 *324:87 0.000124223
69 *212:15 *324:78 1.09315e-05
70 *212:15 *324:87 2.83786e-05
71 *291:79 *324:28 9.41642e-05
72 *295:25 *1306:CLK 9.60939e-05
73 *309:10 *324:11 0.000191921
74 *315:10 *324:11 0.000221822
75 *315:10 *324:28 0.000434752
*RES
1 *1331:X *324:11 24.5857
2 *324:11 *1308:CLK 9.3
3 *324:11 *324:28 21.3214
4 *324:28 *324:30 1.94643
5 *324:30 *1306:CLK 20.8893
6 *324:30 *1304:CLK 18.05
7 *324:28 *324:55 8.75
8 *324:55 *324:60 10.0179
9 *324:60 *1305:CLK 14.3357
10 *324:60 *324:69 2.55357
11 *324:69 *1303:CLK 14.3357
12 *324:69 *324:78 2.55357
13 *324:78 *1307:CLK 14.3357
14 *324:78 *324:87 7.41071
15 *324:87 *1310:CLK 14.3357
16 *324:87 *324:96 8.01786
17 *324:96 *1311:CLK 25.7464
18 *324:96 *1309:CLK 20.8357
19 *324:55 *1302:CLK 9.3
*END
*D_NET *325 0.00559927
*CONN
*I *1262:A_N I *D sky130_fd_sc_hd__and2b_1
*I *1260:B_N I *D sky130_fd_sc_hd__or2b_1
*I *1355:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1262:A_N 0.000204798
2 *1260:B_N 2.99504e-05
3 *1355:X 0.000931284
4 *325:8 0.00116603
5 *325:8 *330:12 0
6 *355:DIODE *325:8 5.52302e-05
7 *1236:C *1262:A_N 0.000345251
8 *1254:S1 *325:8 6.98971e-05
9 *1263:C1 *1262:A_N 0.000140933
10 *1265:A2 *1260:B_N 9.41642e-05
11 *1355:A *325:8 0.000321479
12 *2:10 *325:8 0.000156901
13 *4:25 *1262:A_N 0.00019034
14 *4:25 *325:8 0.000662116
15 *43:19 *325:8 5.71472e-05
16 *44:19 *325:8 0.000393744
17 *247:22 *1260:B_N 9.41642e-05
18 *255:19 *325:8 8.85664e-07
19 *256:8 *325:8 4.38058e-05
20 *267:19 *325:8 0.000246136
21 *271:15 *1262:A_N 8.55871e-05
22 *271:26 *1262:A_N 5.68536e-05
23 *271:28 *1262:A_N 3.18206e-05
24 *271:28 *325:8 3.47641e-06
25 *271:34 *325:8 0.000186886
26 *273:18 *325:8 1.66595e-05
27 *274:34 *1262:A_N 1.37292e-05
*RES
1 *1355:X *325:8 38.2107
2 *325:8 *1260:B_N 14.7464
3 *325:8 *1262:A_N 19.7643
*END
*D_NET *326 0.0203479
*CONN
*I *1316:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1317:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1315:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1312:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1313:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1314:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1299:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1300:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1301:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1331:A I *D sky130_fd_sc_hd__clkbuf_2
*I *1332:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *1316:CLK 0
2 *1317:CLK 0
3 *1315:CLK 0
4 *1312:CLK 4.26984e-05
5 *1313:CLK 3.72884e-05
6 *1314:CLK 0.000117971
7 *1299:CLK 0
8 *1300:CLK 2.92516e-05
9 *1301:CLK 6.96331e-05
10 *1331:A 0.000357953
11 *1332:X 0.000742323
12 *326:121 0.000310959
13 *326:119 0.000639014
14 *326:111 0.00151339
15 *326:94 0.00146038
16 *326:76 0.000624608
17 *326:36 0.000863772
18 *326:27 0.00125374
19 *326:22 0.0012368
20 *326:7 0.00142781
21 io_oeb[20] *326:111 6.57202e-05
22 io_oeb[22] *326:111 0
23 io_oeb[27] *326:27 0.000342691
24 io_oeb[27] *326:36 0.000287971
25 io_out[22] *326:111 0
26 *335:DIODE *326:111 1.96697e-05
27 *1157:A *1301:CLK 0.000216755
28 *1185:A *1331:A 0.000100385
29 *1185:B *1331:A 0.000305231
30 *1186:C *326:36 6.74046e-05
31 *1195:A *326:22 0.00012401
32 *1212:C *326:22 0.000136951
33 *1214:A_N *326:111 1.38167e-05
34 *1218:A_N *326:111 0.00018597
35 *1218:B *326:111 2.79918e-05
36 *1218:C *326:111 0.000192943
37 *1220:C *326:22 0.000136682
38 *1277:B2 *326:7 3.50327e-05
39 *1280:B1 *326:76 5.52238e-05
40 *1280:B1 *326:94 0.000326939
41 *1281:B1 *326:76 1.39726e-05
42 *1281:B1 *326:94 2.89114e-05
43 *1288:A *326:111 0.000189335
44 *1288:B_N *326:111 0.000620157
45 *1291:B1 *326:111 5.03772e-05
46 *1296:C1 *326:119 0.000115625
47 *1296:C1 *326:121 0.000218386
48 *1297:A *1300:CLK 2.89016e-05
49 *1299:D *326:22 9.06453e-05
50 *1300:D *1300:CLK 2.59355e-05
51 *1301:D *1301:CLK 0.000175892
52 *1309:CLK *326:119 2.82057e-05
53 *1315:D *326:119 0.000110629
54 *1316:D *326:22 0.00012401
55 *1316:D *326:76 2.18517e-05
56 *1317:D *326:94 8.37788e-05
57 *13:16 *326:22 4.68689e-05
58 *13:16 *326:27 2.11419e-05
59 *13:16 *326:76 0
60 *13:16 *326:94 7.01038e-05
61 *14:19 *1300:CLK 2.11419e-05
62 *15:26 *326:27 0
63 *16:11 *1314:CLK 0.00011188
64 *16:11 *326:119 8.80655e-05
65 *16:11 *326:121 0.000191451
66 *60:22 *1331:A 9.77423e-05
67 *97:17 *326:27 4.18444e-05
68 *156:13 *1300:CLK 1.94879e-05
69 *159:8 *326:111 1.66568e-05
70 *168:16 *326:111 3.59176e-05
71 *170:13 *326:27 9.87859e-05
72 *170:13 *326:36 1.57685e-05
73 *172:29 *326:27 0
74 *206:15 *1301:CLK 2.14658e-05
75 *214:15 *1331:A 8.45131e-05
76 *216:6 *326:36 0.000104778
77 *218:11 *1314:CLK 1.92789e-05
78 *224:19 *326:27 2.24048e-05
79 *229:11 *326:22 0.000151333
80 *229:11 *326:76 0.000188206
81 *230:21 *326:7 0.00076229
82 *234:11 *326:94 0.000192221
83 *235:24 *326:27 0
84 *235:24 *326:94 4.24105e-05
85 *235:24 *326:111 0.000178847
86 *236:11 *326:22 0.000154762
87 *236:11 *326:76 0.000112188
88 *241:17 *326:22 2.2892e-05
89 *241:17 *326:27 1.01912e-05
90 *241:17 *326:76 9.40199e-05
91 *243:15 *326:7 0.000136958
92 *278:8 *326:94 9.60939e-05
93 *278:46 *326:76 7.14469e-05
94 *280:26 *326:22 4.20079e-05
95 *281:27 *326:76 0.000193424
96 *281:27 *326:94 4.27729e-05
97 *284:8 *326:111 0.000141504
98 *284:48 *1331:A 0.000212849
99 *284:48 *326:36 0.000163503
100 *285:25 *326:36 0.000104778
101 *285:33 *1331:A 0.00018948
102 *285:33 *326:36 0.000167246
103 *289:65 *1331:A 9.89818e-05
104 *289:65 *326:36 4.80107e-05
105 *304:15 *326:111 8.97609e-05
106 *316:15 *1331:A 1.98839e-05
107 *324:96 *1314:CLK 0.000116107
108 *324:96 *326:121 7.88258e-05
*RES
1 *1332:X *326:7 23.3714
2 *326:7 *326:22 27.1071
3 *326:22 *326:27 5.31925
4 *326:27 *326:36 9.79119
5 *326:36 *1331:A 23.2286
6 *326:36 *1301:CLK 15.9786
7 *326:27 *1300:CLK 18.0225
8 *326:22 *1299:CLK 13.8
9 *326:7 *326:76 10.9286
10 *326:76 *326:94 19.7143
11 *326:94 *326:111 42.1964
12 *326:111 *326:119 13.1786
13 *326:119 *326:121 4.98214
14 *326:121 *1314:CLK 17.1929
15 *326:121 *1313:CLK 14.3357
16 *326:119 *1312:CLK 14.3357
17 *326:111 *1315:CLK 9.3
18 *326:94 *1317:CLK 9.3
19 *326:76 *1316:CLK 9.3
*END
*D_NET *327 0.010488
*CONN
*I *1320:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1318:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1319:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1322:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1321:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1333:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1320:CLK 3.7477e-05
2 *1318:CLK 0
3 *1319:CLK 0.000498304
4 *1322:CLK 0.000133517
5 *1321:CLK 2.7277e-05
6 *1333:X 0.00144331
7 *327:35 0.000727321
8 *327:32 0.000121966
9 *327:31 0.000220779
10 *327:20 0.00162742
11 io_oeb[19] *1319:CLK 0
12 io_out[25] *327:20 0
13 io_out[27] *327:20 0
14 *1228:A *327:20 2.83129e-05
15 *1254:A2 *327:20 9.57416e-06
16 *1254:S1 *327:20 6.11781e-05
17 *1255:A *327:20 2.04825e-05
18 *1277:A2 *327:20 1.90936e-05
19 *1277:A2 *327:31 0.000221821
20 *1281:A2 *327:20 1.90936e-05
21 *1281:A2 *327:31 0.000140939
22 *1282:A2 *1319:CLK 0
23 *1282:B1 *1319:CLK 0
24 *1282:B2 *327:35 8.44271e-06
25 *1282:C1 *1322:CLK 2.28499e-05
26 *1284:A *327:20 1.92789e-05
27 *1321:D *1321:CLK 9.60939e-05
28 *1321:D *327:20 0.000107147
29 *11:7 *1319:CLK 1.08359e-05
30 *13:16 *1319:CLK 0.000193641
31 *49:19 *327:20 2.92835e-05
32 *87:11 *1319:CLK 0.000274288
33 *133:31 *327:20 0.000256218
34 *138:22 *327:20 0.000155155
35 *138:22 *327:31 7.72232e-05
36 *138:22 *327:35 0.000168601
37 *141:11 *1322:CLK 0.000312396
38 *159:8 *1319:CLK 1.81478e-05
39 *159:8 *1322:CLK 7.48091e-05
40 *159:8 *327:31 0.000160403
41 *159:8 *327:35 5.96793e-05
42 *168:14 *1319:CLK 0.00102702
43 *168:84 *1319:CLK 0
44 *168:84 *1322:CLK 2.77289e-05
45 *169:23 *327:20 2.13985e-05
46 *172:14 *327:20 0
47 *234:11 *1320:CLK 4.88515e-05
48 *234:11 *327:32 3.32631e-05
49 *235:24 *327:20 0.000125685
50 *238:20 *327:20 0.00098656
51 *243:15 *327:20 0.000135968
52 *278:46 *1321:CLK 9.41642e-05
53 *281:27 *1320:CLK 0.00012747
54 *281:27 *327:32 9.90367e-05
55 *298:16 *327:20 5.44987e-05
56 *304:15 *1322:CLK 0.00030398
*RES
1 *1333:X *327:20 35.7372
2 *327:20 *1321:CLK 14.7464
3 *327:20 *327:31 9.48214
4 *327:31 *327:32 0.946429
5 *327:32 *327:35 7.05357
6 *327:35 *1322:CLK 19.2643
7 *327:35 *1319:CLK 36.6571
8 *327:32 *1318:CLK 9.3
9 *327:31 *1320:CLK 10.6571
*END
*D_NET *328 0.0175673
*CONN
*I *1328:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1329:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1325:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1324:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1326:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1327:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1332:A I *D sky130_fd_sc_hd__buf_2
*I *1333:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1298:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1323:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1334:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *1328:CLK 0.000132999
2 *1329:CLK 0
3 *1325:CLK 0
4 *1324:CLK 0.000124088
5 *1326:CLK 0.000205659
6 *1327:CLK 0
7 *1332:A 0.000101556
8 *1333:A 0.000989834
9 *1298:CLK 2.22847e-05
10 *1323:CLK 0
11 *1334:X 0.00070004
12 *328:106 0.000254537
13 *328:79 0.00021492
14 *328:48 0.001438
15 *328:38 0.00165542
16 *328:30 0.00167002
17 *328:27 0.000679312
18 *328:23 0.000180988
19 *328:21 0.000212
20 *328:14 0.000790503
21 *328:38 *1276:A_N 5.58875e-06
22 io_oeb[11] *328:30 0
23 io_oeb[11] *328:38 0
24 io_oeb[12] *328:30 0.000122611
25 io_oeb[13] *328:106 0
26 io_oeb[14] *1324:CLK 8.44271e-06
27 io_out[13] *328:30 0
28 io_out[13] *328:38 0
29 io_out[16] *1328:CLK 0.000349521
30 io_out[16] *328:106 7.91077e-05
31 io_out[27] *1333:A 0
32 *1201:B *1333:A 9.60875e-05
33 *1227:A *1332:A 7.6644e-05
34 *1228:A *328:38 4.33002e-05
35 *1228:C *328:38 6.97586e-05
36 *1228:C *328:48 2.06581e-05
37 *1234:A *328:14 0.000164818
38 *1238:B *328:27 0.000107644
39 *1238:C *328:27 0.000267731
40 *1241:A *328:38 0.000313254
41 *1242:A_N *328:14 5.33005e-05
42 *1242:B *328:38 0.000103267
43 *1254:A2 *1333:A 1.94135e-05
44 *1258:A1 *328:30 7.99124e-05
45 *1263:B1 *328:14 0.000102385
46 *1268:A2 *1324:CLK 9.71197e-05
47 *1268:A2 *1326:CLK 0.000193641
48 *1268:A2 *328:79 2.11419e-05
49 *1268:B2 *328:14 1.68244e-05
50 *1277:B2 *1332:A 5.52238e-05
51 *1277:C1 *1332:A 2.89016e-05
52 *1281:A2 *1333:A 9.73258e-05
53 *1284:A *1333:A 0.000125524
54 *1298:D *328:48 3.32132e-06
55 *1320:D *1332:A 6.47173e-05
56 *4:25 *328:30 0.000101231
57 *8:13 *1328:CLK 0
58 *8:13 *328:14 0.000733102
59 *9:13 *1326:CLK 0.000103041
60 *10:12 *328:38 0.000160643
61 *45:15 *328:14 0.000139913
62 *138:22 *1333:A 0.000209119
63 *139:13 *1333:A 5.86274e-05
64 *141:11 *1332:A 2.86989e-05
65 *143:11 *1324:CLK 0.000221948
66 *143:11 *328:27 0.000182475
67 *146:17 *328:38 0.000192158
68 *155:13 *328:27 5.33005e-05
69 *155:26 *328:14 0.000121405
70 *155:26 *328:21 0.000155713
71 *155:66 *328:14 0.000329733
72 *164:17 *328:38 0.000197054
73 *169:23 *1333:A 5.49489e-05
74 *172:14 *1333:A 0.000120302
75 *226:17 *1333:A 2.05938e-05
76 *243:15 *1333:A 0.000761748
77 *270:10 *328:14 0.000209777
78 *271:8 *1326:CLK 3.69684e-05
79 *271:8 *328:79 6.27272e-06
80 *273:6 *1324:CLK 0.000159269
81 *273:13 *1324:CLK 4.15161e-05
82 *273:13 *328:27 0.000180827
83 *275:8 *1328:CLK 7.72412e-05
84 *275:10 *1328:CLK 8.97552e-05
85 *275:10 *328:21 4.22135e-06
86 *275:10 *328:106 0.000109822
87 *275:16 *328:14 0.000120506
88 *275:16 *328:21 0.000178
89 *298:16 *1333:A 0.000224385
90 *299:8 *1333:A 2.36158e-05
*RES
1 *1334:X *328:14 38.2286
2 *328:14 *1323:CLK 13.8
3 *328:14 *328:21 2.55357
4 *328:21 *328:23 4.5
5 *328:23 *328:27 10.3125
6 *328:27 *328:30 4.46593
7 *328:30 *328:38 21.1037
8 *328:38 *1298:CLK 9.72857
9 *328:38 *328:48 3.83929
10 *328:48 *1333:A 39.1929
11 *328:48 *1332:A 20.7821
12 *328:30 *328:79 3.68679
13 *328:79 *1327:CLK 13.8
14 *328:79 *1326:CLK 18.7821
15 *328:27 *1324:CLK 17.4518
16 *328:23 *1325:CLK 9.3
17 *328:21 *328:106 2.85714
18 *328:106 *1329:CLK 13.8
19 *328:106 *1328:CLK 18.4786
*END
*D_NET *329 0.00298087
*CONN
*I *1259:A I *D sky130_fd_sc_hd__inv_2
*I *1356:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1259:A 0.000668492
2 *1356:X 0.000668492
3 *1259:A *1264:A 0.00082338
4 *345:DIODE *1259:A 0.000314458
5 *148:18 *1259:A 0.000180072
6 *151:8 *1259:A 1.15172e-05
7 *264:15 *1259:A 0.000314458
*RES
1 *1356:X *1259:A 42.9036
*END
*D_NET *330 0.00664835
*CONN
*I *1264:A I *D sky130_fd_sc_hd__inv_2
*I *1357:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1264:A 0.000318435
2 *1357:X 0.000801941
3 *330:12 0.00112038
4 *330:12 *1257:A 0.000799575
5 *345:DIODE *1264:A 7.95355e-05
6 *358:DIODE *330:12 0.000624177
7 *360:DIODE *330:12 0.000692339
8 *1233:B *330:12 0.000172375
9 *1249:A *1264:A 5.68722e-05
10 *1249:B *1264:A 6.84905e-05
11 *1250:C *1264:A 4.42981e-05
12 *1253:A *1264:A 0.000228514
13 *1259:A *1264:A 0.00082338
14 *4:25 *330:12 0.000284166
15 *7:20 *330:12 0.000226545
16 *84:13 *1264:A 5.33005e-05
17 *151:8 *1264:A 6.62983e-05
18 *271:34 *330:12 0.000187733
19 *325:8 *330:12 0
*RES
1 *1357:X *330:12 43.8179
2 *330:12 *1264:A 19.3
*END
*D_NET *331 0.00331805
*CONN
*I *1257:A I *D sky130_fd_sc_hd__inv_2
*I *1358:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1257:A 0.00114326
2 *1358:X 0.00114326
3 io_out[13] *1257:A 1.94024e-05
4 *345:DIODE *1257:A 0.000172965
5 *264:15 *1257:A 3.95826e-05
6 *330:12 *1257:A 0.000799575
*RES
1 *1358:X *1257:A 49.3857
*END
*D_NET *332 0.00200314
*CONN
*I *1256:A I *D sky130_fd_sc_hd__inv_2
*I *1359:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1256:A 0.000401901
2 *1359:X 0.000401901
3 *354:DIODE *1256:A 6.76272e-05
4 *1325:D *1256:A 4.78056e-05
5 *1346:A *1256:A 7.48091e-05
6 *3:13 *1256:A 6.42095e-05
7 *8:13 *1256:A 0.000146619
8 *143:11 *1256:A 1.40306e-05
9 *322:8 *1256:A 0.000784234
*RES
1 *1359:X *1256:A 39.3143
*END
*D_NET *333 0.00501304
*CONN
*I *1275:A_N I *D sky130_fd_sc_hd__and2b_1
*I *1360:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1275:A_N 0
2 *1360:X 0.00163526
3 *333:11 0.00163526
4 io_oeb[11] *333:11 4.82947e-05
5 io_out[18] *333:11 0.000361353
6 *1208:A *333:11 0.000196269
7 *1213:A1 *333:11 0.000139208
8 *1213:B1 *333:11 0.000139208
9 *1220:B *333:11 0
10 *1228:C *333:11 0
11 *1254:S0 *333:11 0.000352719
12 *1277:B2 *333:11 7.21173e-05
13 *5:33 *333:11 4.77292e-05
14 *49:19 *333:11 0
15 *146:17 *333:11 3.06878e-06
16 *230:21 *333:11 1.07753e-05
17 *242:21 *333:11 0.000338344
18 *277:53 *333:11 3.34366e-05
*RES
1 *1360:X *333:11 43.4607
2 *333:11 *1275:A_N 9.3
*END
*D_NET *334 0.00372528
*CONN
*I *1276:A_N I *D sky130_fd_sc_hd__and2b_1
*I *1274:B_N I *D sky130_fd_sc_hd__or2b_1
*I *1361:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1276:A_N 0.00010993
2 *1274:B_N 0.00032685
3 *1361:X 0.00037135
4 *334:7 0.00080813
5 *1208:A *1274:B_N 0.000135028
6 *1228:A *1274:B_N 5.33005e-05
7 *1228:B *1274:B_N 0.000320929
8 *1228:C *1274:B_N 0.000168733
9 *1241:A *1274:B_N 8.07951e-05
10 *1241:A *1276:A_N 9.1934e-05
11 *1266:B1 *1274:B_N 1.88482e-05
12 *1266:B1 *1276:A_N 8.70951e-05
13 *1276:B *1276:A_N 8.39e-05
14 *1361:A *334:7 8.78754e-05
15 *10:12 *1274:B_N 0.000136951
16 *10:12 *1276:A_N 1.09232e-05
17 *10:12 *334:7 9.0145e-05
18 *87:11 *1276:A_N 4.38511e-05
19 *146:17 *1274:B_N 4.34543e-05
20 *148:18 *334:7 0.000136682
21 *168:84 *1274:B_N 0.000298483
22 *278:52 *1274:B_N 0.000116168
23 *278:66 *1274:B_N 9.83388e-05
24 *328:38 *1276:A_N 5.58875e-06
*RES
1 *1361:X *334:7 18.4429
2 *334:7 *1274:B_N 23.7107
3 *334:7 *1276:A_N 17.5679
*END