blob: f4f37d2d7d980ed56cc317a7849970d815777736 [file] [log] [blame]
*SPEF "ieee 1481-1999"
*DESIGN "tiny_user_project"
*DATE "11:11:11 Fri 11 11, 1111"
*VENDOR "OpenRCX"
*PROGRAM "Parallel Extraction"
*VERSION "1.0"
*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE"
*DIVIDER /
*DELIMITER :
*BUS_DELIMITER []
*T_UNIT 1 NS
*C_UNIT 1 PF
*R_UNIT 1 OHM
*L_UNIT 1 HENRY
*NAME_MAP
*1 io_in[0]
*2 io_in[10]
*3 io_in[11]
*4 io_in[12]
*5 io_in[13]
*6 io_in[14]
*7 io_in[15]
*8 io_in[16]
*9 io_in[17]
*10 io_in[18]
*11 io_in[19]
*12 io_in[1]
*13 io_in[20]
*14 io_in[21]
*15 io_in[22]
*16 io_in[23]
*17 io_in[24]
*18 io_in[25]
*19 io_in[26]
*20 io_in[27]
*21 io_in[28]
*22 io_in[29]
*23 io_in[2]
*24 io_in[30]
*25 io_in[31]
*26 io_in[32]
*27 io_in[33]
*28 io_in[34]
*29 io_in[35]
*30 io_in[36]
*31 io_in[37]
*32 io_in[3]
*33 io_in[4]
*34 io_in[5]
*35 io_in[6]
*36 io_in[7]
*37 io_in[8]
*38 io_in[9]
*39 net33
*40 net43
*41 net44
*42 net45
*43 net46
*44 net47
*45 net48
*46 net49
*47 net50
*48 net51
*49 net52
*50 net34
*51 net53
*52 net54
*53 net55
*54 net56
*55 net57
*56 net58
*57 net59
*58 net60
*59 net61
*60 net62
*61 net35
*62 net63
*63 net64
*64 net65
*65 net66
*66 net67
*67 net68
*68 net69
*69 net70
*70 net36
*71 net37
*72 net38
*73 net39
*74 net40
*75 net41
*76 net42
*77 net71
*78 net81
*79 net82
*80 net83
*81 net84
*82 net85
*83 net86
*84 net87
*85 net88
*86 net89
*87 net90
*88 net72
*89 net91
*90 net92
*91 net93
*92 net94
*93 net95
*94 net96
*95 net97
*96 net98
*97 net99
*98 net100
*99 net73
*100 net101
*101 net102
*102 net103
*103 net104
*104 net105
*105 io_out[35]
*106 net106
*107 net107
*108 net74
*109 net75
*110 net76
*111 net77
*112 net78
*113 net79
*114 net80
*117 _000_
*118 _001_
*119 _002_
*120 _003_
*121 _004_
*122 _005_
*123 _006_
*124 _007_
*125 _008_
*126 _009_
*127 _010_
*128 _011_
*129 _012_
*130 _013_
*131 _014_
*132 _015_
*133 _016_
*134 _017_
*135 _018_
*136 _019_
*137 _020_
*138 _021_
*139 _022_
*140 _023_
*141 _024_
*142 _025_
*143 _026_
*144 _027_
*145 _028_
*146 _029_
*147 _030_
*148 _031_
*149 _032_
*150 _033_
*151 _034_
*152 _035_
*153 _036_
*154 _037_
*155 _038_
*156 _039_
*157 _040_
*158 _041_
*159 _042_
*160 _043_
*161 _044_
*162 _045_
*163 _046_
*164 _047_
*165 _048_
*166 _049_
*167 _050_
*168 _051_
*169 _052_
*170 _053_
*171 _054_
*172 _055_
*173 _056_
*174 _057_
*175 _058_
*176 _059_
*177 _060_
*178 _061_
*179 _062_
*180 _063_
*181 _064_
*182 _065_
*183 _066_
*184 _067_
*185 _068_
*186 _069_
*187 _070_
*188 _071_
*189 _072_
*190 _073_
*191 _074_
*192 _075_
*193 _076_
*194 _077_
*195 _078_
*196 _079_
*197 _080_
*198 _081_
*199 _082_
*200 _083_
*201 _084_
*202 _085_
*203 _086_
*204 _087_
*205 _088_
*206 _089_
*207 _090_
*208 _091_
*209 _092_
*210 _093_
*211 _094_
*212 _095_
*213 _096_
*214 _097_
*215 _098_
*216 _099_
*217 _100_
*218 _101_
*219 _102_
*220 _103_
*221 _104_
*222 _105_
*223 _106_
*224 _107_
*225 _108_
*226 _109_
*227 _110_
*228 _111_
*229 _112_
*230 _113_
*231 _114_
*232 _115_
*233 _116_
*234 _117_
*235 _118_
*236 _119_
*237 _120_
*238 _121_
*239 _122_
*240 _123_
*241 _124_
*242 _125_
*243 _126_
*244 _127_
*245 _128_
*246 _129_
*247 _130_
*248 _131_
*249 _132_
*250 _133_
*251 _134_
*252 _135_
*253 _136_
*254 _137_
*255 _138_
*256 _139_
*257 _140_
*258 _141_
*259 _142_
*260 _143_
*261 _144_
*262 _145_
*263 _146_
*264 _147_
*265 _148_
*266 _149_
*267 _150_
*268 _151_
*269 _152_
*270 mod\.clock_counter_a\[0\]
*271 mod\.clock_counter_a\[1\]
*272 mod\.clock_counter_a\[2\]
*273 mod\.clock_counter_a\[3\]
*274 mod\.clock_counter_a\[4\]
*275 mod\.clock_counter_a\[5\]
*276 mod\.clock_counter_a\[6\]
*277 mod\.clock_counter_b\[0\]
*278 mod\.clock_counter_b\[1\]
*279 mod\.clock_counter_b\[2\]
*280 mod\.clock_counter_b\[3\]
*281 mod\.clock_counter_b\[4\]
*282 mod\.clock_counter_b\[5\]
*283 mod\.clock_counter_b\[6\]
*284 mod\.clock_counter_c\[0\]
*285 mod\.clock_counter_c\[1\]
*286 mod\.clock_counter_c\[2\]
*287 mod\.clock_counter_c\[3\]
*288 mod\.clock_counter_c\[4\]
*289 mod\.clock_counter_c\[5\]
*290 mod\.clock_counter_c\[6\]
*291 mod\.clock_counter_d\[0\]
*292 mod\.clock_counter_d\[1\]
*293 mod\.clock_counter_d\[2\]
*294 mod\.clock_counter_d\[3\]
*295 mod\.clock_counter_d\[4\]
*296 mod\.clock_counter_d\[5\]
*297 mod\.clock_counter_d\[6\]
*298 mod\.clock_syn
*299 mod\.div_clock\[0\]
*300 mod\.div_clock\[1\]
*301 mod\.div_clock\[2\]
*302 mod\.div_clock\[3\]
*303 net1
*304 net10
*305 net11
*306 net12
*307 net13
*308 net14
*309 net15
*310 net16
*311 net17
*312 net18
*313 net19
*314 net2
*315 net20
*316 net21
*317 net22
*318 net23
*319 net24
*320 net25
*321 net26
*322 net27
*323 net28
*324 net29
*325 net3
*326 net30
*327 net31
*328 net32
*329 net4
*330 net5
*331 net6
*332 net7
*333 net8
*334 net9
*335 ANTENNA__307__CLK
*336 ANTENNA__318__CLK
*337 ANTENNA__319__CLK
*338 ANTENNA__320__CLK
*339 ANTENNA__321__CLK
*340 ANTENNA__322__CLK
*341 ANTENNA__323__CLK
*342 ANTENNA__324__CLK
*343 ANTENNA__325__CLK
*344 ANTENNA__326__CLK
*345 ANTENNA__327__CLK
*346 ANTENNA__332__CLK
*347 ANTENNA__333__CLK
*348 ANTENNA__334__CLK
*349 ANTENNA__335__CLK
*350 ANTENNA__336__CLK
*351 ANTENNA__337__CLK
*352 ANTENNA__338__CLK
*353 ANTENNA_fanout30_A
*354 ANTENNA_fanout31_A
*355 ANTENNA_input10_A
*356 ANTENNA_input11_A
*357 ANTENNA_input12_A
*358 ANTENNA_input13_A
*359 ANTENNA_input14_A
*360 ANTENNA_input15_A
*361 ANTENNA_input16_A
*362 ANTENNA_input17_A
*363 ANTENNA_input18_A
*364 ANTENNA_input19_A
*365 ANTENNA_input1_A
*366 ANTENNA_input20_A
*367 ANTENNA_input21_A
*368 ANTENNA_input22_A
*369 ANTENNA_input23_A
*370 ANTENNA_input24_A
*371 ANTENNA_input25_A
*372 ANTENNA_input26_A
*373 ANTENNA_input27_A
*374 ANTENNA_input2_A
*375 ANTENNA_input3_A
*376 ANTENNA_input4_A
*377 ANTENNA_input5_A
*378 ANTENNA_input6_A
*379 ANTENNA_input7_A
*380 ANTENNA_input8_A
*381 ANTENNA_input9_A
*382 FILLER_0_109
*383 FILLER_0_113
*384 FILLER_0_125
*385 FILLER_0_137
*386 FILLER_0_141
*387 FILLER_0_153
*388 FILLER_0_165
*389 FILLER_0_169
*390 FILLER_0_181
*391 FILLER_0_193
*392 FILLER_0_197
*393 FILLER_0_20
*394 FILLER_0_209
*395 FILLER_0_221
*396 FILLER_0_225
*397 FILLER_0_29
*398 FILLER_0_3
*399 FILLER_0_41
*400 FILLER_0_53
*401 FILLER_0_57
*402 FILLER_0_69
*403 FILLER_0_8
*404 FILLER_0_81
*405 FILLER_0_85
*406 FILLER_0_97
*407 FILLER_10_109
*408 FILLER_10_121
*409 FILLER_10_133
*410 FILLER_10_139
*411 FILLER_10_141
*412 FILLER_10_153
*413 FILLER_10_165
*414 FILLER_10_177
*415 FILLER_10_189
*416 FILLER_10_195
*417 FILLER_10_197
*418 FILLER_10_20
*419 FILLER_10_209
*420 FILLER_10_221
*421 FILLER_10_29
*422 FILLER_10_3
*423 FILLER_10_41
*424 FILLER_10_53
*425 FILLER_10_65
*426 FILLER_10_77
*427 FILLER_10_8
*428 FILLER_10_83
*429 FILLER_10_85
*430 FILLER_10_97
*431 FILLER_11_105
*432 FILLER_11_111
*433 FILLER_11_113
*434 FILLER_11_125
*435 FILLER_11_137
*436 FILLER_11_149
*437 FILLER_11_15
*438 FILLER_11_161
*439 FILLER_11_167
*440 FILLER_11_169
*441 FILLER_11_181
*442 FILLER_11_193
*443 FILLER_11_205
*444 FILLER_11_217
*445 FILLER_11_223
*446 FILLER_11_225
*447 FILLER_11_231
*448 FILLER_11_27
*449 FILLER_11_3
*450 FILLER_11_39
*451 FILLER_11_51
*452 FILLER_11_55
*453 FILLER_11_57
*454 FILLER_11_69
*455 FILLER_11_81
*456 FILLER_11_93
*457 FILLER_12_109
*458 FILLER_12_121
*459 FILLER_12_133
*460 FILLER_12_139
*461 FILLER_12_14
*462 FILLER_12_141
*463 FILLER_12_153
*464 FILLER_12_165
*465 FILLER_12_177
*466 FILLER_12_189
*467 FILLER_12_195
*468 FILLER_12_197
*469 FILLER_12_209
*470 FILLER_12_221
*471 FILLER_12_227
*472 FILLER_12_231
*473 FILLER_12_26
*474 FILLER_12_29
*475 FILLER_12_3
*476 FILLER_12_41
*477 FILLER_12_53
*478 FILLER_12_65
*479 FILLER_12_77
*480 FILLER_12_8
*481 FILLER_12_83
*482 FILLER_12_85
*483 FILLER_12_97
*484 FILLER_13_105
*485 FILLER_13_111
*486 FILLER_13_113
*487 FILLER_13_125
*488 FILLER_13_137
*489 FILLER_13_149
*490 FILLER_13_161
*491 FILLER_13_167
*492 FILLER_13_169
*493 FILLER_13_181
*494 FILLER_13_193
*495 FILLER_13_20
*496 FILLER_13_205
*497 FILLER_13_217
*498 FILLER_13_223
*499 FILLER_13_225
*500 FILLER_13_3
*501 FILLER_13_32
*502 FILLER_13_44
*503 FILLER_13_57
*504 FILLER_13_69
*505 FILLER_13_8
*506 FILLER_13_81
*507 FILLER_13_93
*508 FILLER_14_109
*509 FILLER_14_121
*510 FILLER_14_133
*511 FILLER_14_139
*512 FILLER_14_141
*513 FILLER_14_153
*514 FILLER_14_165
*515 FILLER_14_177
*516 FILLER_14_189
*517 FILLER_14_195
*518 FILLER_14_197
*519 FILLER_14_20
*520 FILLER_14_209
*521 FILLER_14_221
*522 FILLER_14_227
*523 FILLER_14_231
*524 FILLER_14_29
*525 FILLER_14_3
*526 FILLER_14_41
*527 FILLER_14_53
*528 FILLER_14_65
*529 FILLER_14_77
*530 FILLER_14_8
*531 FILLER_14_83
*532 FILLER_14_85
*533 FILLER_14_97
*534 FILLER_15_105
*535 FILLER_15_111
*536 FILLER_15_113
*537 FILLER_15_125
*538 FILLER_15_137
*539 FILLER_15_14
*540 FILLER_15_149
*541 FILLER_15_161
*542 FILLER_15_167
*543 FILLER_15_169
*544 FILLER_15_181
*545 FILLER_15_193
*546 FILLER_15_205
*547 FILLER_15_217
*548 FILLER_15_223
*549 FILLER_15_225
*550 FILLER_15_231
*551 FILLER_15_26
*552 FILLER_15_3
*553 FILLER_15_38
*554 FILLER_15_50
*555 FILLER_15_57
*556 FILLER_15_69
*557 FILLER_15_8
*558 FILLER_15_81
*559 FILLER_15_93
*560 FILLER_16_109
*561 FILLER_16_121
*562 FILLER_16_133
*563 FILLER_16_139
*564 FILLER_16_141
*565 FILLER_16_153
*566 FILLER_16_165
*567 FILLER_16_177
*568 FILLER_16_189
*569 FILLER_16_195
*570 FILLER_16_197
*571 FILLER_16_20
*572 FILLER_16_209
*573 FILLER_16_221
*574 FILLER_16_29
*575 FILLER_16_3
*576 FILLER_16_41
*577 FILLER_16_53
*578 FILLER_16_65
*579 FILLER_16_77
*580 FILLER_16_8
*581 FILLER_16_83
*582 FILLER_16_85
*583 FILLER_16_97
*584 FILLER_17_105
*585 FILLER_17_111
*586 FILLER_17_113
*587 FILLER_17_125
*588 FILLER_17_137
*589 FILLER_17_149
*590 FILLER_17_15
*591 FILLER_17_161
*592 FILLER_17_167
*593 FILLER_17_169
*594 FILLER_17_181
*595 FILLER_17_193
*596 FILLER_17_205
*597 FILLER_17_217
*598 FILLER_17_22
*599 FILLER_17_223
*600 FILLER_17_225
*601 FILLER_17_231
*602 FILLER_17_3
*603 FILLER_17_34
*604 FILLER_17_46
*605 FILLER_17_54
*606 FILLER_17_57
*607 FILLER_17_69
*608 FILLER_17_8
*609 FILLER_17_81
*610 FILLER_17_93
*611 FILLER_18_109
*612 FILLER_18_121
*613 FILLER_18_133
*614 FILLER_18_139
*615 FILLER_18_141
*616 FILLER_18_15
*617 FILLER_18_153
*618 FILLER_18_165
*619 FILLER_18_177
*620 FILLER_18_189
*621 FILLER_18_195
*622 FILLER_18_197
*623 FILLER_18_209
*624 FILLER_18_22
*625 FILLER_18_221
*626 FILLER_18_227
*627 FILLER_18_231
*628 FILLER_18_29
*629 FILLER_18_3
*630 FILLER_18_41
*631 FILLER_18_53
*632 FILLER_18_65
*633 FILLER_18_77
*634 FILLER_18_8
*635 FILLER_18_83
*636 FILLER_18_85
*637 FILLER_18_97
*638 FILLER_19_10
*639 FILLER_19_105
*640 FILLER_19_111
*641 FILLER_19_113
*642 FILLER_19_125
*643 FILLER_19_137
*644 FILLER_19_149
*645 FILLER_19_161
*646 FILLER_19_167
*647 FILLER_19_169
*648 FILLER_19_17
*649 FILLER_19_181
*650 FILLER_19_193
*651 FILLER_19_205
*652 FILLER_19_217
*653 FILLER_19_223
*654 FILLER_19_225
*655 FILLER_19_24
*656 FILLER_19_3
*657 FILLER_19_31
*658 FILLER_19_37
*659 FILLER_19_49
*660 FILLER_19_55
*661 FILLER_19_57
*662 FILLER_19_69
*663 FILLER_19_81
*664 FILLER_19_93
*665 FILLER_1_105
*666 FILLER_1_111
*667 FILLER_1_113
*668 FILLER_1_125
*669 FILLER_1_137
*670 FILLER_1_149
*671 FILLER_1_161
*672 FILLER_1_167
*673 FILLER_1_169
*674 FILLER_1_181
*675 FILLER_1_193
*676 FILLER_1_20
*677 FILLER_1_205
*678 FILLER_1_217
*679 FILLER_1_223
*680 FILLER_1_225
*681 FILLER_1_3
*682 FILLER_1_32
*683 FILLER_1_44
*684 FILLER_1_57
*685 FILLER_1_69
*686 FILLER_1_8
*687 FILLER_1_81
*688 FILLER_1_93
*689 FILLER_20_109
*690 FILLER_20_12
*691 FILLER_20_121
*692 FILLER_20_133
*693 FILLER_20_139
*694 FILLER_20_141
*695 FILLER_20_153
*696 FILLER_20_165
*697 FILLER_20_177
*698 FILLER_20_189
*699 FILLER_20_195
*700 FILLER_20_197
*701 FILLER_20_209
*702 FILLER_20_21
*703 FILLER_20_221
*704 FILLER_20_227
*705 FILLER_20_231
*706 FILLER_20_27
*707 FILLER_20_29
*708 FILLER_20_3
*709 FILLER_20_34
*710 FILLER_20_40
*711 FILLER_20_52
*712 FILLER_20_64
*713 FILLER_20_76
*714 FILLER_20_85
*715 FILLER_20_97
*716 FILLER_21_105
*717 FILLER_21_111
*718 FILLER_21_113
*719 FILLER_21_12
*720 FILLER_21_125
*721 FILLER_21_137
*722 FILLER_21_149
*723 FILLER_21_161
*724 FILLER_21_167
*725 FILLER_21_169
*726 FILLER_21_181
*727 FILLER_21_193
*728 FILLER_21_205
*729 FILLER_21_217
*730 FILLER_21_223
*731 FILLER_21_225
*732 FILLER_21_23
*733 FILLER_21_231
*734 FILLER_21_3
*735 FILLER_21_32
*736 FILLER_21_39
*737 FILLER_21_51
*738 FILLER_21_55
*739 FILLER_21_57
*740 FILLER_21_69
*741 FILLER_21_81
*742 FILLER_21_93
*743 FILLER_22_109
*744 FILLER_22_121
*745 FILLER_22_133
*746 FILLER_22_139
*747 FILLER_22_141
*748 FILLER_22_153
*749 FILLER_22_165
*750 FILLER_22_177
*751 FILLER_22_189
*752 FILLER_22_195
*753 FILLER_22_197
*754 FILLER_22_209
*755 FILLER_22_21
*756 FILLER_22_221
*757 FILLER_22_27
*758 FILLER_22_29
*759 FILLER_22_3
*760 FILLER_22_36
*761 FILLER_22_45
*762 FILLER_22_52
*763 FILLER_22_64
*764 FILLER_22_76
*765 FILLER_22_85
*766 FILLER_22_97
*767 FILLER_23_105
*768 FILLER_23_111
*769 FILLER_23_113
*770 FILLER_23_125
*771 FILLER_23_137
*772 FILLER_23_149
*773 FILLER_23_161
*774 FILLER_23_167
*775 FILLER_23_169
*776 FILLER_23_181
*777 FILLER_23_193
*778 FILLER_23_205
*779 FILLER_23_21
*780 FILLER_23_217
*781 FILLER_23_223
*782 FILLER_23_225
*783 FILLER_23_231
*784 FILLER_23_3
*785 FILLER_23_32
*786 FILLER_23_42
*787 FILLER_23_49
*788 FILLER_23_55
*789 FILLER_23_57
*790 FILLER_23_69
*791 FILLER_23_81
*792 FILLER_23_93
*793 FILLER_24_109
*794 FILLER_24_121
*795 FILLER_24_133
*796 FILLER_24_139
*797 FILLER_24_141
*798 FILLER_24_153
*799 FILLER_24_165
*800 FILLER_24_177
*801 FILLER_24_189
*802 FILLER_24_195
*803 FILLER_24_197
*804 FILLER_24_209
*805 FILLER_24_221
*806 FILLER_24_227
*807 FILLER_24_231
*808 FILLER_24_26
*809 FILLER_24_29
*810 FILLER_24_3
*811 FILLER_24_39
*812 FILLER_24_48
*813 FILLER_24_55
*814 FILLER_24_62
*815 FILLER_24_68
*816 FILLER_24_72
*817 FILLER_24_85
*818 FILLER_24_97
*819 FILLER_25_100
*820 FILLER_25_113
*821 FILLER_25_125
*822 FILLER_25_137
*823 FILLER_25_149
*824 FILLER_25_161
*825 FILLER_25_167
*826 FILLER_25_169
*827 FILLER_25_181
*828 FILLER_25_193
*829 FILLER_25_205
*830 FILLER_25_21
*831 FILLER_25_217
*832 FILLER_25_222
*833 FILLER_25_225
*834 FILLER_25_231
*835 FILLER_25_3
*836 FILLER_25_41
*837 FILLER_25_51
*838 FILLER_25_55
*839 FILLER_25_57
*840 FILLER_25_64
*841 FILLER_25_76
*842 FILLER_25_88
*843 FILLER_26_109
*844 FILLER_26_121
*845 FILLER_26_133
*846 FILLER_26_139
*847 FILLER_26_141
*848 FILLER_26_153
*849 FILLER_26_165
*850 FILLER_26_177
*851 FILLER_26_189
*852 FILLER_26_195
*853 FILLER_26_197
*854 FILLER_26_209
*855 FILLER_26_21
*856 FILLER_26_221
*857 FILLER_26_227
*858 FILLER_26_231
*859 FILLER_26_27
*860 FILLER_26_29
*861 FILLER_26_3
*862 FILLER_26_38
*863 FILLER_26_47
*864 FILLER_26_56
*865 FILLER_26_63
*866 FILLER_26_75
*867 FILLER_26_83
*868 FILLER_26_85
*869 FILLER_26_97
*870 FILLER_27_105
*871 FILLER_27_111
*872 FILLER_27_113
*873 FILLER_27_125
*874 FILLER_27_137
*875 FILLER_27_149
*876 FILLER_27_161
*877 FILLER_27_167
*878 FILLER_27_169
*879 FILLER_27_181
*880 FILLER_27_193
*881 FILLER_27_205
*882 FILLER_27_21
*883 FILLER_27_217
*884 FILLER_27_223
*885 FILLER_27_225
*886 FILLER_27_231
*887 FILLER_27_3
*888 FILLER_27_31
*889 FILLER_27_41
*890 FILLER_27_48
*891 FILLER_27_57
*892 FILLER_27_69
*893 FILLER_27_81
*894 FILLER_27_93
*895 FILLER_28_109
*896 FILLER_28_121
*897 FILLER_28_133
*898 FILLER_28_139
*899 FILLER_28_141
*900 FILLER_28_153
*901 FILLER_28_165
*902 FILLER_28_177
*903 FILLER_28_189
*904 FILLER_28_195
*905 FILLER_28_197
*906 FILLER_28_209
*907 FILLER_28_21
*908 FILLER_28_221
*909 FILLER_28_224
*910 FILLER_28_231
*911 FILLER_28_27
*912 FILLER_28_29
*913 FILLER_28_3
*914 FILLER_28_36
*915 FILLER_28_43
*916 FILLER_28_55
*917 FILLER_28_67
*918 FILLER_28_79
*919 FILLER_28_83
*920 FILLER_28_85
*921 FILLER_28_97
*922 FILLER_29_101
*923 FILLER_29_109
*924 FILLER_29_113
*925 FILLER_29_12
*926 FILLER_29_125
*927 FILLER_29_137
*928 FILLER_29_149
*929 FILLER_29_161
*930 FILLER_29_167
*931 FILLER_29_169
*932 FILLER_29_181
*933 FILLER_29_193
*934 FILLER_29_205
*935 FILLER_29_217
*936 FILLER_29_22
*937 FILLER_29_223
*938 FILLER_29_225
*939 FILLER_29_231
*940 FILLER_29_29
*941 FILLER_29_3
*942 FILLER_29_41
*943 FILLER_29_53
*944 FILLER_29_57
*945 FILLER_29_69
*946 FILLER_29_89
*947 FILLER_2_109
*948 FILLER_2_121
*949 FILLER_2_133
*950 FILLER_2_139
*951 FILLER_2_141
*952 FILLER_2_153
*953 FILLER_2_165
*954 FILLER_2_177
*955 FILLER_2_189
*956 FILLER_2_195
*957 FILLER_2_197
*958 FILLER_2_20
*959 FILLER_2_209
*960 FILLER_2_221
*961 FILLER_2_227
*962 FILLER_2_231
*963 FILLER_2_29
*964 FILLER_2_3
*965 FILLER_2_41
*966 FILLER_2_53
*967 FILLER_2_65
*968 FILLER_2_77
*969 FILLER_2_8
*970 FILLER_2_83
*971 FILLER_2_85
*972 FILLER_2_97
*973 FILLER_30_109
*974 FILLER_30_11
*975 FILLER_30_121
*976 FILLER_30_133
*977 FILLER_30_139
*978 FILLER_30_141
*979 FILLER_30_153
*980 FILLER_30_165
*981 FILLER_30_177
*982 FILLER_30_18
*983 FILLER_30_189
*984 FILLER_30_195
*985 FILLER_30_197
*986 FILLER_30_209
*987 FILLER_30_221
*988 FILLER_30_224
*989 FILLER_30_231
*990 FILLER_30_25
*991 FILLER_30_29
*992 FILLER_30_3
*993 FILLER_30_41
*994 FILLER_30_53
*995 FILLER_30_61
*996 FILLER_30_69
*997 FILLER_30_81
*998 FILLER_30_85
*999 FILLER_30_97
*1000 FILLER_31_105
*1001 FILLER_31_110
*1002 FILLER_31_113
*1003 FILLER_31_136
*1004 FILLER_31_148
*1005 FILLER_31_15
*1006 FILLER_31_160
*1007 FILLER_31_169
*1008 FILLER_31_181
*1009 FILLER_31_193
*1010 FILLER_31_205
*1011 FILLER_31_21
*1012 FILLER_31_217
*1013 FILLER_31_222
*1014 FILLER_31_225
*1015 FILLER_31_231
*1016 FILLER_31_3
*1017 FILLER_31_33
*1018 FILLER_31_45
*1019 FILLER_31_53
*1020 FILLER_31_57
*1021 FILLER_31_69
*1022 FILLER_31_8
*1023 FILLER_31_81
*1024 FILLER_31_93
*1025 FILLER_32_109
*1026 FILLER_32_121
*1027 FILLER_32_133
*1028 FILLER_32_139
*1029 FILLER_32_141
*1030 FILLER_32_145
*1031 FILLER_32_15
*1032 FILLER_32_157
*1033 FILLER_32_169
*1034 FILLER_32_181
*1035 FILLER_32_193
*1036 FILLER_32_197
*1037 FILLER_32_209
*1038 FILLER_32_21
*1039 FILLER_32_214
*1040 FILLER_32_221
*1041 FILLER_32_231
*1042 FILLER_32_27
*1043 FILLER_32_29
*1044 FILLER_32_3
*1045 FILLER_32_41
*1046 FILLER_32_53
*1047 FILLER_32_65
*1048 FILLER_32_77
*1049 FILLER_32_8
*1050 FILLER_32_83
*1051 FILLER_32_85
*1052 FILLER_32_97
*1053 FILLER_33_10
*1054 FILLER_33_108
*1055 FILLER_33_113
*1056 FILLER_33_125
*1057 FILLER_33_142
*1058 FILLER_33_153
*1059 FILLER_33_165
*1060 FILLER_33_169
*1061 FILLER_33_17
*1062 FILLER_33_181
*1063 FILLER_33_193
*1064 FILLER_33_201
*1065 FILLER_33_206
*1066 FILLER_33_213
*1067 FILLER_33_222
*1068 FILLER_33_225
*1069 FILLER_33_231
*1070 FILLER_33_24
*1071 FILLER_33_3
*1072 FILLER_33_30
*1073 FILLER_33_42
*1074 FILLER_33_54
*1075 FILLER_33_57
*1076 FILLER_33_65
*1077 FILLER_33_84
*1078 FILLER_33_96
*1079 FILLER_34_116
*1080 FILLER_34_12
*1081 FILLER_34_128
*1082 FILLER_34_141
*1083 FILLER_34_153
*1084 FILLER_34_165
*1085 FILLER_34_177
*1086 FILLER_34_189
*1087 FILLER_34_194
*1088 FILLER_34_197
*1089 FILLER_34_202
*1090 FILLER_34_21
*1091 FILLER_34_211
*1092 FILLER_34_231
*1093 FILLER_34_27
*1094 FILLER_34_29
*1095 FILLER_34_3
*1096 FILLER_34_33
*1097 FILLER_34_39
*1098 FILLER_34_51
*1099 FILLER_34_66
*1100 FILLER_34_78
*1101 FILLER_34_85
*1102 FILLER_34_97
*1103 FILLER_35_105
*1104 FILLER_35_111
*1105 FILLER_35_113
*1106 FILLER_35_12
*1107 FILLER_35_125
*1108 FILLER_35_137
*1109 FILLER_35_149
*1110 FILLER_35_161
*1111 FILLER_35_167
*1112 FILLER_35_169
*1113 FILLER_35_181
*1114 FILLER_35_189
*1115 FILLER_35_193
*1116 FILLER_35_200
*1117 FILLER_35_210
*1118 FILLER_35_22
*1119 FILLER_35_222
*1120 FILLER_35_225
*1121 FILLER_35_231
*1122 FILLER_35_29
*1123 FILLER_35_3
*1124 FILLER_35_36
*1125 FILLER_35_42
*1126 FILLER_35_48
*1127 FILLER_35_57
*1128 FILLER_35_69
*1129 FILLER_35_81
*1130 FILLER_35_93
*1131 FILLER_35_97
*1132 FILLER_36_107
*1133 FILLER_36_119
*1134 FILLER_36_131
*1135 FILLER_36_139
*1136 FILLER_36_141
*1137 FILLER_36_153
*1138 FILLER_36_165
*1139 FILLER_36_177
*1140 FILLER_36_181
*1141 FILLER_36_184
*1142 FILLER_36_194
*1143 FILLER_36_197
*1144 FILLER_36_203
*1145 FILLER_36_21
*1146 FILLER_36_211
*1147 FILLER_36_231
*1148 FILLER_36_27
*1149 FILLER_36_29
*1150 FILLER_36_3
*1151 FILLER_36_36
*1152 FILLER_36_43
*1153 FILLER_36_49
*1154 FILLER_36_55
*1155 FILLER_36_61
*1156 FILLER_36_73
*1157 FILLER_36_81
*1158 FILLER_36_85
*1159 FILLER_36_97
*1160 FILLER_37_103
*1161 FILLER_37_111
*1162 FILLER_37_113
*1163 FILLER_37_125
*1164 FILLER_37_137
*1165 FILLER_37_149
*1166 FILLER_37_161
*1167 FILLER_37_167
*1168 FILLER_37_169
*1169 FILLER_37_177
*1170 FILLER_37_181
*1171 FILLER_37_191
*1172 FILLER_37_202
*1173 FILLER_37_21
*1174 FILLER_37_222
*1175 FILLER_37_225
*1176 FILLER_37_231
*1177 FILLER_37_3
*1178 FILLER_37_32
*1179 FILLER_37_41
*1180 FILLER_37_48
*1181 FILLER_37_54
*1182 FILLER_37_57
*1183 FILLER_37_61
*1184 FILLER_37_67
*1185 FILLER_37_79
*1186 FILLER_37_91
*1187 FILLER_38_109
*1188 FILLER_38_121
*1189 FILLER_38_133
*1190 FILLER_38_139
*1191 FILLER_38_141
*1192 FILLER_38_153
*1193 FILLER_38_165
*1194 FILLER_38_174
*1195 FILLER_38_184
*1196 FILLER_38_194
*1197 FILLER_38_197
*1198 FILLER_38_206
*1199 FILLER_38_21
*1200 FILLER_38_231
*1201 FILLER_38_27
*1202 FILLER_38_29
*1203 FILLER_38_3
*1204 FILLER_38_39
*1205 FILLER_38_48
*1206 FILLER_38_55
*1207 FILLER_38_62
*1208 FILLER_38_68
*1209 FILLER_38_74
*1210 FILLER_38_82
*1211 FILLER_38_85
*1212 FILLER_38_97
*1213 FILLER_39_101
*1214 FILLER_39_110
*1215 FILLER_39_113
*1216 FILLER_39_125
*1217 FILLER_39_137
*1218 FILLER_39_149
*1219 FILLER_39_161
*1220 FILLER_39_167
*1221 FILLER_39_169
*1222 FILLER_39_180
*1223 FILLER_39_191
*1224 FILLER_39_202
*1225 FILLER_39_222
*1226 FILLER_39_225
*1227 FILLER_39_230
*1228 FILLER_39_26
*1229 FILLER_39_3
*1230 FILLER_39_46
*1231 FILLER_39_53
*1232 FILLER_39_57
*1233 FILLER_39_64
*1234 FILLER_39_71
*1235 FILLER_39_77
*1236 FILLER_39_89
*1237 FILLER_3_105
*1238 FILLER_3_111
*1239 FILLER_3_113
*1240 FILLER_3_125
*1241 FILLER_3_137
*1242 FILLER_3_149
*1243 FILLER_3_161
*1244 FILLER_3_167
*1245 FILLER_3_169
*1246 FILLER_3_181
*1247 FILLER_3_193
*1248 FILLER_3_20
*1249 FILLER_3_205
*1250 FILLER_3_217
*1251 FILLER_3_223
*1252 FILLER_3_225
*1253 FILLER_3_231
*1254 FILLER_3_3
*1255 FILLER_3_32
*1256 FILLER_3_44
*1257 FILLER_3_57
*1258 FILLER_3_69
*1259 FILLER_3_8
*1260 FILLER_3_81
*1261 FILLER_3_93
*1262 FILLER_40_102
*1263 FILLER_40_111
*1264 FILLER_40_118
*1265 FILLER_40_124
*1266 FILLER_40_136
*1267 FILLER_40_141
*1268 FILLER_40_153
*1269 FILLER_40_165
*1270 FILLER_40_171
*1271 FILLER_40_178
*1272 FILLER_40_185
*1273 FILLER_40_194
*1274 FILLER_40_197
*1275 FILLER_40_203
*1276 FILLER_40_21
*1277 FILLER_40_211
*1278 FILLER_40_231
*1279 FILLER_40_27
*1280 FILLER_40_29
*1281 FILLER_40_3
*1282 FILLER_40_38
*1283 FILLER_40_47
*1284 FILLER_40_56
*1285 FILLER_40_63
*1286 FILLER_40_70
*1287 FILLER_40_76
*1288 FILLER_40_82
*1289 FILLER_40_85
*1290 FILLER_40_91
*1291 FILLER_40_95
*1292 FILLER_41_110
*1293 FILLER_41_113
*1294 FILLER_41_121
*1295 FILLER_41_128
*1296 FILLER_41_140
*1297 FILLER_41_152
*1298 FILLER_41_164
*1299 FILLER_41_169
*1300 FILLER_41_179
*1301 FILLER_41_186
*1302 FILLER_41_193
*1303 FILLER_41_202
*1304 FILLER_41_21
*1305 FILLER_41_222
*1306 FILLER_41_225
*1307 FILLER_41_230
*1308 FILLER_41_3
*1309 FILLER_41_32
*1310 FILLER_41_42
*1311 FILLER_41_49
*1312 FILLER_41_55
*1313 FILLER_41_57
*1314 FILLER_41_62
*1315 FILLER_41_69
*1316 FILLER_41_76
*1317 FILLER_41_83
*1318 FILLER_41_90
*1319 FILLER_41_99
*1320 FILLER_42_117
*1321 FILLER_42_127
*1322 FILLER_42_134
*1323 FILLER_42_141
*1324 FILLER_42_153
*1325 FILLER_42_165
*1326 FILLER_42_177
*1327 FILLER_42_182
*1328 FILLER_42_188
*1329 FILLER_42_194
*1330 FILLER_42_197
*1331 FILLER_42_202
*1332 FILLER_42_21
*1333 FILLER_42_211
*1334 FILLER_42_231
*1335 FILLER_42_27
*1336 FILLER_42_29
*1337 FILLER_42_3
*1338 FILLER_42_37
*1339 FILLER_42_44
*1340 FILLER_42_51
*1341 FILLER_42_58
*1342 FILLER_42_65
*1343 FILLER_42_71
*1344 FILLER_42_75
*1345 FILLER_42_82
*1346 FILLER_42_85
*1347 FILLER_42_91
*1348 FILLER_42_97
*1349 FILLER_43_110
*1350 FILLER_43_113
*1351 FILLER_43_12
*1352 FILLER_43_122
*1353 FILLER_43_132
*1354 FILLER_43_141
*1355 FILLER_43_148
*1356 FILLER_43_154
*1357 FILLER_43_166
*1358 FILLER_43_169
*1359 FILLER_43_181
*1360 FILLER_43_189
*1361 FILLER_43_192
*1362 FILLER_43_199
*1363 FILLER_43_206
*1364 FILLER_43_213
*1365 FILLER_43_22
*1366 FILLER_43_222
*1367 FILLER_43_225
*1368 FILLER_43_230
*1369 FILLER_43_3
*1370 FILLER_43_31
*1371 FILLER_43_38
*1372 FILLER_43_45
*1373 FILLER_43_52
*1374 FILLER_43_57
*1375 FILLER_43_62
*1376 FILLER_43_68
*1377 FILLER_43_72
*1378 FILLER_43_81
*1379 FILLER_43_90
*1380 FILLER_44_100
*1381 FILLER_44_11
*1382 FILLER_44_120
*1383 FILLER_44_131
*1384 FILLER_44_138
*1385 FILLER_44_141
*1386 FILLER_44_148
*1387 FILLER_44_155
*1388 FILLER_44_162
*1389 FILLER_44_168
*1390 FILLER_44_180
*1391 FILLER_44_186
*1392 FILLER_44_190
*1393 FILLER_44_194
*1394 FILLER_44_197
*1395 FILLER_44_203
*1396 FILLER_44_21
*1397 FILLER_44_210
*1398 FILLER_44_219
*1399 FILLER_44_228
*1400 FILLER_44_232
*1401 FILLER_44_27
*1402 FILLER_44_29
*1403 FILLER_44_3
*1404 FILLER_44_34
*1405 FILLER_44_41
*1406 FILLER_44_50
*1407 FILLER_44_57
*1408 FILLER_44_64
*1409 FILLER_44_73
*1410 FILLER_44_82
*1411 FILLER_44_85
*1412 FILLER_44_89
*1413 FILLER_45_10
*1414 FILLER_45_110
*1415 FILLER_45_113
*1416 FILLER_45_131
*1417 FILLER_45_142
*1418 FILLER_45_152
*1419 FILLER_45_160
*1420 FILLER_45_166
*1421 FILLER_45_169
*1422 FILLER_45_17
*1423 FILLER_45_174
*1424 FILLER_45_180
*1425 FILLER_45_184
*1426 FILLER_45_191
*1427 FILLER_45_198
*1428 FILLER_45_205
*1429 FILLER_45_212
*1430 FILLER_45_218
*1431 FILLER_45_222
*1432 FILLER_45_225
*1433 FILLER_45_230
*1434 FILLER_45_24
*1435 FILLER_45_28
*1436 FILLER_45_3
*1437 FILLER_45_32
*1438 FILLER_45_36
*1439 FILLER_45_40
*1440 FILLER_45_47
*1441 FILLER_45_54
*1442 FILLER_45_57
*1443 FILLER_45_63
*1444 FILLER_45_69
*1445 FILLER_45_79
*1446 FILLER_45_90
*1447 FILLER_46_110
*1448 FILLER_46_113
*1449 FILLER_46_136
*1450 FILLER_46_141
*1451 FILLER_46_15
*1452 FILLER_46_151
*1453 FILLER_46_161
*1454 FILLER_46_167
*1455 FILLER_46_169
*1456 FILLER_46_174
*1457 FILLER_46_181
*1458 FILLER_46_188
*1459 FILLER_46_194
*1460 FILLER_46_197
*1461 FILLER_46_202
*1462 FILLER_46_209
*1463 FILLER_46_215
*1464 FILLER_46_22
*1465 FILLER_46_222
*1466 FILLER_46_225
*1467 FILLER_46_231
*1468 FILLER_46_29
*1469 FILLER_46_3
*1470 FILLER_46_33
*1471 FILLER_46_40
*1472 FILLER_46_47
*1473 FILLER_46_54
*1474 FILLER_46_57
*1475 FILLER_46_62
*1476 FILLER_46_8
*1477 FILLER_46_82
*1478 FILLER_46_85
*1479 FILLER_46_90
*1480 FILLER_4_109
*1481 FILLER_4_121
*1482 FILLER_4_133
*1483 FILLER_4_139
*1484 FILLER_4_141
*1485 FILLER_4_15
*1486 FILLER_4_153
*1487 FILLER_4_165
*1488 FILLER_4_177
*1489 FILLER_4_189
*1490 FILLER_4_195
*1491 FILLER_4_197
*1492 FILLER_4_209
*1493 FILLER_4_221
*1494 FILLER_4_27
*1495 FILLER_4_29
*1496 FILLER_4_3
*1497 FILLER_4_41
*1498 FILLER_4_53
*1499 FILLER_4_65
*1500 FILLER_4_77
*1501 FILLER_4_83
*1502 FILLER_4_85
*1503 FILLER_4_97
*1504 FILLER_5_105
*1505 FILLER_5_111
*1506 FILLER_5_113
*1507 FILLER_5_125
*1508 FILLER_5_137
*1509 FILLER_5_149
*1510 FILLER_5_161
*1511 FILLER_5_167
*1512 FILLER_5_169
*1513 FILLER_5_181
*1514 FILLER_5_193
*1515 FILLER_5_20
*1516 FILLER_5_205
*1517 FILLER_5_217
*1518 FILLER_5_223
*1519 FILLER_5_225
*1520 FILLER_5_231
*1521 FILLER_5_3
*1522 FILLER_5_32
*1523 FILLER_5_44
*1524 FILLER_5_57
*1525 FILLER_5_69
*1526 FILLER_5_8
*1527 FILLER_5_81
*1528 FILLER_5_93
*1529 FILLER_6_109
*1530 FILLER_6_121
*1531 FILLER_6_133
*1532 FILLER_6_139
*1533 FILLER_6_141
*1534 FILLER_6_15
*1535 FILLER_6_153
*1536 FILLER_6_165
*1537 FILLER_6_177
*1538 FILLER_6_189
*1539 FILLER_6_195
*1540 FILLER_6_197
*1541 FILLER_6_209
*1542 FILLER_6_221
*1543 FILLER_6_227
*1544 FILLER_6_231
*1545 FILLER_6_27
*1546 FILLER_6_29
*1547 FILLER_6_3
*1548 FILLER_6_41
*1549 FILLER_6_53
*1550 FILLER_6_65
*1551 FILLER_6_77
*1552 FILLER_6_83
*1553 FILLER_6_85
*1554 FILLER_6_97
*1555 FILLER_7_105
*1556 FILLER_7_111
*1557 FILLER_7_113
*1558 FILLER_7_125
*1559 FILLER_7_137
*1560 FILLER_7_149
*1561 FILLER_7_161
*1562 FILLER_7_167
*1563 FILLER_7_169
*1564 FILLER_7_181
*1565 FILLER_7_193
*1566 FILLER_7_205
*1567 FILLER_7_21
*1568 FILLER_7_217
*1569 FILLER_7_223
*1570 FILLER_7_225
*1571 FILLER_7_3
*1572 FILLER_7_33
*1573 FILLER_7_45
*1574 FILLER_7_53
*1575 FILLER_7_57
*1576 FILLER_7_69
*1577 FILLER_7_81
*1578 FILLER_7_9
*1579 FILLER_7_93
*1580 FILLER_8_109
*1581 FILLER_8_121
*1582 FILLER_8_133
*1583 FILLER_8_139
*1584 FILLER_8_141
*1585 FILLER_8_15
*1586 FILLER_8_153
*1587 FILLER_8_165
*1588 FILLER_8_177
*1589 FILLER_8_189
*1590 FILLER_8_195
*1591 FILLER_8_197
*1592 FILLER_8_209
*1593 FILLER_8_221
*1594 FILLER_8_227
*1595 FILLER_8_231
*1596 FILLER_8_27
*1597 FILLER_8_29
*1598 FILLER_8_3
*1599 FILLER_8_41
*1600 FILLER_8_53
*1601 FILLER_8_65
*1602 FILLER_8_77
*1603 FILLER_8_83
*1604 FILLER_8_85
*1605 FILLER_8_97
*1606 FILLER_9_105
*1607 FILLER_9_111
*1608 FILLER_9_113
*1609 FILLER_9_125
*1610 FILLER_9_137
*1611 FILLER_9_149
*1612 FILLER_9_161
*1613 FILLER_9_167
*1614 FILLER_9_169
*1615 FILLER_9_181
*1616 FILLER_9_193
*1617 FILLER_9_20
*1618 FILLER_9_205
*1619 FILLER_9_217
*1620 FILLER_9_223
*1621 FILLER_9_225
*1622 FILLER_9_231
*1623 FILLER_9_3
*1624 FILLER_9_32
*1625 FILLER_9_44
*1626 FILLER_9_57
*1627 FILLER_9_69
*1628 FILLER_9_8
*1629 FILLER_9_81
*1630 FILLER_9_93
*1631 PHY_0
*1632 PHY_1
*1633 PHY_10
*1634 PHY_11
*1635 PHY_12
*1636 PHY_13
*1637 PHY_14
*1638 PHY_15
*1639 PHY_16
*1640 PHY_17
*1641 PHY_18
*1642 PHY_19
*1643 PHY_2
*1644 PHY_20
*1645 PHY_21
*1646 PHY_22
*1647 PHY_23
*1648 PHY_24
*1649 PHY_25
*1650 PHY_26
*1651 PHY_27
*1652 PHY_28
*1653 PHY_29
*1654 PHY_3
*1655 PHY_30
*1656 PHY_31
*1657 PHY_32
*1658 PHY_33
*1659 PHY_34
*1660 PHY_35
*1661 PHY_36
*1662 PHY_37
*1663 PHY_38
*1664 PHY_39
*1665 PHY_4
*1666 PHY_40
*1667 PHY_41
*1668 PHY_42
*1669 PHY_43
*1670 PHY_44
*1671 PHY_45
*1672 PHY_46
*1673 PHY_47
*1674 PHY_48
*1675 PHY_49
*1676 PHY_5
*1677 PHY_50
*1678 PHY_51
*1679 PHY_52
*1680 PHY_53
*1681 PHY_54
*1682 PHY_55
*1683 PHY_56
*1684 PHY_57
*1685 PHY_58
*1686 PHY_59
*1687 PHY_6
*1688 PHY_60
*1689 PHY_61
*1690 PHY_62
*1691 PHY_63
*1692 PHY_64
*1693 PHY_65
*1694 PHY_66
*1695 PHY_67
*1696 PHY_68
*1697 PHY_69
*1698 PHY_7
*1699 PHY_70
*1700 PHY_71
*1701 PHY_72
*1702 PHY_73
*1703 PHY_74
*1704 PHY_75
*1705 PHY_76
*1706 PHY_77
*1707 PHY_78
*1708 PHY_79
*1709 PHY_8
*1710 PHY_80
*1711 PHY_81
*1712 PHY_82
*1713 PHY_83
*1714 PHY_84
*1715 PHY_85
*1716 PHY_86
*1717 PHY_87
*1718 PHY_88
*1719 PHY_89
*1720 PHY_9
*1721 PHY_90
*1722 PHY_91
*1723 PHY_92
*1724 PHY_93
*1725 TAP_100
*1726 TAP_101
*1727 TAP_102
*1728 TAP_103
*1729 TAP_104
*1730 TAP_105
*1731 TAP_106
*1732 TAP_107
*1733 TAP_108
*1734 TAP_109
*1735 TAP_110
*1736 TAP_111
*1737 TAP_112
*1738 TAP_113
*1739 TAP_114
*1740 TAP_115
*1741 TAP_116
*1742 TAP_117
*1743 TAP_118
*1744 TAP_119
*1745 TAP_120
*1746 TAP_121
*1747 TAP_122
*1748 TAP_123
*1749 TAP_124
*1750 TAP_125
*1751 TAP_126
*1752 TAP_127
*1753 TAP_128
*1754 TAP_129
*1755 TAP_130
*1756 TAP_131
*1757 TAP_132
*1758 TAP_133
*1759 TAP_134
*1760 TAP_135
*1761 TAP_136
*1762 TAP_137
*1763 TAP_138
*1764 TAP_139
*1765 TAP_140
*1766 TAP_141
*1767 TAP_142
*1768 TAP_143
*1769 TAP_144
*1770 TAP_145
*1771 TAP_146
*1772 TAP_147
*1773 TAP_148
*1774 TAP_149
*1775 TAP_150
*1776 TAP_151
*1777 TAP_152
*1778 TAP_153
*1779 TAP_154
*1780 TAP_155
*1781 TAP_156
*1782 TAP_157
*1783 TAP_158
*1784 TAP_159
*1785 TAP_160
*1786 TAP_161
*1787 TAP_162
*1788 TAP_163
*1789 TAP_164
*1790 TAP_165
*1791 TAP_166
*1792 TAP_167
*1793 TAP_168
*1794 TAP_169
*1795 TAP_170
*1796 TAP_171
*1797 TAP_172
*1798 TAP_173
*1799 TAP_174
*1800 TAP_175
*1801 TAP_176
*1802 TAP_177
*1803 TAP_178
*1804 TAP_179
*1805 TAP_180
*1806 TAP_181
*1807 TAP_182
*1808 TAP_183
*1809 TAP_184
*1810 TAP_185
*1811 TAP_186
*1812 TAP_187
*1813 TAP_188
*1814 TAP_189
*1815 TAP_190
*1816 TAP_191
*1817 TAP_192
*1818 TAP_193
*1819 TAP_194
*1820 TAP_195
*1821 TAP_196
*1822 TAP_197
*1823 TAP_198
*1824 TAP_199
*1825 TAP_200
*1826 TAP_201
*1827 TAP_202
*1828 TAP_203
*1829 TAP_204
*1830 TAP_205
*1831 TAP_206
*1832 TAP_207
*1833 TAP_208
*1834 TAP_209
*1835 TAP_210
*1836 TAP_211
*1837 TAP_212
*1838 TAP_213
*1839 TAP_214
*1840 TAP_215
*1841 TAP_216
*1842 TAP_217
*1843 TAP_218
*1844 TAP_219
*1845 TAP_220
*1846 TAP_221
*1847 TAP_222
*1848 TAP_223
*1849 TAP_224
*1850 TAP_225
*1851 TAP_226
*1852 TAP_227
*1853 TAP_228
*1854 TAP_229
*1855 TAP_230
*1856 TAP_231
*1857 TAP_232
*1858 TAP_233
*1859 TAP_234
*1860 TAP_235
*1861 TAP_236
*1862 TAP_237
*1863 TAP_238
*1864 TAP_239
*1865 TAP_240
*1866 TAP_241
*1867 TAP_242
*1868 TAP_243
*1869 TAP_244
*1870 TAP_245
*1871 TAP_246
*1872 TAP_247
*1873 TAP_248
*1874 TAP_249
*1875 TAP_250
*1876 TAP_251
*1877 TAP_252
*1878 TAP_253
*1879 TAP_254
*1880 TAP_255
*1881 TAP_256
*1882 TAP_257
*1883 TAP_258
*1884 TAP_259
*1885 TAP_260
*1886 TAP_261
*1887 TAP_262
*1888 TAP_263
*1889 TAP_264
*1890 TAP_265
*1891 TAP_266
*1892 TAP_267
*1893 TAP_268
*1894 TAP_269
*1895 TAP_270
*1896 TAP_271
*1897 TAP_272
*1898 TAP_273
*1899 TAP_274
*1900 TAP_275
*1901 TAP_276
*1902 TAP_277
*1903 TAP_278
*1904 TAP_279
*1905 TAP_280
*1906 TAP_281
*1907 TAP_282
*1908 TAP_283
*1909 TAP_284
*1910 TAP_285
*1911 TAP_286
*1912 TAP_287
*1913 TAP_288
*1914 TAP_289
*1915 TAP_94
*1916 TAP_95
*1917 TAP_96
*1918 TAP_97
*1919 TAP_98
*1920 TAP_99
*1921 _153_
*1922 _154_
*1923 _155_
*1924 _156_
*1925 _157_
*1926 _158_
*1927 _159_
*1928 _160_
*1929 _161_
*1930 _162_
*1931 _163_
*1932 _164_
*1933 _165_
*1934 _166_
*1935 _167_
*1936 _168_
*1937 _169_
*1938 _170_
*1939 _171_
*1940 _172_
*1941 _173_
*1942 _174_
*1943 _175_
*1944 _176_
*1945 _177_
*1946 _178_
*1947 _179_
*1948 _180_
*1949 _181_
*1950 _182_
*1951 _183_
*1952 _184_
*1953 _185_
*1954 _186_
*1955 _187_
*1956 _188_
*1957 _189_
*1958 _190_
*1959 _191_
*1960 _192_
*1961 _193_
*1962 _194_
*1963 _195_
*1964 _196_
*1965 _197_
*1966 _198_
*1967 _199_
*1968 _200_
*1969 _201_
*1970 _202_
*1971 _203_
*1972 _204_
*1973 _205_
*1974 _206_
*1975 _207_
*1976 _208_
*1977 _209_
*1978 _210_
*1979 _211_
*1980 _212_
*1981 _213_
*1982 _214_
*1983 _215_
*1984 _216_
*1985 _217_
*1986 _218_
*1987 _219_
*1988 _220_
*1989 _221_
*1990 _222_
*1991 _223_
*1992 _224_
*1993 _225_
*1994 _226_
*1995 _227_
*1996 _228_
*1997 _229_
*1998 _230_
*1999 _231_
*2000 _232_
*2001 _233_
*2002 _234_
*2003 _235_
*2004 _236_
*2005 _237_
*2006 _238_
*2007 _239_
*2008 _240_
*2009 _241_
*2010 _242_
*2011 _243_
*2012 _244_
*2013 _245_
*2014 _246_
*2015 _247_
*2016 _248_
*2017 _249_
*2018 _250_
*2019 _251_
*2020 _252_
*2021 _253_
*2022 _254_
*2023 _255_
*2024 _256_
*2025 _257_
*2026 _258_
*2027 _259_
*2028 _260_
*2029 _261_
*2030 _262_
*2031 _263_
*2032 _264_
*2033 _265_
*2034 _266_
*2035 _267_
*2036 _268_
*2037 _269_
*2038 _270_
*2039 _271_
*2040 _272_
*2041 _273_
*2042 _274_
*2043 _275_
*2044 _276_
*2045 _277_
*2046 _278_
*2047 _279_
*2048 _280_
*2049 _281_
*2050 _282_
*2051 _283_
*2052 _284_
*2053 _285_
*2054 _286_
*2055 _287_
*2056 _288_
*2057 _289_
*2058 _290_
*2059 _291_
*2060 _292_
*2061 _293_
*2062 _294_
*2063 _295_
*2064 _296_
*2065 _297_
*2066 _298_
*2067 _299_
*2068 _300_
*2069 _301_
*2070 _302_
*2071 _303_
*2072 _304_
*2073 _305_
*2074 _306_
*2075 _307_
*2076 _308_
*2077 _309_
*2078 _310_
*2079 _311_
*2080 _312_
*2081 _313_
*2082 _314_
*2083 _315_
*2084 _316_
*2085 _317_
*2086 _318_
*2087 _319_
*2088 _320_
*2089 _321_
*2090 _322_
*2091 _323_
*2092 _324_
*2093 _325_
*2094 _326_
*2095 _327_
*2096 _328_
*2097 _329_
*2098 _330_
*2099 _331_
*2100 _332_
*2101 _333_
*2102 _334_
*2103 _335_
*2104 _336_
*2105 _337_
*2106 _338_
*2107 _414_
*2108 fanout29
*2109 fanout30
*2110 fanout31
*2111 fanout32
*2112 input1
*2113 input10
*2114 input11
*2115 input12
*2116 input13
*2117 input14
*2118 input15
*2119 input16
*2120 input17
*2121 input18
*2122 input19
*2123 input2
*2124 input20
*2125 input21
*2126 input22
*2127 input23
*2128 input24
*2129 input25
*2130 input26
*2131 input27
*2132 input3
*2133 input4
*2134 input5
*2135 input6
*2136 input7
*2137 input8
*2138 input9
*2139 output28
*2140 tiny_user_project_100
*2141 tiny_user_project_101
*2142 tiny_user_project_102
*2143 tiny_user_project_103
*2144 tiny_user_project_104
*2145 tiny_user_project_105
*2146 tiny_user_project_106
*2147 tiny_user_project_107
*2148 tiny_user_project_33
*2149 tiny_user_project_34
*2150 tiny_user_project_35
*2151 tiny_user_project_36
*2152 tiny_user_project_37
*2153 tiny_user_project_38
*2154 tiny_user_project_39
*2155 tiny_user_project_40
*2156 tiny_user_project_41
*2157 tiny_user_project_42
*2158 tiny_user_project_43
*2159 tiny_user_project_44
*2160 tiny_user_project_45
*2161 tiny_user_project_46
*2162 tiny_user_project_47
*2163 tiny_user_project_48
*2164 tiny_user_project_49
*2165 tiny_user_project_50
*2166 tiny_user_project_51
*2167 tiny_user_project_52
*2168 tiny_user_project_53
*2169 tiny_user_project_54
*2170 tiny_user_project_55
*2171 tiny_user_project_56
*2172 tiny_user_project_57
*2173 tiny_user_project_58
*2174 tiny_user_project_59
*2175 tiny_user_project_60
*2176 tiny_user_project_61
*2177 tiny_user_project_62
*2178 tiny_user_project_63
*2179 tiny_user_project_64
*2180 tiny_user_project_65
*2181 tiny_user_project_66
*2182 tiny_user_project_67
*2183 tiny_user_project_68
*2184 tiny_user_project_69
*2185 tiny_user_project_70
*2186 tiny_user_project_71
*2187 tiny_user_project_72
*2188 tiny_user_project_73
*2189 tiny_user_project_74
*2190 tiny_user_project_75
*2191 tiny_user_project_76
*2192 tiny_user_project_77
*2193 tiny_user_project_78
*2194 tiny_user_project_79
*2195 tiny_user_project_80
*2196 tiny_user_project_81
*2197 tiny_user_project_82
*2198 tiny_user_project_83
*2199 tiny_user_project_84
*2200 tiny_user_project_85
*2201 tiny_user_project_86
*2202 tiny_user_project_87
*2203 tiny_user_project_88
*2204 tiny_user_project_89
*2205 tiny_user_project_90
*2206 tiny_user_project_91
*2207 tiny_user_project_92
*2208 tiny_user_project_93
*2209 tiny_user_project_94
*2210 tiny_user_project_95
*2211 tiny_user_project_96
*2212 tiny_user_project_97
*2213 tiny_user_project_98
*2214 tiny_user_project_99
*PORTS
io_in[0] I
io_in[10] I
io_in[11] I
io_in[12] I
io_in[13] I
io_in[14] I
io_in[15] I
io_in[16] I
io_in[17] I
io_in[18] I
io_in[19] I
io_in[1] I
io_in[20] I
io_in[21] I
io_in[22] I
io_in[23] I
io_in[24] I
io_in[25] I
io_in[26] I
io_in[27] I
io_in[28] I
io_in[29] I
io_in[2] I
io_in[30] I
io_in[31] I
io_in[32] I
io_in[33] I
io_in[34] I
io_in[35] I
io_in[36] I
io_in[37] I
io_in[3] I
io_in[4] I
io_in[5] I
io_in[6] I
io_in[7] I
io_in[8] I
io_in[9] I
io_oeb[0] O
io_oeb[10] O
io_oeb[11] O
io_oeb[12] O
io_oeb[13] O
io_oeb[14] O
io_oeb[15] O
io_oeb[16] O
io_oeb[17] O
io_oeb[18] O
io_oeb[19] O
io_oeb[1] O
io_oeb[20] O
io_oeb[21] O
io_oeb[22] O
io_oeb[23] O
io_oeb[24] O
io_oeb[25] O
io_oeb[26] O
io_oeb[27] O
io_oeb[28] O
io_oeb[29] O
io_oeb[2] O
io_oeb[30] O
io_oeb[31] O
io_oeb[32] O
io_oeb[33] O
io_oeb[34] O
io_oeb[35] O
io_oeb[36] O
io_oeb[37] O
io_oeb[3] O
io_oeb[4] O
io_oeb[5] O
io_oeb[6] O
io_oeb[7] O
io_oeb[8] O
io_oeb[9] O
io_out[0] O
io_out[10] O
io_out[11] O
io_out[12] O
io_out[13] O
io_out[14] O
io_out[15] O
io_out[16] O
io_out[17] O
io_out[18] O
io_out[19] O
io_out[1] O
io_out[20] O
io_out[21] O
io_out[22] O
io_out[23] O
io_out[24] O
io_out[25] O
io_out[26] O
io_out[27] O
io_out[28] O
io_out[29] O
io_out[2] O
io_out[30] O
io_out[31] O
io_out[32] O
io_out[33] O
io_out[34] O
io_out[35] O
io_out[36] O
io_out[37] O
io_out[3] O
io_out[4] O
io_out[5] O
io_out[6] O
io_out[7] O
io_out[8] O
io_out[9] O
*D_NET *2 0.0015014
*CONN
*P io_in[10] I
*I *2112:A I *D sky130_fd_sc_hd__clkbuf_1
*I *365:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_in[10] 0.000329151
2 *2112:A 8.01341e-05
3 *365:DIODE 0.000115558
4 *2:10 0.000524844
5 *365:DIODE *303:7 0.000149489
6 *2112:A *303:7 2.14658e-05
7 *2112:A *322:13 1.21258e-05
8 *2:10 io_oeb[10] 0
9 *2:10 io_oeb[9] 0.000127538
10 *2:10 io_out[10] 9.77931e-05
11 *2:10 *351:DIODE 0
12 *2:10 *321:11 4.33002e-05
*RES
1 io_in[10] *2:10 9.48676
2 *2:10 *365:DIODE 11.8893
3 *2:10 *2112:A 10.6571
*END
*D_NET *3 0.00264161
*CONN
*P io_in[11] I
*I *2123:A I *D sky130_fd_sc_hd__clkbuf_1
*I *374:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_in[11] 0.000691229
2 *2123:A 0
3 *374:DIODE 0.000114845
4 *3:10 0.000806074
5 *374:DIODE *2037:B_N 0.000318101
6 *3:10 io_oeb[10] 0.000127779
7 *3:10 io_out[11] 0.000225528
8 *3:10 *351:DIODE 0.000194167
9 *3:10 *2011:B 0.000163888
10 *3:10 *2011:C 0
11 *3:10 *2038:A_N 0
*RES
1 io_in[11] *3:10 14.2412
2 *3:10 *374:DIODE 12.3
3 *3:10 *2123:A 9.3
*END
*D_NET *4 0.00511752
*CONN
*P io_in[12] I
*I *2132:A I *D sky130_fd_sc_hd__clkbuf_1
*I *375:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_in[12] 0.00129425
2 *2132:A 0
3 *375:DIODE 3.70767e-05
4 *4:15 0.00133132
5 *375:DIODE *2039:A_N 0.000154027
6 *375:DIODE *328:36 0.000276211
7 *4:15 io_oeb[11] 0.000282725
8 *4:15 io_out[12] 0.000195729
9 *4:15 *2011:C 0.000154589
10 *4:15 *2021:C 0.000495327
11 *4:15 *2038:B 0.000337909
12 *4:15 *2039:A_N 4.58976e-05
13 *4:15 *149:18 0.000126213
14 *4:15 *256:17 4.34538e-05
15 *4:15 *272:19 0.000199942
16 *4:15 *328:36 0.00014285
*RES
1 io_in[12] *4:15 18.9175
2 *4:15 *375:DIODE 11.8893
3 *4:15 *2132:A 9.3
*END
*D_NET *5 0.00530298
*CONN
*P io_in[13] I
*I *2133:A I *D sky130_fd_sc_hd__clkbuf_1
*I *376:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_in[13] 0.001568
2 *2133:A 0
3 *376:DIODE 0.000129165
4 *5:13 0.00169716
5 *5:13 io_oeb[12] 0.000144794
6 *5:13 io_oeb[13] 0
7 *5:13 io_out[13] 0.000216457
8 *5:13 *2026:B 9.60939e-05
9 *5:13 *2027:A 3.98559e-05
10 *5:13 *2027:B 0.000285619
11 *5:13 *2028:A 0.000122081
12 *5:13 *2030:A 0
13 *5:13 *2043:B1 0.000369118
14 *5:13 *250:24 8.50152e-05
15 *5:13 *265:13 1.78465e-05
16 *5:13 *275:38 0.000491925
17 *5:13 *275:50 3.9846e-05
*RES
1 io_in[13] *5:13 21.5767
2 *5:13 *376:DIODE 11.4786
3 *5:13 *2133:A 9.3
*END
*D_NET *6 0.00384496
*CONN
*P io_in[14] I
*I *2134:A I *D sky130_fd_sc_hd__clkbuf_1
*I *377:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_in[14] 0.00134321
2 *2134:A 0
3 *377:DIODE 7.937e-05
4 *6:11 0.00142258
5 *377:DIODE *7:13 0.000129595
6 *6:11 io_oeb[13] 0.000305869
7 *6:11 io_out[14] 0.000411394
8 *6:11 *2041:A 3.18676e-05
9 *6:11 *7:13 7.77652e-05
10 *6:11 *265:13 4.33002e-05
*RES
1 io_in[14] *6:11 12.8618
2 *6:11 *377:DIODE 11.4786
3 *6:11 *2134:A 9.3
*END
*D_NET *7 0.00642088
*CONN
*P io_in[15] I
*I *2135:A I *D sky130_fd_sc_hd__clkbuf_1
*I *378:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_in[15] 0.00244465
2 *2135:A 0.000229633
3 *378:DIODE 0
4 *7:13 0.00267429
5 *2135:A *2034:A 6.75007e-05
6 *2135:A *2052:A_N 0.000188586
7 *7:13 io_out[15] 0
8 *7:13 *2016:A 2.89114e-05
9 *7:13 *2017:B 0.000276793
10 *7:13 *2024:A 2.89114e-05
11 *7:13 *2041:A 8.46272e-05
12 *7:13 *2101:D 3.80953e-06
13 *7:13 *249:11 6.86792e-05
14 *7:13 *255:20 0.000117133
15 *377:DIODE *7:13 0.000129595
16 *6:11 *7:13 7.77652e-05
*RES
1 io_in[15] *7:13 45.9107
2 *7:13 *378:DIODE 13.8
3 *7:13 *2135:A 18.8536
*END
*D_NET *8 0.00146961
*CONN
*P io_in[16] I
*I *379:DIODE I *D sky130_fd_sc_hd__diode_2
*I *2136:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 io_in[16] 0.000422675
2 *379:DIODE 0.000108033
3 *2136:A 0.000145147
4 *8:9 0.000675855
5 *379:DIODE *2033:A 5.33433e-05
6 *2136:A *2033:A 6.45537e-05
7 *8:9 io_oeb[15] 0
8 *8:9 io_out[16] 0
*RES
1 io_in[16] *8:9 8.46429
2 *8:9 *2136:A 16.6571
3 *8:9 *379:DIODE 15.5679
*END
*D_NET *9 0.00152582
*CONN
*P io_in[17] I
*I *380:DIODE I *D sky130_fd_sc_hd__diode_2
*I *2137:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 io_in[17] 0.000579268
2 *380:DIODE 0.000134118
3 *2137:A 0
4 *9:10 0.000713386
5 *380:DIODE io_oeb[16] 9.90431e-05
6 *9:10 io_oeb[16] 0
7 *9:10 io_out[17] 0
8 *9:10 *229:8 0
*RES
1 io_in[17] *9:10 15.625
2 *9:10 *2137:A 9.3
3 *9:10 *380:DIODE 11.9071
*END
*D_NET *10 0.00213624
*CONN
*P io_in[18] I
*I *381:DIODE I *D sky130_fd_sc_hd__diode_2
*I *2138:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 io_in[18] 0.000942423
2 *381:DIODE 0.000125699
3 *2138:A 0
4 *10:8 0.00106812
5 *10:8 io_out[18] 0
6 *10:8 *2052:B 0
7 *10:8 *2053:A_N 0
8 *10:8 *2053:B 0
*RES
1 io_in[18] *10:8 20.7679
2 *10:8 *2138:A 9.3
3 *10:8 *381:DIODE 11.4786
*END
*D_NET *11 0.00496912
*CONN
*P io_in[19] I
*I *2113:A I *D sky130_fd_sc_hd__clkbuf_1
*I *355:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_in[19] 0.000686309
2 *2113:A 3.6945e-05
3 *355:DIODE 0.000676094
4 *11:12 0.00139935
5 *355:DIODE io_oeb[18] 0.000127123
6 *355:DIODE *1985:A 0.000230475
7 *355:DIODE *2049:B1 2.95642e-05
8 *355:DIODE *2051:B_N 0.000115927
9 *355:DIODE *2058:A1 0.000234592
10 *355:DIODE *230:26 2.89114e-05
11 *355:DIODE *277:56 0
12 *2113:A *2049:B1 4.08637e-05
13 *2113:A *2049:B2 9.41642e-05
14 *11:12 io_oeb[18] 0
15 *11:12 io_out[19] 0
16 *11:12 *2049:B1 0.000178847
17 *11:12 *2049:B2 0.000266479
18 *11:12 *2054:A2 1.41759e-05
19 *11:12 *2057:A2 9.90367e-05
20 *11:12 *2059:A1 0.000150618
21 *11:12 *2059:A2 0.000245342
22 *11:12 *2059:B2 1.00375e-05
23 *11:12 *2093:D 1.08359e-05
24 *11:12 *156:12 0.000143875
25 *11:12 *324:13 0.000149554
*RES
1 io_in[19] *11:12 21.4286
2 *11:12 *355:DIODE 28.9786
3 *11:12 *2113:A 10.2464
*END
*D_NET *13 0.00726497
*CONN
*P io_in[20] I
*I *2114:A I *D sky130_fd_sc_hd__clkbuf_1
*I *356:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_in[20] 0.00169307
2 *2114:A 2.37855e-05
3 *356:DIODE 0.000516718
4 *13:22 0.00223357
5 *356:DIODE io_out[22] 0
6 *356:DIODE *2002:B 0.000141994
7 *356:DIODE *2003:C 0.000226513
8 *13:22 io_oeb[24] 5.73457e-05
9 *13:22 io_out[20] 0
10 *13:22 *1986:B 9.60939e-05
11 *13:22 *1990:B1 2.28499e-05
12 *13:22 *2002:B 0.000200985
13 *13:22 *2003:C 0.000561598
14 *13:22 *2055:A 2.62342e-05
15 *13:22 *2099:D 4.18834e-05
16 *13:22 *2115:A 0.000164921
17 *13:22 *14:8 0.000299984
18 *13:22 *168:15 0.000400817
19 *13:22 *168:20 0.000442962
20 *13:22 *168:51 9.25014e-06
21 *13:22 *277:13 5.44456e-05
22 *13:22 *278:11 1.74352e-05
23 *13:22 *280:10 3.25078e-05
24 *13:22 *327:20 0
25 *13:22 *327:56 0
*RES
1 io_in[20] *13:22 47.9276
2 *13:22 *356:DIODE 27.5679
3 *13:22 *2114:A 9.72857
*END
*D_NET *14 0.00834932
*CONN
*P io_in[21] I
*I *357:DIODE I *D sky130_fd_sc_hd__diode_2
*I *2115:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 io_in[21] 0.00064446
2 *357:DIODE 0.0011379
3 *2115:A 0.000681373
4 *14:8 0.00246373
5 *357:DIODE io_oeb[24] 0.000931611
6 *357:DIODE *360:DIODE 9.24299e-05
7 *357:DIODE *1979:C 0
8 *357:DIODE *2003:B 7.83587e-05
9 *357:DIODE *16:21 0.000288459
10 *357:DIODE *17:14 0.000348302
11 *357:DIODE *19:27 0.000230854
12 *357:DIODE *324:69 0
13 *2115:A io_oeb[24] 0.000175833
14 *2115:A io_out[22] 0
15 *2115:A *1986:A 0.00029679
16 *2115:A *2002:B 2.06112e-05
17 *2115:A *2048:A 0.000111044
18 *14:8 io_oeb[20] 0
19 *14:8 io_out[21] 0
20 *14:8 *1986:A 0.00022056
21 *14:8 *1987:C 0
22 *14:8 *1990:A1 1.90936e-05
23 *14:8 *93:11 6.83886e-05
24 *14:8 *277:13 7.46146e-05
25 *13:22 *2115:A 0.000164921
26 *13:22 *14:8 0.000299984
*RES
1 io_in[21] *14:8 14.2733
2 *14:8 *2115:A 19.1913
3 *14:8 *357:DIODE 44.8082
*END
*D_NET *15 0.00600407
*CONN
*P io_in[22] I
*I *2116:A I *D sky130_fd_sc_hd__clkbuf_1
*I *358:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_in[22] 0.000664684
2 *2116:A 0
3 *358:DIODE 0.0015122
4 *15:9 0.00217688
5 *358:DIODE io_oeb[24] 5.33005e-05
6 *358:DIODE *360:DIODE 0.000230482
7 *358:DIODE *1972:A 0.000208922
8 *358:DIODE *1974:A 6.27177e-05
9 *358:DIODE *1976:A 0
10 *358:DIODE *2002:A 2.83284e-06
11 *358:DIODE *2048:A 2.11419e-05
12 *358:DIODE *2092:D 2.06112e-05
13 *358:DIODE *2110:A 0
14 *358:DIODE *16:21 0
15 *358:DIODE *19:27 0.000564585
16 *358:DIODE *132:13 0
17 *358:DIODE *287:54 7.82242e-05
18 *358:DIODE *309:11 0
19 *15:9 io_oeb[21] 0
20 *15:9 io_out[22] 0
21 *15:9 *2002:A 0.000122889
22 *15:9 *2002:B 6.46457e-05
23 *15:9 *2003:C 7.83659e-05
24 *15:9 *2048:A 0.000141586
*RES
1 io_in[22] *15:9 13.9286
2 *15:9 *358:DIODE 36.4071
3 *15:9 *2116:A 13.8
*END
*D_NET *16 0.0081727
*CONN
*P io_in[23] I
*I *2117:A I *D sky130_fd_sc_hd__clkbuf_1
*I *359:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_in[23] 0.00121312
2 *2117:A 3.82418e-05
3 *359:DIODE 0
4 *16:21 0.00104186
5 *16:10 0.00229322
6 *16:10 io_oeb[22] 0
7 *16:10 io_out[23] 0
8 *16:10 *1965:C 0.000284987
9 *16:10 *2066:A_N 0.000101282
10 *16:10 *2069:A 1.38323e-05
11 *16:10 *2120:A 0.000422242
12 *16:10 *18:20 9.00795e-06
13 *16:10 *19:13 5.33005e-05
14 *16:10 *19:27 2.95642e-05
15 *16:10 *172:18 4.8936e-05
16 *16:10 *177:8 0.00035746
17 *16:21 io_oeb[23] 0.000141734
18 *16:21 io_oeb[24] 0.000197977
19 *16:21 io_out[25] 0
20 *16:21 *361:DIODE 0.000300489
21 *16:21 *1976:A 1.69115e-05
22 *16:21 *1979:C 6.39262e-05
23 *16:21 *1982:A 0.000130363
24 *16:21 *2065:A 2.67815e-05
25 *16:21 *2067:A_N 5.52238e-05
26 *16:21 *2068:C1 4.53419e-05
27 *16:21 *132:13 9.78027e-05
28 *16:21 *219:24 0.000651947
29 *16:21 *285:44 0
30 *16:21 *309:11 0.000248679
31 *357:DIODE *16:21 0.000288459
32 *358:DIODE *16:21 0
*RES
1 io_in[23] *16:10 27.25
2 *16:10 *16:21 44.125
3 *16:21 *359:DIODE 9.3
4 *16:10 *2117:A 10.0321
*END
*D_NET *17 0.00836166
*CONN
*P io_in[24] I
*I *2118:A I *D sky130_fd_sc_hd__clkbuf_1
*I *360:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_in[24] 0.00276491
2 *2118:A 3.72884e-05
3 *360:DIODE 0.000173811
4 *17:14 0.00297601
5 *360:DIODE *93:11 9.25014e-06
6 *17:14 io_oeb[22] 0.000134544
7 *17:14 io_oeb[24] 4.81367e-05
8 *17:14 io_out[21] 0
9 *17:14 io_out[22] 0
10 *17:14 io_out[24] 0.000485008
11 *17:14 *2003:B 7.83587e-05
12 *17:14 *93:11 0.000983135
13 *357:DIODE *360:DIODE 9.24299e-05
14 *357:DIODE *17:14 0.000348302
15 *358:DIODE *360:DIODE 0.000230482
*RES
1 io_in[24] *17:14 49.4121
2 *17:14 *360:DIODE 18.2286
3 *17:14 *2118:A 14.3357
*END
*D_NET *18 0.00784247
*CONN
*P io_in[25] I
*I *2119:A I *D sky130_fd_sc_hd__clkbuf_1
*I *361:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_in[25] 0.00228629
2 *2119:A 3.72704e-05
3 *361:DIODE 0.000482407
4 *18:20 0.00280597
5 *361:DIODE io_oeb[24] 5.0725e-05
6 *361:DIODE *1969:B 9.59532e-06
7 *361:DIODE *1979:C 0.000100823
8 *361:DIODE *2089:D 6.27272e-06
9 *361:DIODE *2110:A 0.000139913
10 *361:DIODE *219:8 0
11 *361:DIODE *219:24 0
12 *18:20 io_oeb[23] 0
13 *18:20 io_oeb[24] 0.000388577
14 *18:20 io_out[25] 0.000188014
15 *18:20 *2002:B 2.03081e-05
16 *18:20 *2004:A 0.000214882
17 *18:20 *2006:A 2.31845e-05
18 *18:20 *2047:A 0.000521164
19 *18:20 *2066:A_N 0.000154027
20 *18:20 *2099:D 1.02504e-05
21 *18:20 *2110:A 0
22 *18:20 *2120:A 0
23 *18:20 *172:18 9.33064e-05
24 *18:20 *310:13 0
25 *16:10 *18:20 9.00795e-06
26 *16:21 *361:DIODE 0.000300489
*RES
1 io_in[25] *18:20 35.7521
2 *18:20 *361:DIODE 24.3893
3 *18:20 *2119:A 14.3357
*END
*D_NET *19 0.0113367
*CONN
*P io_in[26] I
*I *2120:A I *D sky130_fd_sc_hd__clkbuf_1
*I *362:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_in[26] 0.000742156
2 *2120:A 0.000516293
3 *362:DIODE 0
4 *19:27 0.00170444
5 *19:13 0.00296289
6 *2120:A io_oeb[22] 7.10966e-05
7 *2120:A *177:8 8.6229e-06
8 *2120:A *310:13 5.15805e-05
9 *19:13 io_oeb[23] 0.000173196
10 *19:13 io_oeb[25] 5.9972e-05
11 *19:13 io_out[23] 6.85399e-05
12 *19:13 io_out[26] 0.000177332
13 *19:13 *2069:A 0.000342302
14 *19:13 *216:10 0.000502899
15 *19:13 *285:14 0.000366525
16 *19:27 io_out[25] 0.000189846
17 *19:27 *1962:A 0.000315116
18 *19:27 *1962:B 3.18676e-05
19 *19:27 *1965:C 3.25059e-05
20 *19:27 *2110:A 0
21 *19:27 *177:8 9.49939e-05
22 *19:27 *221:16 0.00035438
23 *19:27 *222:10 0.000239266
24 *19:27 *222:12 0.000202835
25 *19:27 *222:22 0.000319994
26 *19:27 *223:11 0.000250231
27 *19:27 *284:23 0.000228334
28 *19:27 *287:54 1.50904e-05
29 *19:27 *324:158 1.38127e-05
30 *357:DIODE *19:27 0.000230854
31 *358:DIODE *19:27 0.000564585
32 *16:10 *2120:A 0.000422242
33 *16:10 *19:13 5.33005e-05
34 *16:10 *19:27 2.95642e-05
35 *18:20 *2120:A 0
*RES
1 io_in[26] *19:13 23.9734
2 *19:13 *19:27 48.1607
3 *19:27 *362:DIODE 9.3
4 *19:13 *2120:A 24.5857
*END
*D_NET *20 0.00513452
*CONN
*P io_in[27] I
*I *2121:A I *D sky130_fd_sc_hd__clkbuf_1
*I *363:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_in[27] 0.00125808
2 *2121:A 0.000126611
3 *363:DIODE 0
4 *20:11 0.00138469
5 *2121:A *1979:C 9.90367e-05
6 *2121:A *1980:A 0
7 *2121:A *223:11 5.9483e-05
8 *2121:A *324:75 8.76257e-05
9 *20:11 io_oeb[26] 0.000204239
10 *20:11 io_out[27] 0.000778784
11 *20:11 *1979:A 0.000181381
12 *20:11 *1979:C 0.000592914
13 *20:11 *1980:A 1.02821e-05
14 *20:11 *2070:B1 2.86754e-05
15 *20:11 *133:13 4.51901e-05
16 *20:11 *172:18 2.12591e-06
17 *20:11 *214:17 0
18 *20:11 *216:40 0
19 *20:11 *222:22 9.95793e-06
20 *20:11 *324:75 0.000265453
21 *20:11 *324:94 0
*RES
1 io_in[27] *20:11 19.8592
2 *20:11 *363:DIODE 9.3
3 *20:11 *2121:A 21.4964
*END
*D_NET *21 0.00235667
*CONN
*P io_in[28] I
*I *364:DIODE I *D sky130_fd_sc_hd__diode_2
*I *2122:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 io_in[28] 0.000776182
2 *364:DIODE 0.000139707
3 *2122:A 0
4 *21:10 0.000915889
5 *364:DIODE *2061:A 0.000127559
6 *21:10 io_oeb[27] 0.000207323
7 *21:10 io_out[28] 0.000190007
8 *21:10 *1940:A 0
9 *21:10 *1970:B 0
*RES
1 io_in[28] *21:10 11.3343
2 *21:10 *2122:A 9.3
3 *21:10 *364:DIODE 12.3
*END
*D_NET *22 0.00225304
*CONN
*P io_in[29] I
*I *2124:A I *D sky130_fd_sc_hd__clkbuf_1
*I *366:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_in[29] 0.000440099
2 *2124:A 0
3 *366:DIODE 0.000245976
4 *22:11 0.000686075
5 *366:DIODE *1926:A_N 0.000536146
6 *22:11 io_oeb[28] 9.54485e-05
7 *22:11 io_oeb[29] 0.000165157
8 *22:11 io_out[29] 8.41435e-05
9 *22:11 *2080:D 0
*RES
1 io_in[29] *22:11 14.2878
2 *22:11 *366:DIODE 15.6036
3 *22:11 *2124:A 9.3
*END
*D_NET *24 0.00355423
*CONN
*P io_in[30] I
*I *367:DIODE I *D sky130_fd_sc_hd__diode_2
*I *2125:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 io_in[30] 0.000804303
2 *367:DIODE 0.000154588
3 *2125:A 2.58191e-05
4 *24:8 0.00098471
5 *367:DIODE *1925:A 2.66026e-05
6 *367:DIODE *2080:D 0
7 *2125:A *1925:B_N 5.62995e-05
8 *2125:A *1927:A_N 2.14658e-05
9 *2125:A *186:15 0.00014285
10 *24:8 io_oeb[29] 0.000104071
11 *24:8 io_out[29] 0
12 *24:8 io_out[30] 0.000212732
13 *24:8 *1925:A 1.46624e-05
14 *24:8 *1946:A 2.58997e-05
15 *24:8 *1951:A_N 0
16 *24:8 *1951:C 0
17 *24:8 *2080:D 0
18 *24:8 *2083:D 0.00067713
19 *24:8 *296:11 0.000303095
*RES
1 io_in[30] *24:8 14.511
2 *24:8 *2125:A 15.1571
3 *24:8 *367:DIODE 16.2821
*END
*D_NET *25 0.00373825
*CONN
*P io_in[31] I
*I *368:DIODE I *D sky130_fd_sc_hd__diode_2
*I *2126:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 io_in[31] 0.00119689
2 *368:DIODE 0.000164277
3 *2126:A 0
4 *25:15 0.00136116
5 *368:DIODE *1924:A 5.76121e-05
6 *25:15 io_oeb[30] 0.000200598
7 *25:15 io_out[31] 0.000333022
8 *25:15 *1947:A_N 1.94945e-05
9 *25:15 *202:8 0.000355991
10 *25:15 *205:47 2.76387e-05
11 *25:15 *296:40 2.15688e-05
*RES
1 io_in[31] *25:15 17.5196
2 *25:15 *2126:A 9.3
3 *25:15 *368:DIODE 12.3
*END
*D_NET *26 0.00290589
*CONN
*P io_in[32] I
*I *369:DIODE I *D sky130_fd_sc_hd__diode_2
*I *2127:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 io_in[32] 0.00103042
2 *369:DIODE 9.29461e-05
3 *2127:A 0
4 *26:11 0.00112336
5 *369:DIODE *1955:C 0.00012379
6 *26:11 io_oeb[31] 0.000184182
7 *26:11 io_oeb[32] 0
8 *26:11 io_out[31] 0
9 *26:11 io_out[32] 0.000167463
10 *26:11 *1929:A 3.32628e-05
11 *26:11 *1931:A2 0
12 *26:11 *1955:C 9.94037e-05
13 *26:11 *202:8 3.34366e-05
14 *26:11 *210:13 1.76224e-05
*RES
1 io_in[32] *26:11 13.159
2 *26:11 *2127:A 9.3
3 *26:11 *369:DIODE 11.4786
*END
*D_NET *27 0.00102694
*CONN
*P io_in[33] I
*I *370:DIODE I *D sky130_fd_sc_hd__diode_2
*I *2128:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 io_in[33] 0.000200385
2 *370:DIODE 0.000130768
3 *2128:A 0
4 *27:10 0.000331153
5 *370:DIODE *1922:A 0.000129595
6 *27:10 io_oeb[32] 9.94598e-05
7 *27:10 io_out[33] 9.0044e-05
8 *27:10 *1921:A 4.5539e-05
*RES
1 io_in[33] *27:10 9.27056
2 *27:10 *2128:A 9.3
3 *27:10 *370:DIODE 12.3
*END
*D_NET *28 0.00109356
*CONN
*P io_in[34] I
*I *371:DIODE I *D sky130_fd_sc_hd__diode_2
*I *2129:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 io_in[34] 0.000307875
2 *371:DIODE 0.000135031
3 *2129:A 0
4 *28:10 0.000442906
5 *28:10 io_oeb[33] 0.000105162
6 *28:10 io_out[34] 0.000102583
*RES
1 io_in[34] *28:10 10.9325
2 *28:10 *2129:A 9.3
3 *28:10 *371:DIODE 11.4786
*END
*D_NET *37 0.00116196
*CONN
*P io_in[8] I
*I *2130:A I *D sky130_fd_sc_hd__clkbuf_1
*I *372:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_in[8] 0.000274545
2 *2130:A 0
3 *372:DIODE 0.000178719
4 *37:10 0.000453264
5 *372:DIODE *321:11 6.05161e-06
6 *37:10 io_oeb[7] 0.000124376
7 *37:10 io_out[8] 0.000125009
*RES
1 io_in[8] *37:10 9.41469
2 *37:10 *372:DIODE 12.3
3 *37:10 *2130:A 9.3
*END
*D_NET *38 0.00110249
*CONN
*P io_in[9] I
*I *2131:A I *D sky130_fd_sc_hd__clkbuf_1
*I *373:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_in[9] 0.000243668
2 *2131:A 0
3 *373:DIODE 0.00018157
4 *38:10 0.000425238
5 *373:DIODE *322:13 1.92905e-05
6 *38:10 io_oeb[8] 9.46313e-05
7 *38:10 io_out[9] 0.000127538
8 *38:10 *321:11 1.05524e-05
*RES
1 io_in[9] *38:10 8.96699
2 *38:10 *373:DIODE 12.3
3 *38:10 *2131:A 9.3
*END
*D_NET *39 0.000641702
*CONN
*P io_oeb[0] O
*I *2148:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[0] 0.000257082
2 *2148:LO 0.000257082
3 io_oeb[0] io_out[0] 0.000127538
4 io_oeb[0] io_out[1] 0
*RES
1 *2148:LO io_oeb[0] 18.267
*END
*D_NET *40 0.00197222
*CONN
*P io_oeb[10] O
*I *2158:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[10] 0.000492723
2 *2158:LO 0.000492723
3 io_oeb[10] io_out[10] 0.000127538
4 io_oeb[10] *2037:A 0.000358101
5 io_oeb[10] *2040:B1 1.90303e-05
6 io_oeb[10] *321:11 0.000354328
7 *2:10 io_oeb[10] 0
8 *3:10 io_oeb[10] 0.000127779
*RES
1 *2158:LO io_oeb[10] 24.0903
*END
*D_NET *41 0.00380207
*CONN
*P io_oeb[11] O
*I *2159:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[11] 0.000958164
2 *2159:LO 0.000958164
3 io_oeb[11] io_out[11] 0.000310884
4 io_oeb[11] io_out[12] 0.000524143
5 io_oeb[11] *2011:A 0.000436435
6 io_oeb[11] *2011:C 0.000248873
7 io_oeb[11] *2021:B 3.98524e-05
8 io_oeb[11] *2038:A_N 0
9 io_oeb[11] *272:8 4.28249e-05
10 *4:15 io_oeb[11] 0.000282725
*RES
1 *2159:LO io_oeb[11] 27.3333
*END
*D_NET *42 0.00567782
*CONN
*P io_oeb[12] O
*I *2160:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[12] 0.0014234
2 *2160:LO 0.0014234
3 io_oeb[12] io_out[12] 0.000128442
4 io_oeb[12] *2016:A 0
5 io_oeb[12] *2027:A 1.85502e-05
6 io_oeb[12] *2030:A 0.000320839
7 io_oeb[12] *2043:B1 0.000987353
8 io_oeb[12] *2043:B2 0.000662705
9 io_oeb[12] *155:12 7.49921e-05
10 io_oeb[12] *258:20 0.000367785
11 io_oeb[12] *273:7 0.000125547
12 *5:13 io_oeb[12] 0.000144794
*RES
1 *2160:LO io_oeb[12] 32.2842
*END
*D_NET *43 0.00297022
*CONN
*P io_oeb[13] O
*I *2161:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[13] 0.00104967
2 *2161:LO 0.00104967
3 io_oeb[13] io_out[13] 0.000185503
4 io_oeb[13] *2013:B 6.60156e-05
5 io_oeb[13] *2016:A 1.50289e-05
6 io_oeb[13] *2023:B 0.000145603
7 io_oeb[13] *2104:D 0.000146474
8 io_oeb[13] *274:10 6.38844e-06
9 *5:13 io_oeb[13] 0
10 *6:11 io_oeb[13] 0.000305869
*RES
1 *2161:LO io_oeb[13] 25.7785
*END
*D_NET *44 0.00340612
*CONN
*P io_oeb[14] O
*I *2162:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[14] 0.00120276
2 *2162:LO 0.00120276
3 io_oeb[14] io_oeb[15] 0.000317634
4 io_oeb[14] io_out[14] 0.000302912
5 io_oeb[14] io_out[15] 0.000341912
6 io_oeb[14] *2011:B 3.81452e-05
*RES
1 *2162:LO io_oeb[14] 28.3863
*END
*D_NET *45 0.00218439
*CONN
*P io_oeb[15] O
*I *2163:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[15] 0.000853855
2 *2163:LO 0.000853855
3 io_oeb[15] io_out[15] 0.000101149
4 io_oeb[15] *2033:A 0
5 io_oeb[15] *264:6 5.7897e-05
6 io_oeb[14] io_oeb[15] 0.000317634
7 *8:9 io_oeb[15] 0
*RES
1 *2163:LO io_oeb[15] 30.4071
*END
*D_NET *46 0.00137961
*CONN
*P io_oeb[16] O
*I *2164:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[16] 0.000636567
2 *2164:LO 0.000636567
3 io_oeb[16] io_out[16] 7.43578e-06
4 *380:DIODE io_oeb[16] 9.90431e-05
5 *9:10 io_oeb[16] 0
*RES
1 *2164:LO io_oeb[16] 26.0321
*END
*D_NET *47 0.00159698
*CONN
*P io_oeb[17] O
*I *2165:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[17] 0.000726105
2 *2165:LO 0.000726105
3 io_oeb[17] io_out[17] 0
4 io_oeb[17] *2052:A_N 0.000144773
5 io_oeb[17] *2053:A_N 0
6 io_oeb[17] *229:8 0
*RES
1 *2165:LO io_oeb[17] 27.9964
*END
*D_NET *48 0.00298447
*CONN
*P io_oeb[18] O
*I *2166:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[18] 0.00091325
2 *2166:LO 0.00091325
3 io_oeb[18] io_out[18] 0
4 io_oeb[18] *1985:A 0.000186662
5 io_oeb[18] *1985:B 0.000183713
6 io_oeb[18] *2049:B1 0.000260208
7 io_oeb[18] *2049:B2 0.000197575
8 io_oeb[18] *2051:B_N 4.38349e-05
9 io_oeb[18] *2054:A2 0
10 io_oeb[18] *2054:B1 6.55037e-05
11 io_oeb[18] *2058:A1 2.74584e-05
12 io_oeb[18] *2108:A 6.58968e-05
13 io_oeb[18] *277:72 0
14 *355:DIODE io_oeb[18] 0.000127123
15 *11:12 io_oeb[18] 0
*RES
1 *2166:LO io_oeb[18] 33.9964
*END
*D_NET *49 0.00400754
*CONN
*P io_oeb[19] O
*I *2167:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[19] 0.00118923
2 *2167:LO 0.00118923
3 io_oeb[19] io_out[19] 0
4 io_oeb[19] *1990:B1 0
5 io_oeb[19] *1998:A 0.000173797
6 io_oeb[19] *2094:CLK 4.185e-05
7 io_oeb[19] *2094:D 6.44542e-05
8 io_oeb[19] *2096:D 0.000217691
9 io_oeb[19] *157:11 0.00026737
10 io_oeb[19] *168:11 0
11 io_oeb[19] *232:19 0.00067916
12 io_oeb[19] *277:13 4.11173e-05
13 io_oeb[19] *281:8 0.000123153
14 io_oeb[19] *282:76 0
15 io_oeb[19] *327:20 0
16 io_oeb[19] *327:56 2.04825e-05
*RES
1 *2167:LO io_oeb[19] 38.4607
*END
*D_NET *50 0.00069137
*CONN
*P io_oeb[1] O
*I *2149:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[1] 0.00029837
2 *2149:LO 0.00029837
3 io_oeb[1] io_out[1] 9.46313e-05
4 io_oeb[1] io_out[2] 0
*RES
1 *2149:LO io_oeb[1] 18.267
*END
*D_NET *51 0.00394912
*CONN
*P io_oeb[20] O
*I *2168:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[20] 0.000965672
2 *2168:LO 0.000965672
3 io_oeb[20] io_out[20] 0
4 io_oeb[20] *1987:B 0.000137983
5 io_oeb[20] *1987:C 4.51405e-05
6 io_oeb[20] *1991:A_N 4.0191e-05
7 io_oeb[20] *2002:A 0.000152028
8 io_oeb[20] *2002:B 0.000334394
9 io_oeb[20] *2003:A 0.000117621
10 io_oeb[20] *2047:A 0.000685664
11 io_oeb[20] *2055:A 6.69988e-05
12 io_oeb[20] *239:30 0.000437756
13 *14:8 io_oeb[20] 0
*RES
1 *2168:LO io_oeb[20] 37.5679
*END
*D_NET *52 0.00258196
*CONN
*P io_oeb[21] O
*I *2169:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[21] 0.000764959
2 *2169:LO 0.000764959
3 io_oeb[21] io_out[21] 0
4 io_oeb[21] *1986:A 0
5 io_oeb[21] *2110:A 0.000538105
6 io_oeb[21] *310:13 0.000513933
7 *15:9 io_oeb[21] 0
*RES
1 *2169:LO io_oeb[21] 30.9607
*END
*D_NET *53 0.00148041
*CONN
*P io_oeb[22] O
*I *2170:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[22] 0.000637384
2 *2170:LO 0.000637384
3 io_oeb[22] io_out[22] 0
4 *2120:A io_oeb[22] 7.10966e-05
5 *16:10 io_oeb[22] 0
6 *17:14 io_oeb[22] 0.000134544
*RES
1 *2170:LO io_oeb[22] 26.7643
*END
*D_NET *54 0.00722958
*CONN
*P io_oeb[23] O
*I *2171:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[23] 0.002679
2 *2171:LO 0.002679
3 io_oeb[23] io_oeb[25] 0.00110042
4 io_oeb[23] io_out[25] 0
5 io_oeb[23] io_out[26] 0
6 io_oeb[23] *1965:A 0
7 io_oeb[23] *1976:A 0.000137699
8 io_oeb[23] *2048:A 0
9 io_oeb[23] *2089:D 0
10 io_oeb[23] *2092:D 0.000129324
11 io_oeb[23] *2110:A 8.06559e-05
12 io_oeb[23] *215:8 0
13 io_oeb[23] *219:24 5.58941e-05
14 io_oeb[23] *284:6 0
15 io_oeb[23] *284:41 0
16 io_oeb[23] *309:11 5.26625e-05
17 *16:21 io_oeb[23] 0.000141734
18 *18:20 io_oeb[23] 0
19 *19:13 io_oeb[23] 0.000173196
*RES
1 *2171:LO io_oeb[23] 46.3628
*END
*D_NET *55 0.00812643
*CONN
*P io_oeb[24] O
*I *2172:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[24] 0.00285993
2 *2172:LO 0.00285993
3 io_oeb[24] io_out[24] 0.000308106
4 io_oeb[24] *2004:A 0.000150586
5 io_oeb[24] *2099:D 4.43825e-05
6 *357:DIODE io_oeb[24] 0.000931611
7 *358:DIODE io_oeb[24] 5.33005e-05
8 *361:DIODE io_oeb[24] 5.0725e-05
9 *2115:A io_oeb[24] 0.000175833
10 *13:22 io_oeb[24] 5.73457e-05
11 *16:21 io_oeb[24] 0.000197977
12 *17:14 io_oeb[24] 4.81367e-05
13 *18:20 io_oeb[24] 0.000388577
*RES
1 *2172:LO io_oeb[24] 37.4666
*END
*D_NET *56 0.00426453
*CONN
*P io_oeb[25] O
*I *2173:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[25] 0.000772711
2 *2173:LO 0.000772711
3 io_oeb[25] io_out[25] 0.000685012
4 io_oeb[25] *177:8 8.77729e-05
5 io_oeb[25] *213:11 5.33005e-05
6 io_oeb[25] *214:17 0.000463986
7 io_oeb[25] *285:33 0.000228514
8 io_oeb[25] *285:41 4.01264e-05
9 io_oeb[23] io_oeb[25] 0.00110042
10 *19:13 io_oeb[25] 5.9972e-05
*RES
1 *2173:LO io_oeb[25] 27.7262
*END
*D_NET *57 0.00450169
*CONN
*P io_oeb[26] O
*I *2174:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[26] 0.00102851
2 *2174:LO 0.00102851
3 io_oeb[26] io_out[26] 0.00016248
4 io_oeb[26] *1965:C 3.09314e-05
5 io_oeb[26] *2066:B 0.000192276
6 io_oeb[26] *2068:B2 0.000940027
7 io_oeb[26] *2072:B1 0.000389966
8 io_oeb[26] *2086:CLK 0.000123295
9 io_oeb[26] *2086:D 0.000161641
10 io_oeb[26] *2092:D 2.11362e-05
11 io_oeb[26] *172:18 0.000160136
12 io_oeb[26] *216:10 2.17988e-05
13 io_oeb[26] *216:40 3.67537e-05
14 *20:11 io_oeb[26] 0.000204239
*RES
1 *2174:LO io_oeb[26] 34.7938
*END
*D_NET *58 0.00216063
*CONN
*P io_oeb[27] O
*I *2175:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[27] 0.000646153
2 *2175:LO 0.000646153
3 io_oeb[27] io_out[27] 0.000147212
4 io_oeb[27] *1976:A 0.000303836
5 io_oeb[27] *2070:B2 7.69776e-06
6 io_oeb[27] *2072:A1 3.69047e-06
7 io_oeb[27] *213:11 1.90936e-05
8 io_oeb[27] *223:11 0.000179469
9 *21:10 io_oeb[27] 0.000207323
*RES
1 *2175:LO io_oeb[27] 21.26
*END
*D_NET *59 0.00127782
*CONN
*P io_oeb[28] O
*I *2176:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[28] 0.000506713
2 *2176:LO 0.000506713
3 io_oeb[28] io_out[28] 0.000164347
4 io_oeb[28] io_out[29] 0
5 io_oeb[28] *1940:A 4.6025e-06
6 io_oeb[28] *1951:B 0
7 io_oeb[28] *2080:D 0
8 *22:11 io_oeb[28] 9.54485e-05
*RES
1 *2176:LO io_oeb[28] 20.5929
*END
*D_NET *60 0.00175206
*CONN
*P io_oeb[29] O
*I *2177:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[29] 0.000491033
2 *2177:LO 0.000491033
3 io_oeb[29] io_out[29] 9.94598e-05
4 io_oeb[29] *2080:D 0.000196938
5 io_oeb[29] *2084:CLK 0.000113776
6 io_oeb[29] *126:11 9.05964e-05
7 *22:11 io_oeb[29] 0.000165157
8 *24:8 io_oeb[29] 0.000104071
*RES
1 *2177:LO io_oeb[29] 26.1598
*END
*D_NET *61 0.000650008
*CONN
*P io_oeb[2] O
*I *2150:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[2] 0.000262816
2 *2150:LO 0.000262816
3 io_oeb[2] io_out[2] 0.000124376
4 io_oeb[2] io_out[3] 0
*RES
1 *2150:LO io_oeb[2] 18.267
*END
*D_NET *62 0.00385306
*CONN
*P io_oeb[30] O
*I *2178:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[30] 0.000980881
2 *2178:LO 0.000980881
3 io_oeb[30] io_out[30] 0.000170078
4 io_oeb[30] *1930:B2 0.000434213
5 io_oeb[30] *1932:A2 0.000435928
6 io_oeb[30] *1945:B 9.91086e-05
7 io_oeb[30] *1954:A 9.44259e-05
8 io_oeb[30] *199:22 2.52164e-05
9 io_oeb[30] *199:32 0.000209476
10 io_oeb[30] *210:13 5.09265e-05
11 io_oeb[30] *295:10 0
12 io_oeb[30] *296:11 0.000106147
13 io_oeb[30] *296:40 6.5185e-05
14 *25:15 io_oeb[30] 0.000200598
*RES
1 *2178:LO io_oeb[30] 30.3486
*END
*D_NET *63 0.00276038
*CONN
*P io_oeb[31] O
*I *2179:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[31] 0.000911596
2 *2179:LO 0.000911596
3 io_oeb[31] io_out[31] 0.000206994
4 io_oeb[31] *1923:B1 0.000273269
5 io_oeb[31] *1929:A 0
6 io_oeb[31] *1954:A 0.000153841
7 io_oeb[31] *190:8 2.52164e-05
8 io_oeb[31] *210:13 0
9 io_oeb[31] *294:23 9.3691e-05
10 *26:11 io_oeb[31] 0.000184182
*RES
1 *2179:LO io_oeb[31] 25.436
*END
*D_NET *64 0.00148073
*CONN
*P io_oeb[32] O
*I *2180:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[32] 0.000546369
2 *2180:LO 0.000546369
3 io_oeb[32] io_out[32] 0.000173159
4 io_oeb[32] *1922:A 0.000115369
5 *26:11 io_oeb[32] 0
6 *27:10 io_oeb[32] 9.94598e-05
*RES
1 *2180:LO io_oeb[32] 20.4902
*END
*D_NET *65 0.000651473
*CONN
*P io_oeb[33] O
*I *2181:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[33] 0.000189478
2 *2181:LO 0.000189478
3 io_oeb[33] io_out[33] 0.000102046
4 io_oeb[33] *1921:A 6.53083e-05
5 *28:10 io_oeb[33] 0.000105162
*RES
1 *2181:LO io_oeb[33] 18.8741
*END
*D_NET *66 0.000529529
*CONN
*P io_oeb[34] O
*I *2182:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[34] 0.000218976
2 *2182:LO 0.000218976
3 io_oeb[34] io_out[34] 9.15764e-05
4 io_oeb[34] io_out[35] 0
*RES
1 *2182:LO io_oeb[34] 18.267
*END
*D_NET *67 0.000515097
*CONN
*P io_oeb[35] O
*I *2183:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[35] 0.000206257
2 *2183:LO 0.000206257
3 io_oeb[35] io_out[35] 0.000102583
4 io_oeb[35] io_out[36] 0
*RES
1 *2183:LO io_oeb[35] 18.267
*END
*D_NET *68 0.000755998
*CONN
*P io_oeb[36] O
*I *2184:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[36] 0.000326708
2 *2184:LO 0.000326708
3 io_oeb[36] io_out[36] 0.000102583
4 io_oeb[36] io_out[37] 0
*RES
1 *2184:LO io_oeb[36] 20.0884
*END
*D_NET *69 0.00150152
*CONN
*P io_oeb[37] O
*I *2185:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[37] 0.000637259
2 *2185:LO 0.000637259
3 io_oeb[37] io_out[37] 0.000226999
*RES
1 *2185:LO io_oeb[37] 26.9621
*END
*D_NET *70 0.000700411
*CONN
*P io_oeb[3] O
*I *2151:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[3] 0.00030289
2 *2151:LO 0.00030289
3 io_oeb[3] io_out[3] 9.46313e-05
4 io_oeb[3] io_out[4] 0
*RES
1 *2151:LO io_oeb[3] 18.267
*END
*D_NET *71 0.000641702
*CONN
*P io_oeb[4] O
*I *2152:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[4] 0.000257082
2 *2152:LO 0.000257082
3 io_oeb[4] io_out[4] 0.000127538
4 io_oeb[4] io_out[5] 0
*RES
1 *2152:LO io_oeb[4] 18.267
*END
*D_NET *72 0.00069137
*CONN
*P io_oeb[5] O
*I *2153:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[5] 0.00029837
2 *2153:LO 0.00029837
3 io_oeb[5] io_out[5] 9.46313e-05
4 io_oeb[5] io_out[6] 0
*RES
1 *2153:LO io_oeb[5] 18.267
*END
*D_NET *73 0.000632662
*CONN
*P io_oeb[6] O
*I *2154:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[6] 0.000252562
2 *2154:LO 0.000252562
3 io_oeb[6] io_out[6] 0.000127538
4 io_oeb[6] io_out[7] 0
*RES
1 *2154:LO io_oeb[6] 18.267
*END
*D_NET *74 0.000716139
*CONN
*P io_oeb[7] O
*I *2155:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[7] 0.000248566
2 *2155:LO 0.000248566
3 io_oeb[7] io_out[7] 9.46313e-05
4 *37:10 io_oeb[7] 0.000124376
*RES
1 *2155:LO io_oeb[7] 18.267
*END
*D_NET *75 0.000654536
*CONN
*P io_oeb[8] O
*I *2156:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[8] 0.000209754
2 *2156:LO 0.000209754
3 io_oeb[8] io_out[8] 0.000127538
4 io_oeb[8] *321:11 1.28585e-05
5 *38:10 io_oeb[8] 9.46313e-05
*RES
1 *2156:LO io_oeb[8] 18.267
*END
*D_NET *76 0.000716601
*CONN
*P io_oeb[9] O
*I *2157:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_oeb[9] 0.000235868
2 *2157:LO 0.000235868
3 io_oeb[9] io_out[9] 9.46313e-05
4 io_oeb[9] *322:13 2.26973e-05
5 *2:10 io_oeb[9] 0.000127538
*RES
1 *2157:LO io_oeb[9] 18.267
*END
*D_NET *77 0.000686123
*CONN
*P io_out[0] O
*I *2186:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[0] 0.000279292
2 *2186:LO 0.000279292
3 io_oeb[0] io_out[0] 0.000127538
*RES
1 *2186:LO io_out[0] 18.267
*END
*D_NET *78 0.00097054
*CONN
*P io_out[10] O
*I *2196:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[10] 0.000308742
2 *2196:LO 0.000308742
3 io_out[10] *2040:B1 7.83659e-05
4 io_out[10] *321:11 4.936e-05
5 io_oeb[10] io_out[10] 0.000127538
6 *2:10 io_out[10] 9.77931e-05
*RES
1 *2196:LO io_out[10] 20.0884
*END
*D_NET *79 0.00313944
*CONN
*P io_out[11] O
*I *2197:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[11] 0.00124129
2 *2197:LO 0.00124129
3 io_out[11] *2011:B 0
4 io_out[11] *2011:C 2.89699e-05
5 io_out[11] *2023:A_N 5.74842e-05
6 io_out[11] *2040:A2 1.28585e-05
7 io_out[11] *256:17 2.11419e-05
8 io_oeb[11] io_out[11] 0.000310884
9 *3:10 io_out[11] 0.000225528
*RES
1 *2197:LO io_out[11] 20.5011
*END
*D_NET *80 0.00517489
*CONN
*P io_out[12] O
*I *2198:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[12] 0.00129852
2 *2198:LO 0.00129852
3 io_out[12] *2013:C 3.4309e-05
4 io_out[12] *2021:C 0
5 io_out[12] *2030:A 0.0009112
6 io_out[12] *2037:B_N 0
7 io_out[12] *2038:B 1.4174e-05
8 io_out[12] *2042:A1 2.30866e-05
9 io_out[12] *149:18 0.000506697
10 io_out[12] *155:55 9.9129e-05
11 io_out[12] *256:17 0
12 io_out[12] *270:23 2.33472e-05
13 io_out[12] *272:8 0.00011759
14 io_oeb[11] io_out[12] 0.000524143
15 io_oeb[12] io_out[12] 0.000128442
16 *4:15 io_out[12] 0.000195729
*RES
1 *2198:LO io_out[12] 33.084
*END
*D_NET *81 0.00315455
*CONN
*P io_out[13] O
*I *2199:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[13] 0.000667681
2 *2199:LO 0.000667681
3 io_out[13] *2103:D 0.000683344
4 io_out[13] *264:6 0.00071997
5 io_out[13] *273:40 1.39148e-05
6 io_oeb[13] io_out[13] 0.000185503
7 *5:13 io_out[13] 0.000216457
*RES
1 *2199:LO io_out[13] 28.0227
*END
*D_NET *82 0.00341783
*CONN
*P io_out[14] O
*I *2200:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[14] 0.00114818
2 *2200:LO 0.00114818
3 io_out[14] *2011:B 0.000132869
4 io_out[14] *2034:A 0.000274288
5 io_oeb[14] io_out[14] 0.000302912
6 *6:11 io_out[14] 0.000411394
*RES
1 *2200:LO io_out[14] 23.0903
*END
*D_NET *83 0.00300444
*CONN
*P io_out[15] O
*I *2201:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[15] 0.00116634
2 *2201:LO 0.00116634
3 io_out[15] *2041:A 7.83366e-05
4 io_out[15] *2103:D 4.88212e-05
5 io_out[15] *151:8 0.000101545
6 io_oeb[14] io_out[15] 0.000341912
7 io_oeb[15] io_out[15] 0.000101149
8 *7:13 io_out[15] 0
*RES
1 *2201:LO io_out[15] 36.4964
*END
*D_NET *84 0.00119088
*CONN
*P io_out[16] O
*I *2202:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[16] 0.000591722
2 *2202:LO 0.000591722
3 io_oeb[16] io_out[16] 7.43578e-06
4 *8:9 io_out[16] 0
*RES
1 *2202:LO io_out[16] 24.6036
*END
*D_NET *85 0.00114956
*CONN
*P io_out[17] O
*I *2203:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[17] 0.000574782
2 *2203:LO 0.000574782
3 io_oeb[17] io_out[17] 0
4 *9:10 io_out[17] 0
*RES
1 *2203:LO io_out[17] 24.1929
*END
*D_NET *86 0.00225782
*CONN
*P io_out[18] O
*I *2204:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[18] 0.000775897
2 *2204:LO 0.000775897
3 io_out[18] *1989:C 1.40876e-05
4 io_out[18] *2052:B 5.018e-05
5 io_out[18] *2053:B 0.000411945
6 io_out[18] *2054:A1 0.000175735
7 io_out[18] *2108:A 0
8 io_out[18] *233:9 1.02504e-05
9 io_out[18] *277:72 2.11053e-05
10 io_out[18] *278:71 2.27195e-05
11 io_oeb[18] io_out[18] 0
12 *10:8 io_out[18] 0
*RES
1 *2204:LO io_out[18] 30.5321
*END
*D_NET *87 0.00325653
*CONN
*P io_out[19] O
*I *2205:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[19] 0.00124262
2 *2205:LO 0.00124262
3 io_out[19] *2059:C1 0.000736465
4 io_out[19] *2108:A 3.48143e-05
5 io_oeb[19] io_out[19] 0
6 *11:12 io_out[19] 0
*RES
1 *2205:LO io_out[19] 33.3357
*END
*D_NET *88 0.000681516
*CONN
*P io_out[1] O
*I *2187:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[1] 0.000293442
2 *2187:LO 0.000293442
3 io_oeb[0] io_out[1] 0
4 io_oeb[1] io_out[1] 9.46313e-05
*RES
1 *2187:LO io_out[1] 18.267
*END
*D_NET *89 0.00353649
*CONN
*P io_out[20] O
*I *2206:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[20] 0.00104909
2 *2206:LO 0.00104909
3 io_out[20] *1991:A_N 0
4 io_out[20] *1992:A 0.00080396
5 io_out[20] *1995:A_N 1.44954e-05
6 io_out[20] *1995:B 0
7 io_out[20] *2097:D 0.000402249
8 io_out[20] *168:51 0
9 io_out[20] *168:55 0
10 io_out[20] *230:26 0.000202044
11 io_out[20] *282:45 1.55667e-05
12 io_oeb[20] io_out[20] 0
13 *13:22 io_out[20] 0
*RES
1 *2206:LO io_out[20] 36.4071
*END
*D_NET *90 0.00296655
*CONN
*P io_out[21] O
*I *2207:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[21] 0.000708313
2 *2207:LO 0.000708313
3 io_out[21] *2002:A 9.46929e-05
4 io_out[21] *2002:B 2.89016e-05
5 io_out[21] *2110:A 7.77652e-05
6 io_out[21] *93:11 0.00101261
7 io_out[21] *310:13 0.000132015
8 io_out[21] *327:9 0.000203943
9 io_oeb[21] io_out[21] 0
10 *14:8 io_out[21] 0
11 *17:14 io_out[21] 0
*RES
1 *2207:LO io_out[21] 32.1214
*END
*D_NET *91 0.00116647
*CONN
*P io_out[22] O
*I *2208:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[22] 0.000583236
2 *2208:LO 0.000583236
3 io_out[22] io_out[24] 0
4 io_out[22] *2002:B 0
5 io_oeb[22] io_out[22] 0
6 *356:DIODE io_out[22] 0
7 *2115:A io_out[22] 0
8 *15:9 io_out[22] 0
9 *17:14 io_out[22] 0
*RES
1 *2208:LO io_out[22] 24.3357
*END
*D_NET *92 0.00604461
*CONN
*P io_out[23] O
*I *2209:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[23] 0.002276
2 *2209:LO 0.002276
3 io_out[23] io_out[26] 0.000255126
4 io_out[23] *1959:B 0.000195405
5 io_out[23] *1960:A 4.63028e-05
6 io_out[23] *2065:A 0.000140933
7 io_out[23] *2067:A_N 1.28171e-05
8 io_out[23] *2069:A 0.000338374
9 io_out[23] *2086:CLK 3.14048e-05
10 io_out[23] *2086:D 4.87669e-06
11 io_out[23] *129:11 0
12 io_out[23] *181:64 0.000172728
13 io_out[23] *215:8 0
14 io_out[23] *216:10 5.90443e-06
15 io_out[23] *221:16 7.14511e-05
16 io_out[23] *285:14 0.000148746
17 *16:10 io_out[23] 0
18 *19:13 io_out[23] 6.85399e-05
*RES
1 *2209:LO io_out[23] 49.7464
*END
*D_NET *93 0.00831995
*CONN
*P io_out[24] O
*I *2210:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[24] 0.000768731
2 *2210:LO 0.00161776
3 *93:11 0.00238649
4 *93:11 *1987:A 0
5 *93:11 *2003:A 0.000167125
6 *93:11 *2047:A 0.000166673
7 *93:11 *2048:A 0
8 *93:11 *2098:D 0.000104974
9 *93:11 *310:13 8.78006e-05
10 *93:11 *327:9 9.47613e-05
11 *93:11 *327:17 5.91336e-05
12 io_oeb[24] io_out[24] 0.000308106
13 io_out[21] *93:11 0.00101261
14 io_out[22] io_out[24] 0
15 *360:DIODE *93:11 9.25014e-06
16 *14:8 *93:11 6.83886e-05
17 *17:14 io_out[24] 0.000485008
18 *17:14 *93:11 0.000983135
*RES
1 *2210:LO *93:11 48.2643
2 *93:11 io_out[24] 13.3414
*END
*D_NET *94 0.00463459
*CONN
*P io_out[25] O
*I *2211:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[25] 0.00141823
2 *2211:LO 0.00141823
3 io_out[25] *1962:A 2.28598e-05
4 io_out[25] *1962:B 5.71472e-05
5 io_out[25] *172:18 0.000543259
6 io_out[25] *177:8 0
7 io_out[25] *285:41 9.66977e-05
8 io_out[25] *285:44 1.52978e-05
9 io_oeb[23] io_out[25] 0
10 io_oeb[25] io_out[25] 0.000685012
11 *16:21 io_out[25] 0
12 *18:20 io_out[25] 0.000188014
13 *19:27 io_out[25] 0.000189846
*RES
1 *2211:LO io_out[25] 28.8378
*END
*D_NET *95 0.00512604
*CONN
*P io_out[26] O
*I *2212:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[26] 0.00119486
2 *2212:LO 0.00119486
3 io_out[26] *2065:A 0.000207666
4 io_out[26] *2067:A_N 7.2754e-05
5 io_out[26] *2071:A2 0.000136452
6 io_out[26] *2089:D 0.000673095
7 io_out[26] *170:8 2.06178e-05
8 io_out[26] *214:17 0
9 io_out[26] *216:40 0.000712885
10 io_out[26] *221:16 0.000317906
11 io_oeb[23] io_out[26] 0
12 io_oeb[26] io_out[26] 0.00016248
13 io_out[23] io_out[26] 0.000255126
14 *19:13 io_out[26] 0.000177332
*RES
1 *2212:LO io_out[26] 32.4449
*END
*D_NET *96 0.00397648
*CONN
*P io_out[27] O
*I *2213:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[27] 0.00129213
2 *2213:LO 0.00129213
3 io_out[27] *1968:A 9.86303e-05
4 io_out[27] *1976:A 0.000108225
5 io_out[27] *1979:A 2.99247e-05
6 io_out[27] *1980:A 0.000229456
7 io_oeb[27] io_out[27] 0.000147212
8 *20:11 io_out[27] 0.000778784
*RES
1 *2213:LO io_out[27] 26.768
*END
*D_NET *97 0.00108053
*CONN
*P io_out[28] O
*I *2214:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[28] 0.000360938
2 *2214:LO 0.000360938
3 io_out[28] *1940:A 4.2985e-06
4 io_oeb[28] io_out[28] 0.000164347
5 *21:10 io_out[28] 0.000190007
*RES
1 *2214:LO io_out[28] 19.5227
*END
*D_NET *98 0.00241999
*CONN
*P io_out[29] O
*I *2140:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[29] 0.000717678
2 *2140:LO 0.000717678
3 io_out[29] *1926:A_N 2.06178e-05
4 io_out[29] *1951:B 0.000780416
5 io_oeb[28] io_out[29] 0
6 io_oeb[29] io_out[29] 9.94598e-05
7 *22:11 io_out[29] 8.41435e-05
8 *24:8 io_out[29] 0
*RES
1 *2140:LO io_out[29] 19.7804
*END
*D_NET *99 0.000694429
*CONN
*P io_out[2] O
*I *2188:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[2] 0.000285026
2 *2188:LO 0.000285026
3 io_oeb[1] io_out[2] 0
4 io_oeb[2] io_out[2] 0.000124376
*RES
1 *2188:LO io_out[2] 18.267
*END
*D_NET *100 0.00461049
*CONN
*P io_out[30] O
*I *2141:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[30] 0.00137685
2 *2141:LO 0.00137685
3 io_out[30] *1928:B2 0.000343166
4 io_out[30] *1932:A2 0.000308851
5 io_out[30] *1933:B2 0.000400618
6 io_out[30] *1947:B 1.17968e-05
7 io_out[30] *291:25 4.29133e-05
8 io_out[30] *296:11 6.4992e-05
9 io_out[30] *296:21 0.000121295
10 io_out[30] *326:28 0.000180355
11 io_oeb[30] io_out[30] 0.000170078
12 *24:8 io_out[30] 0.000212732
*RES
1 *2141:LO io_out[30] 29.892
*END
*D_NET *101 0.00404126
*CONN
*P io_out[31] O
*I *2142:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[31] 0.0013906
2 *2142:LO 0.0013906
3 io_out[31] *1931:A2 1.40386e-05
4 io_out[31] *1950:B 5.33005e-05
5 io_out[31] *1955:A 0.000186669
6 io_out[31] *1955:B 0.000154283
7 io_out[31] *1955:C 4.59075e-05
8 io_out[31] *210:13 0.000223102
9 io_out[31] *295:31 4.27437e-05
10 io_oeb[31] io_out[31] 0.000206994
11 *25:15 io_out[31] 0.000333022
12 *26:11 io_out[31] 0
*RES
1 *2142:LO io_out[31] 29.8187
*END
*D_NET *102 0.00103301
*CONN
*P io_out[32] O
*I *2143:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[32] 0.000344098
2 *2143:LO 0.000344098
3 io_out[32] *1922:A 0
4 io_out[32] *182:8 0
5 io_out[32] *190:8 4.19624e-06
6 io_oeb[32] io_out[32] 0.000173159
7 *26:11 io_out[32] 0.000167463
*RES
1 *2143:LO io_out[32] 19.3786
*END
*D_NET *103 0.000659194
*CONN
*P io_out[33] O
*I *2144:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[33] 0.000198598
2 *2144:LO 0.000198598
3 io_out[33] *1921:A 6.99087e-05
4 io_oeb[33] io_out[33] 0.000102046
5 *27:10 io_out[33] 9.0044e-05
*RES
1 *2144:LO io_out[33] 18.8741
*END
*D_NET *104 0.000543052
*CONN
*P io_out[34] O
*I *2145:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[34] 0.000174447
2 *2145:LO 0.000174447
3 io_oeb[34] io_out[34] 9.15764e-05
4 *28:10 io_out[34] 0.000102583
*RES
1 *2145:LO io_out[34] 18.267
*END
*D_NET *105 0.000723087
*CONN
*P io_out[35] O
*I *2139:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 io_out[35] 0.000310252
2 *2139:X 0.000310252
3 io_oeb[34] io_out[35] 0
4 io_oeb[35] io_out[35] 0.000102583
*RES
1 *2139:X io_out[35] 19.5533
*END
*D_NET *106 0.000601717
*CONN
*P io_out[36] O
*I *2146:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[36] 0.000249567
2 *2146:LO 0.000249567
3 io_oeb[35] io_out[36] 0
4 io_oeb[36] io_out[36] 0.000102583
*RES
1 *2146:LO io_out[36] 18.8741
*END
*D_NET *107 0.000893445
*CONN
*P io_out[37] O
*I *2147:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[37] 0.000333223
2 *2147:LO 0.000333223
3 io_oeb[36] io_out[37] 0
4 io_oeb[37] io_out[37] 0.000226999
*RES
1 *2147:LO io_out[37] 21.3027
*END
*D_NET *108 0.000681516
*CONN
*P io_out[3] O
*I *2189:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[3] 0.000293442
2 *2189:LO 0.000293442
3 io_oeb[2] io_out[3] 0
4 io_oeb[3] io_out[3] 9.46313e-05
*RES
1 *2189:LO io_out[3] 18.267
*END
*D_NET *109 0.000695163
*CONN
*P io_out[4] O
*I *2190:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[4] 0.000283813
2 *2190:LO 0.000283813
3 io_oeb[3] io_out[4] 0
4 io_oeb[4] io_out[4] 0.000127538
*RES
1 *2190:LO io_out[4] 18.267
*END
*D_NET *110 0.000681516
*CONN
*P io_out[5] O
*I *2191:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[5] 0.000293442
2 *2191:LO 0.000293442
3 io_oeb[4] io_out[5] 0
4 io_oeb[5] io_out[5] 9.46313e-05
*RES
1 *2191:LO io_out[5] 18.267
*END
*D_NET *111 0.000686123
*CONN
*P io_out[6] O
*I *2192:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[6] 0.000279292
2 *2192:LO 0.000279292
3 io_oeb[5] io_out[6] 0
4 io_oeb[6] io_out[6] 0.000127538
*RES
1 *2192:LO io_out[6] 18.267
*END
*D_NET *112 0.000672476
*CONN
*P io_out[7] O
*I *2193:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[7] 0.000288922
2 *2193:LO 0.000288922
3 io_oeb[6] io_out[7] 0
4 io_oeb[7] io_out[7] 9.46313e-05
*RES
1 *2193:LO io_out[7] 18.267
*END
*D_NET *113 0.00114755
*CONN
*P io_out[8] O
*I *2194:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[8] 0.000425591
2 *2194:LO 0.000425591
3 io_out[8] *321:11 4.38243e-05
4 io_oeb[8] io_out[8] 0.000127538
5 *37:10 io_out[8] 0.000125009
*RES
1 *2194:LO io_out[8] 20.1439
*END
*D_NET *114 0.000663141
*CONN
*P io_out[9] O
*I *2195:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_out[9] 0.000209137
2 *2195:LO 0.000209137
3 io_out[9] *322:13 2.26973e-05
4 io_oeb[9] io_out[9] 9.46313e-05
5 *38:10 io_out[9] 0.000127538
*RES
1 *2195:LO io_out[9] 18.267
*END
*D_NET *117 0.000684371
*CONN
*I *2075:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2046:Y O *D sky130_fd_sc_hd__xnor2_1
*CAP
1 *2075:D 0.00016821
2 *2046:Y 0.00016821
3 *2075:D *2046:A 0.000347952
*RES
1 *2046:Y *2075:D 22.3009
*END
*D_NET *118 0.000837235
*CONN
*I *2076:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2060:Y O *D sky130_fd_sc_hd__xnor2_1
*CAP
1 *2076:D 0.000296229
2 *2060:Y 0.000296229
3 *2076:D *326:10 0.000126439
4 *2076:D *328:53 0.000118339
5 *2076:D *328:62 0
*RES
1 *2060:Y *2076:D 33.3679
*END
*D_NET *119 0.000507788
*CONN
*I *2077:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2074:Y O *D sky130_fd_sc_hd__xnor2_1
*CAP
1 *2077:D 0.000236036
2 *2074:Y 0.000236036
3 *2077:D *326:14 3.57163e-05
*RES
1 *2074:Y *2077:D 31.3902
*END
*D_NET *120 0.000773467
*CONN
*I *2078:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1934:Y O *D sky130_fd_sc_hd__xnor2_1
*CAP
1 *2078:D 0.000241766
2 *1934:Y 0.000241766
3 *2078:D *2078:CLK 0.000186669
4 *2078:D *326:20 0.000103267
*RES
1 *1934:Y *2078:D 32.2116
*END
*D_NET *121 0.00300869
*CONN
*I *2079:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1936:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2079:D 0.000715558
2 *1936:X 0.000715558
3 *2079:D *1926:B 2.42516e-05
4 *2079:D *1932:A2 8.40933e-05
5 *2079:D *1932:B1 0.000444067
6 *2079:D *1947:B 0.000100831
7 *2079:D *186:15 4.78056e-05
8 *2079:D *199:9 0.000183726
9 *2079:D *291:25 0.000692801
*RES
1 *1936:X *2079:D 42.3723
*END
*D_NET *122 0.00208684
*CONN
*I *2080:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1940:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2080:D 0.000688378
2 *1940:X 0.000688378
3 *2080:D *1951:B 4.58919e-05
4 *2080:D *1951:C 0
5 *2080:D *126:11 0.000192886
6 *2080:D *200:11 0.000269492
7 *2080:D *326:46 4.87669e-06
8 io_oeb[28] *2080:D 0
9 io_oeb[29] *2080:D 0.000196938
10 *367:DIODE *2080:D 0
11 *22:11 *2080:D 0
12 *24:8 *2080:D 0
*RES
1 *1940:X *2080:D 42.7116
*END
*D_NET *123 0.00210833
*CONN
*I *2081:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1944:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2081:D 0.000375406
2 *1944:X 0.000375406
3 *2081:D *1927:B 9.90367e-05
4 *2081:D *1928:C1 9.02262e-05
5 *2081:D *1934:B 3.60839e-05
6 *2081:D *1939:C 4.43106e-05
7 *2081:D *1941:C 2.28598e-05
8 *2081:D *1957:C 0.000180951
9 *2081:D *1958:A 0.000162283
10 *2081:D *2081:CLK 5.33005e-05
11 *2081:D *2082:D 0.00063214
12 *2081:D *194:66 3.63281e-05
*RES
1 *1944:X *2081:D 38.6179
*END
*D_NET *124 0.00333803
*CONN
*I *2082:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1948:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2082:D 0.000675228
2 *1948:X 0.000675228
3 *2082:D *1927:A_N 2.84398e-05
4 *2082:D *1928:C1 4.57949e-05
5 *2082:D *1939:B 0
6 *2082:D *1941:C 0.00113874
7 *2082:D *1948:A 8.9163e-05
8 *2082:D *1958:A 0
9 *2082:D *293:7 5.33005e-05
10 *2081:D *2082:D 0.00063214
*RES
1 *1948:X *2082:D 44.2116
*END
*D_NET *125 0.00411495
*CONN
*I *2083:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1952:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2083:D 0.000646154
2 *1952:X 0.000646154
3 *2083:D *1925:A 5.84075e-05
4 *2083:D *1926:B 0.000107404
5 *2083:D *1930:B2 0.000358432
6 *2083:D *1932:A2 5.90443e-06
7 *2083:D *1933:A1 1.32425e-05
8 *2083:D *1942:A1 0.000324954
9 *2083:D *186:15 0.000139913
10 *2083:D *199:22 0.000442692
11 *2083:D *292:8 4.31193e-05
12 *2083:D *295:5 1.72903e-06
13 *2083:D *295:31 4.44727e-05
14 *2083:D *296:11 0.000174213
15 *2083:D *326:76 0.000237528
16 *2083:D *326:90 0.000193501
17 *24:8 *2083:D 0.00067713
*RES
1 *1952:X *2083:D 48.7607
*END
*D_NET *126 0.00393463
*CONN
*I *2084:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1956:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2084:D 0
2 *1956:X 0.00112689
3 *126:11 0.00112689
4 *126:11 *1933:A2 0.000662078
5 *126:11 *1933:C1 0.000126582
6 *126:11 *2084:CLK 6.76667e-05
7 *126:11 *182:8 0.000383997
8 *126:11 *200:11 0
9 *126:11 *210:13 2.89016e-05
10 *126:11 *326:55 0.000128154
11 io_oeb[29] *126:11 9.05964e-05
12 *2080:D *126:11 0.000192886
*RES
1 *1956:X *126:11 42.8402
2 *126:11 *2084:D 9.3
*END
*D_NET *127 0.00242155
*CONN
*I *2085:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1958:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2085:D 0.000617904
2 *1958:X 0.000617904
3 *2085:D *1931:A1 4.35482e-05
4 *2085:D *1931:A2 0.000141729
5 *2085:D *1931:B1 1.03105e-05
6 *2085:D *1931:B2 0.000329462
7 *2085:D *1932:B1 0.000227636
8 *2085:D *1935:A_N 0.000356028
9 *2085:D *1936:A 5.71472e-05
10 *2085:D *2083:CLK 1.98839e-05
*RES
1 *1958:X *2085:D 30.9259
*END
*D_NET *128 0.00146829
*CONN
*I *2086:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1960:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2086:D 0.000288244
2 *1960:X 0.000288244
3 *2086:D *1965:C 1.07719e-05
4 *2086:D *2066:B 0.00018984
5 *2086:D *216:10 0.000514848
6 *2086:D *221:16 9.82585e-06
7 io_oeb[26] *2086:D 0.000161641
8 io_out[23] *2086:D 4.87669e-06
*RES
1 *1960:X *2086:D 35.1045
*END
*D_NET *129 0.00463275
*CONN
*I *2087:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1964:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2087:D 0
2 *1964:X 0.000696971
3 *129:11 0.000696971
4 *129:11 *1959:B 0
5 *129:11 *1960:A 7.80579e-05
6 *129:11 *1964:A 6.05161e-06
7 *129:11 *181:64 0
8 *129:11 *215:8 0.001857
9 *129:11 *219:24 1.17968e-05
10 *129:11 *220:10 0.00128591
11 io_out[23] *129:11 0
*RES
1 *1964:X *129:11 42.8045
2 *129:11 *2087:D 9.3
*END
*D_NET *130 0.00208439
*CONN
*I *2088:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1968:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2088:D 0.000522448
2 *1968:X 0.000522448
3 *2088:D *1968:A 4.46309e-05
4 *2088:D *1979:B 9.90477e-05
5 *2088:D *2068:B1 0.000181512
6 *2088:D *2068:C1 0.000101193
7 *2088:D *2070:B1 0.000165464
8 *2088:D *2073:A2 0.000137983
9 *2088:D *169:8 3.05455e-05
10 *2088:D *170:8 6.61546e-05
11 *2088:D *172:18 8.50971e-06
12 *2088:D *181:49 9.88919e-05
13 *2088:D *223:11 1.72894e-05
14 *2088:D *289:38 8.82735e-05
*RES
1 *1968:X *2088:D 39.9973
*END
*D_NET *131 0.0041607
*CONN
*I *2089:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1972:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2089:D 0.00129921
2 *1972:X 0.00129921
3 *2089:D *1969:B 0.00014339
4 *2089:D *2072:B1 4.26825e-05
5 *2089:D *2092:D 0.000366171
6 *2089:D *216:10 4.6392e-05
7 *2089:D *216:40 0.000261783
8 *2089:D *287:37 4.87669e-06
9 *2089:D *324:100 1.76204e-05
10 io_oeb[23] *2089:D 0
11 io_out[26] *2089:D 0.000673095
12 *361:DIODE *2089:D 6.27272e-06
*RES
1 *1972:X *2089:D 38.5882
*END
*D_NET *132 0.00421248
*CONN
*I *2090:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1976:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2090:D 0
2 *1976:X 0.00116343
3 *132:13 0.00116343
4 *132:13 *1976:A 3.09981e-05
5 *132:13 *1979:B 1.32552e-05
6 *132:13 *1982:A 0.000513133
7 *132:13 *2063:A2 7.98423e-05
8 *132:13 *2068:B1 5.41464e-05
9 *132:13 *2068:B2 0.000694898
10 *132:13 *2068:C1 5.70008e-05
11 *132:13 *169:8 9.34449e-05
12 *132:13 *288:8 0.000218685
13 *132:13 *289:26 2.14757e-05
14 *132:13 *309:11 1.0945e-05
15 *358:DIODE *132:13 0
16 *16:21 *132:13 9.78027e-05
*RES
1 *1976:X *132:13 41.5009
2 *132:13 *2090:D 9.3
*END
*D_NET *133 0.00483118
*CONN
*I *2091:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1980:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2091:D 0
2 *1980:X 0.00182815
3 *133:13 0.00182815
4 *133:13 *1967:A_N 9.70065e-05
5 *133:13 *1970:B 1.42208e-05
6 *133:13 *1977:A 1.92905e-05
7 *133:13 *1979:A 0.000310672
8 *133:13 *1979:C 0.000254189
9 *133:13 *1982:A 3.69697e-05
10 *133:13 *2110:A 2.1575e-05
11 *133:13 *181:26 0.000182598
12 *133:13 *324:78 7.6644e-05
13 *133:13 *324:104 0.000116522
14 *20:11 *133:13 4.51901e-05
*RES
1 *1980:X *133:13 41.0009
2 *133:13 *2091:D 9.3
*END
*D_NET *134 0.00278036
*CONN
*I *2092:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1982:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2092:D 0.000974907
2 *1982:X 0.000974907
3 *2092:D *1976:A 0.00021835
4 *2092:D *216:10 4.75911e-05
5 *2092:D *287:54 8.6229e-06
6 *2092:D *309:11 2.30116e-06
7 *2092:D *324:128 1.64343e-05
8 io_oeb[23] *2092:D 0.000129324
9 io_oeb[26] *2092:D 2.11362e-05
10 *358:DIODE *2092:D 2.06112e-05
11 *2089:D *2092:D 0.000366171
*RES
1 *1982:X *2092:D 37.5793
*END
*D_NET *135 0.00417629
*CONN
*I *2093:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1984:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2093:D 0.00121591
2 *1984:X 0.00121591
3 *2093:D *2049:A1 4.18895e-05
4 *2093:D *2049:A2 2.42516e-05
5 *2093:D *2053:A_N 5.52302e-05
6 *2093:D *2053:B 1.31957e-05
7 *2093:D *2054:A1 1.32065e-05
8 *2093:D *2054:A2 0.000711537
9 *2093:D *2054:B2 2.99252e-05
10 *2093:D *2054:C1 0.000125057
11 *2093:D *2058:A1 0.000396905
12 *2093:D *2059:A1 0.000125724
13 *2093:D *2108:A 1.21258e-05
14 *2093:D *282:76 0.000129356
15 *2093:D *324:7 5.52302e-05
16 *11:12 *2093:D 1.08359e-05
*RES
1 *1984:X *2093:D 48.3321
*END
*D_NET *136 0.00260388
*CONN
*I *2094:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1988:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2094:D 0.000762614
2 *1988:X 0.000762614
3 *2094:D *1990:B1 1.64343e-05
4 *2094:D *1999:B 0.000239276
5 *2094:D *2060:B 0
6 *2094:D *2095:D 0
7 *2094:D *2096:D 0.000696462
8 *2094:D *232:19 0
9 *2094:D *278:45 4.87669e-06
10 *2094:D *324:63 5.71472e-05
11 *2094:D *327:20 0
12 io_oeb[19] *2094:D 6.44542e-05
*RES
1 *1988:X *2094:D 42.3902
*END
*D_NET *137 0.00201853
*CONN
*I *2095:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1992:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2095:D 0.000653743
2 *1992:X 0.000653743
3 *2095:D *1995:B 0
4 *2095:D *1999:B 2.07158e-05
5 *2095:D *2005:A 2.74584e-05
6 *2095:D *2060:B 7.83587e-05
7 *2095:D *2096:D 0
8 *2095:D *2098:CLK 0.000214178
9 *2095:D *168:81 3.95656e-05
10 *2095:D *230:26 2.11419e-05
11 *2095:D *232:19 8.23182e-05
12 *2095:D *324:31 8.23182e-05
13 *2095:D *327:20 0.00014499
14 *2094:D *2095:D 0
*RES
1 *1992:X *2095:D 38.8009
*END
*D_NET *138 0.00414572
*CONN
*I *2096:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1996:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2096:D 0.00115447
2 *1996:X 0.00115447
3 *2096:D *1983:B 5.19805e-05
4 *2096:D *1998:A 0.000173797
5 *2096:D *1999:C 0.0001399
6 *2096:D *2059:C1 2.68219e-05
7 *2096:D *2096:CLK 4.08749e-06
8 *2096:D *277:43 2.89114e-05
9 *2096:D *277:56 0.000298738
10 *2096:D *281:8 0.000113903
11 *2096:D *327:20 0
12 *2096:D *327:56 8.44812e-05
13 io_oeb[19] *2096:D 0.000217691
14 *2094:D *2096:D 0.000696462
15 *2095:D *2096:D 0
*RES
1 *1996:X *2096:D 48.7652
*END
*D_NET *139 0.00180507
*CONN
*I *2097:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2000:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2097:D 0.000350211
2 *2000:X 0.000350211
3 *2097:D *1993:A 0
4 *2097:D *1995:A_N 2.09413e-05
5 *2097:D *1997:B 4.16623e-05
6 *2097:D *1998:B 0.000144684
7 *2097:D *2000:A 0.000180066
8 *2097:D *2006:A 0.000122417
9 *2097:D *2097:CLK 0.000127203
10 *2097:D *236:11 5.33005e-05
11 *2097:D *280:15 1.21258e-05
12 io_out[20] *2097:D 0.000402249
*RES
1 *2000:X *2097:D 37.1357
*END
*D_NET *140 0.00278223
*CONN
*I *2098:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2004:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2098:D 0.000663551
2 *2004:X 0.000663551
3 *2098:D *1998:A 0.000187305
4 *2098:D *1998:B 4.87953e-05
5 *2098:D *2047:A 0.000103267
6 *2098:D *2055:A 2.89016e-05
7 *2098:D *2098:CLK 3.23206e-05
8 *2098:D *164:5 0.000949562
9 *93:11 *2098:D 0.000104974
*RES
1 *2004:X *2098:D 43.6357
*END
*D_NET *141 0.0012186
*CONN
*I *2099:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2006:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2099:D 0.000203237
2 *2006:X 0.000203237
3 *2099:D *1986:A 1.61784e-05
4 *2099:D *1986:B 0.000141451
5 *2099:D *1987:C 0.000255659
6 *2099:D *2002:B 2.63195e-05
7 *2099:D *2004:A 8.00806e-05
8 *2099:D *327:9 6.87574e-05
9 *2099:D *327:17 0.000127163
10 io_oeb[24] *2099:D 4.43825e-05
11 *13:22 *2099:D 4.18834e-05
12 *18:20 *2099:D 1.02504e-05
*RES
1 *2006:X *2099:D 33.8187
*END
*D_NET *142 0.00255158
*CONN
*I *2100:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2008:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2100:D 0.000541909
2 *2008:X 0.000541909
3 *2100:D *2042:A1 0.000121259
4 *2100:D *2042:A2 0.000122912
5 *2100:D *2045:A2 0.00018912
6 *2100:D *2045:B1 1.11775e-05
7 *2100:D *2103:CLK 0.000129913
8 *2100:D *144:11 1.02433e-05
9 *2100:D *149:18 8.64475e-05
10 *2100:D *155:50 4.22135e-06
11 *2100:D *264:6 0.000309293
12 *2100:D *273:40 0.000159916
13 *2100:D *328:163 0.00032326
*RES
1 *2008:X *2100:D 40.033
*END
*D_NET *143 0.00151293
*CONN
*I *2101:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2012:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2101:D 0.000265526
2 *2012:X 0.000265526
3 *2101:D *2008:A 0.000218521
4 *2101:D *2009:B 0.000453105
5 *2101:D *2041:A 5.52302e-05
6 *2101:D *255:20 0.000169197
7 *2101:D *271:22 8.2018e-05
8 *7:13 *2101:D 3.80953e-06
*RES
1 *2012:X *2101:D 35.5152
*END
*D_NET *144 0.00443057
*CONN
*I *2102:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2016:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2102:D 0
2 *2016:X 0.000942109
3 *144:11 0.000942109
4 *144:11 *2017:A 0.00026305
5 *144:11 *2019:A_N 0.000477385
6 *144:11 *2041:A 0.00026353
7 *144:11 *2045:A2 3.14783e-05
8 *144:11 *2045:B1 0.000141445
9 *144:11 *2045:B2 0.000349753
10 *144:11 *2102:CLK 0.000225927
11 *144:11 *147:18 0.000311267
12 *144:11 *149:18 9.16325e-06
13 *144:11 *155:50 0.00036269
14 *144:11 *264:6 0.000100426
15 *2100:D *144:11 1.02433e-05
*RES
1 *2016:X *144:11 41.2687
2 *144:11 *2102:D 9.3
*END
*D_NET *145 0.00243752
*CONN
*I *2103:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2020:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2103:D 0.000510931
2 *2020:X 0.000510931
3 *2103:D *2009:A 0.00038277
4 *2103:D *2011:B 2.11419e-05
5 *2103:D *2103:CLK 5.52302e-05
6 *2103:D *151:8 4.50116e-05
7 *2103:D *249:11 4.14545e-05
8 *2103:D *273:40 0.00013788
9 io_out[13] *2103:D 0.000683344
10 io_out[15] *2103:D 4.88212e-05
*RES
1 *2020:X *2103:D 40.3857
*END
*D_NET *146 0.0023482
*CONN
*I *2104:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2024:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2104:D 0.000610454
2 *2024:X 0.000610454
3 *2104:D *2009:A 0.000469765
4 *2104:D *2013:A 5.71472e-05
5 *2104:D *2013:B 4.58194e-05
6 *2104:D *2016:A 3.09506e-05
7 *2104:D *2041:A 0.000268622
8 *2104:D *274:10 0.000108514
9 io_oeb[13] *2104:D 0.000146474
*RES
1 *2024:X *2104:D 38.8723
*END
*D_NET *147 0.00472669
*CONN
*I *2105:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2028:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2105:D 1.81015e-05
2 *2028:X 0.00121637
3 *147:18 0.00123447
4 *2105:D *2021:A 9.14355e-05
5 *2105:D *328:32 3.29354e-05
6 *147:18 *351:DIODE 0
7 *147:18 *2011:C 0.000409839
8 *147:18 *2016:A 4.27381e-05
9 *147:18 *2023:B 0.000398128
10 *147:18 *2040:A1 0.000100823
11 *147:18 *2040:B2 7.80714e-06
12 *147:18 *2045:B2 0.000351407
13 *147:18 *149:18 0
14 *147:18 *250:5 1.22845e-05
15 *147:18 *250:10 9.85396e-06
16 *147:18 *258:20 5.68977e-06
17 *147:18 *273:27 0.000385699
18 *147:18 *275:28 9.78386e-05
19 *147:18 *328:20 0
20 *144:11 *147:18 0.000311267
*RES
1 *2028:X *147:18 48.5321
2 *147:18 *2105:D 14.6571
*END
*D_NET *148 0.000740074
*CONN
*I *2106:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2030:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2106:D 0.000261171
2 *2030:X 0.000261171
3 *2106:D *2035:A2 8.33504e-05
4 *2106:D *2106:CLK 2.59355e-05
5 *2106:D *328:173 0.000108447
*RES
1 *2030:X *2106:D 22.7116
*END
*D_NET *149 0.00505474
*CONN
*I *2040:C1 I *D sky130_fd_sc_hd__a221o_1
*I *2039:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *2040:C1 0
2 *2039:X 0.00125738
3 *149:18 0.00125738
4 *149:18 *2015:B 1.34876e-05
5 *149:18 *2030:A 0.000185857
6 *149:18 *2039:A_N 0.000183713
7 *149:18 *2040:A1 2.05938e-05
8 *149:18 *2040:B2 5.41794e-05
9 *149:18 *155:50 0.000294553
10 *149:18 *258:20 7.69776e-06
11 *149:18 *270:24 0.000106133
12 *149:18 *270:31 1.56e-05
13 *149:18 *272:19 0.000506513
14 *149:18 *275:28 0.000221628
15 *149:18 *328:18 0.000105607
16 *149:18 *328:20 7.85777e-05
17 *149:18 *328:163 1.73191e-05
18 io_out[12] *149:18 0.000506697
19 *2100:D *149:18 8.64475e-05
20 *4:15 *149:18 0.000126213
21 *144:11 *149:18 9.16325e-06
22 *147:18 *149:18 0
*RES
1 *2039:X *149:18 40.8762
2 *149:18 *2040:C1 9.3
*END
*D_NET *150 0.00222165
*CONN
*I *2044:A1 I *D sky130_fd_sc_hd__a21o_1
*I *2040:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *2044:A1 0.00079895
2 *2040:X 0.00079895
3 *2044:A1 *2011:A 0.000135028
4 *2044:A1 *2038:A_N 3.37161e-05
5 *2044:A1 *2039:A_N 0
6 *2044:A1 *2040:A2 9.01258e-06
7 *2044:A1 *2040:B2 5.52302e-05
8 *2044:A1 *155:66 0.000390759
*RES
1 *2040:X *2044:A1 37.7964
*END
*D_NET *151 0.0100995
*CONN
*I *2043:B1 I *D sky130_fd_sc_hd__a22o_1
*I *2042:A2 I *D sky130_fd_sc_hd__o22a_1
*I *2041:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *2043:B1 0.000909295
2 *2042:A2 0.000518652
3 *2041:Y 0.000666314
4 *151:8 0.00209426
5 *2042:A2 *2042:A1 0.000414289
6 *2042:A2 *2100:CLK 5.49489e-05
7 *2042:A2 *249:11 0.000389656
8 *2042:A2 *258:20 0.00021766
9 *2042:A2 *271:22 0.000389656
10 *2042:A2 *271:38 0.000508146
11 *2042:A2 *328:9 0.000136958
12 *2043:B1 *2030:A 4.29267e-05
13 *2043:B1 *2035:A2 0.00014285
14 *2043:B1 *2035:B2 2.21972e-05
15 *2043:B1 *2043:A1 1.32552e-05
16 *2043:B1 *2043:A2 0.000134652
17 *2043:B1 *250:24 2.09776e-05
18 *2043:B1 *265:13 1.90936e-05
19 *2043:B1 *275:28 0
20 *151:8 *2009:A 7.08306e-05
21 *151:8 *2009:B 0.000649692
22 *151:8 *2011:B 1.01912e-05
23 *151:8 *2041:A 8.47702e-05
24 *151:8 *249:11 0.00073219
25 *151:8 *264:6 0
26 *151:8 *271:22 0.0002301
27 io_oeb[12] *2043:B1 0.000987353
28 io_out[15] *151:8 0.000101545
29 *2100:D *2042:A2 0.000122912
30 *2103:D *151:8 4.50116e-05
31 *5:13 *2043:B1 0.000369118
*RES
1 *2041:Y *151:8 31.6304
2 *151:8 *2042:A2 29.8804
3 *151:8 *2043:B1 29.6211
*END
*D_NET *152 0.000496643
*CONN
*I *2044:A2 I *D sky130_fd_sc_hd__a21o_1
*I *2042:X O *D sky130_fd_sc_hd__o22a_1
*CAP
1 *2044:A2 0.000175216
2 *2042:X 0.000175216
3 *2044:A2 *2040:A2 0
4 *2044:A2 *2044:B1 4.71673e-05
5 *2044:A2 *271:38 9.90431e-05
*RES
1 *2042:X *2044:A2 30.4929
*END
*D_NET *153 0.00103445
*CONN
*I *2044:B1 I *D sky130_fd_sc_hd__a21o_1
*I *2043:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *2044:B1 0.000276851
2 *2043:X 0.000276851
3 *2044:B1 *2039:A_N 0.00012484
4 *2044:B1 *2040:A2 0
5 *2044:B1 *267:9 0.000308736
6 *2044:B1 *270:31 0
7 *2044:B1 *328:120 0
8 *2044:A2 *2044:B1 4.71673e-05
*RES
1 *2043:X *2044:B1 34.4036
*END
*D_NET *154 0.00340084
*CONN
*I *2045:B2 I *D sky130_fd_sc_hd__a221oi_4
*I *2044:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *2045:B2 0.000648795
2 *2044:X 0.000648795
3 *2045:B2 *2008:A 5.33005e-05
4 *2045:B2 *2023:A_N 5.33005e-05
5 *2045:B2 *2023:B 0.000264153
6 *2045:B2 *155:50 0.00022128
7 *2045:B2 *155:66 0.000442635
8 *2045:B2 *256:17 0.000149119
9 *2045:B2 *256:22 0.000218305
10 *144:11 *2045:B2 0.000349753
11 *147:18 *2045:B2 0.000351407
*RES
1 *2044:X *2045:B2 45.4036
*END
*D_NET *155 0.0155559
*CONN
*I *2023:C I *D sky130_fd_sc_hd__and3b_1
*I *2011:A I *D sky130_fd_sc_hd__and3_1
*I *2007:B I *D sky130_fd_sc_hd__and2b_1
*I *2029:B I *D sky130_fd_sc_hd__and3_1
*I *2046:B I *D sky130_fd_sc_hd__xnor2_1
*I *2015:C I *D sky130_fd_sc_hd__and3b_1
*I *2027:A I *D sky130_fd_sc_hd__and3_1
*I *2019:C I *D sky130_fd_sc_hd__and3b_1
*I *2045:Y O *D sky130_fd_sc_hd__a221oi_4
*CAP
1 *2023:C 0
2 *2011:A 0.000329127
3 *2007:B 0.000684243
4 *2029:B 0
5 *2046:B 0.000746693
6 *2015:C 0
7 *2027:A 0.000382646
8 *2019:C 3.96417e-05
9 *2045:Y 0
10 *155:78 0.000949185
11 *155:66 0.00192071
12 *155:55 0.00129829
13 *155:50 0.000650564
14 *155:12 0.000757236
15 *155:5 0.000648717
16 *155:4 0.00090425
17 *2007:B *2029:C 4.5813e-05
18 *2007:B *2039:A_N 8.62438e-05
19 *2007:B *256:29 2.68549e-05
20 *2007:B *270:24 7.32173e-05
21 *2007:B *270:31 5.33433e-05
22 *2011:A *2011:C 0.00010096
23 *2011:A *2021:B 0.000165653
24 *2011:A *2038:A_N 0.000197981
25 *2019:C *255:20 5.49544e-05
26 *2027:A *2016:A 0
27 *2027:A *2019:B 4.57718e-05
28 *2027:A *2027:C 0.000106143
29 *2027:A *250:24 0.000183713
30 *2027:A *255:20 6.50222e-05
31 *2027:A *275:38 0.000271345
32 *2046:B *2011:C 0
33 *2046:B *256:22 0
34 *2046:B *328:40 0.000496073
35 *2046:B *328:102 0
36 *2046:B *328:111 0
37 *2046:B *328:120 0
38 *155:5 *275:28 0.000434578
39 *155:12 *2016:A 0
40 *155:12 *2021:C 5.31158e-05
41 *155:12 *2045:C1 0
42 *155:12 *258:20 0.00019658
43 *155:12 *270:23 5.27703e-06
44 *155:50 *2008:A 2.39999e-05
45 *155:50 *2040:A1 4.58194e-05
46 *155:50 *258:20 0.000153489
47 *155:50 *272:8 4.58976e-05
48 *155:50 *275:28 9.60939e-05
49 *155:55 *256:17 2.74352e-05
50 *155:66 *2015:B 0
51 *155:66 *2023:A_N 1.90936e-05
52 *155:66 *2038:A_N 0.000184246
53 *155:66 *2038:B 9.01396e-05
54 *155:66 *2040:A2 9.42964e-05
55 *155:66 *256:17 8.08603e-05
56 *155:66 *256:22 0.000125423
57 *155:78 *2011:C 0
58 *155:78 *2025:B 0
59 *155:78 *2026:B 0
60 *155:78 *2029:A 6.22185e-05
61 *155:78 *2030:A 1.28585e-05
62 *155:78 *256:22 0
63 io_oeb[11] *2011:A 0.000436435
64 io_oeb[12] *2027:A 1.85502e-05
65 io_oeb[12] *155:12 7.49921e-05
66 io_out[12] *155:55 9.9129e-05
67 *2044:A1 *2011:A 0.000135028
68 *2044:A1 *155:66 0.000390759
69 *2045:B2 *155:50 0.00022128
70 *2045:B2 *155:66 0.000442635
71 *2100:D *155:50 4.22135e-06
72 *5:13 *2027:A 3.98559e-05
73 *144:11 *155:50 0.00036269
74 *149:18 *155:50 0.000294553
*RES
1 *2045:Y *155:4 9.3
2 *155:4 *155:5 4.23214
3 *155:5 *155:12 10.5536
4 *155:12 *2019:C 14.3357
5 *155:12 *2027:A 21.7821
6 *155:5 *2015:C 9.3
7 *155:4 *155:50 21.5893
8 *155:50 *155:55 10.3393
9 *155:55 *155:66 31.625
10 *155:66 *2046:B 30.1571
11 *155:66 *155:78 9.78571
12 *155:78 *2029:B 9.3
13 *155:78 *2007:B 18.0679
14 *155:55 *2011:A 27.2286
15 *155:50 *2023:C 9.3
*END
*D_NET *156 0.00675529
*CONN
*I *2059:A2 I *D sky130_fd_sc_hd__a221oi_4
*I *2049:A2 I *D sky130_fd_sc_hd__o22a_1
*I *2047:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *2059:A2 7.76129e-05
2 *2049:A2 0.000277982
3 *2047:Y 0.00201179
4 *156:12 0.00236739
5 *2049:A2 *324:7 0.000139028
6 *2059:A2 *2059:B2 0.000127971
7 *156:12 *1996:A 0.000268984
8 *156:12 *2006:A 6.8787e-05
9 *156:12 *2047:A 0.000117421
10 *156:12 *2048:A 7.05814e-05
11 *156:12 *2059:B2 3.48235e-05
12 *156:12 *157:14 5.44716e-05
13 *156:12 *230:26 0.000428902
14 *156:12 *233:9 0.000143439
15 *156:12 *233:13 0.000149157
16 *156:12 *278:60 3.47641e-06
17 *2093:D *2049:A2 2.42516e-05
18 *11:12 *2059:A2 0.000245342
19 *11:12 *156:12 0.000143875
*RES
1 *2047:Y *156:12 30.6485
2 *156:12 *2049:A2 17.2107
3 *156:12 *2059:A2 16.9607
*END
*D_NET *157 0.00660056
*CONN
*I *2049:B1 I *D sky130_fd_sc_hd__o22a_1
*I *2057:A2 I *D sky130_fd_sc_hd__a22o_1
*I *2048:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *2049:B1 0.00027393
2 *2057:A2 6.30405e-05
3 *2048:Y 0.00126067
4 *157:14 0.000776372
5 *157:11 0.00170007
6 *2049:B1 *2049:A1 0
7 *2049:B1 *2050:A 9.41642e-05
8 *2049:B1 *2058:A1 0.000115408
9 *2049:B1 *2059:B1 0.000139907
10 *2049:B1 *324:7 6.86792e-05
11 *2057:A2 *2049:B2 2.14757e-05
12 *2057:A2 *2057:A1 1.00733e-05
13 *2057:A2 *2058:B1 9.90431e-05
14 *157:11 *345:DIODE 5.52302e-05
15 *157:11 *1992:A 0.000143635
16 *157:11 *1994:A 4.69963e-05
17 *157:11 *1994:B 2.59355e-05
18 *157:11 *1995:B 0.000400867
19 *157:14 *1996:A 0
20 *157:14 *2050:A 4.23086e-05
21 *157:14 *2054:A2 0.000136149
22 *157:14 *2058:A1 7.80714e-06
23 *157:14 *159:6 0.000147332
24 *157:14 *278:60 4.11173e-05
25 *157:14 *324:58 0
26 io_oeb[18] *2049:B1 0.000260208
27 io_oeb[19] *157:11 0.00026737
28 *355:DIODE *2049:B1 2.95642e-05
29 *2113:A *2049:B1 4.08637e-05
30 *11:12 *2049:B1 0.000178847
31 *11:12 *2057:A2 9.90367e-05
32 *156:12 *157:14 5.44716e-05
*RES
1 *2048:Y *157:11 44.8
2 *157:11 *157:14 13.4286
3 *157:14 *2057:A2 11.0857
4 *157:14 *2049:B1 25.7286
*END
*D_NET *158 0.00196697
*CONN
*I *2059:B1 I *D sky130_fd_sc_hd__a221oi_4
*I *2049:X O *D sky130_fd_sc_hd__o22a_1
*CAP
1 *2059:B1 0.000610582
2 *2049:X 0.000610582
3 *2059:B1 *2049:A1 0.000221641
4 *2059:B1 *2059:B2 6.74911e-05
5 *2059:B1 *2108:A 2.89114e-05
6 *2059:B1 *282:76 0.000265447
7 *2059:B1 *324:7 2.2411e-05
8 *2049:B1 *2059:B1 0.000139907
*RES
1 *2049:X *2059:B1 36.35
*END
*D_NET *159 0.00401573
*CONN
*I *2054:A2 I *D sky130_fd_sc_hd__a221o_1
*I *2056:B1 I *D sky130_fd_sc_hd__o22a_1
*I *2050:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *2054:A2 0.000398809
2 *2056:B1 0.000237944
3 *2050:Y 7.26399e-05
4 *159:6 0.000709393
5 *2054:A2 *2050:A 0.000123295
6 *2054:A2 *2054:A1 0.000135763
7 *2054:A2 *2058:A1 0.000179617
8 *2054:A2 *2059:A1 1.41029e-05
9 *2054:A2 *2108:A 0.00019243
10 *2054:A2 *324:13 7.43483e-05
11 *2056:B1 *2051:B_N 0.000184558
12 *2056:B1 *2056:B2 5.68722e-05
13 *2056:B1 *2057:B2 2.44318e-05
14 *2056:B1 *230:26 0.000457177
15 *159:6 *2050:A 0.000145154
16 io_oeb[18] *2054:A2 0
17 *2093:D *2054:A2 0.000711537
18 *11:12 *2054:A2 1.41759e-05
19 *157:14 *2054:A2 0.000136149
20 *157:14 *159:6 0.000147332
*RES
1 *2050:Y *159:6 15.7464
2 *159:6 *2056:B1 20.3714
3 *159:6 *2054:A2 26.3491
*END
*D_NET *160 0.00256251
*CONN
*I *2054:B1 I *D sky130_fd_sc_hd__a221o_1
*I *2051:X O *D sky130_fd_sc_hd__or2b_1
*CAP
1 *2054:B1 0.000811423
2 *2051:X 0.000811423
3 *2054:B1 *1989:C 2.00322e-05
4 *2054:B1 *2049:B2 0.000125316
5 *2054:B1 *2051:B_N 0.000347181
6 *2054:B1 *2052:B 9.23828e-06
7 *2054:B1 *2053:B 5.59341e-05
8 *2054:B1 *2054:A1 3.40849e-05
9 *2054:B1 *2054:B2 0.000100639
10 *2054:B1 *277:72 0
11 *2054:B1 *278:71 0
12 *2054:B1 *324:7 0.000181732
13 io_oeb[18] *2054:B1 6.55037e-05
*RES
1 *2051:X *2054:B1 49.7429
*END
*D_NET *161 0.000636171
*CONN
*I *2054:B2 I *D sky130_fd_sc_hd__a221o_1
*I *2052:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *2054:B2 0.000154443
2 *2052:X 0.000154443
3 *2054:B2 *2053:B 0.000180476
4 *2054:B2 *2054:A1 1.62447e-05
5 *2054:B1 *2054:B2 0.000100639
6 *2093:D *2054:B2 2.99252e-05
*RES
1 *2052:X *2054:B2 31.3321
*END
*D_NET *162 0.000362965
*CONN
*I *2054:C1 I *D sky130_fd_sc_hd__a221o_1
*I *2053:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *2054:C1 7.5362e-05
2 *2053:X 7.5362e-05
3 *2054:C1 *2053:B 8.71847e-05
4 *2093:D *2054:C1 0.000125057
*RES
1 *2053:X *2054:C1 21.2071
*END
*D_NET *163 0.00329586
*CONN
*I *2058:A1 I *D sky130_fd_sc_hd__a21o_1
*I *2054:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *2058:A1 0.000946618
2 *2054:X 0.000946618
3 *2058:A1 *2050:A 0.000336766
4 *2058:A1 *2057:B1 4.69209e-05
5 *2058:A1 *2059:B2 5.71472e-05
6 *2058:A1 *277:56 0
7 io_oeb[18] *2058:A1 2.74584e-05
8 *355:DIODE *2058:A1 0.000234592
9 *2049:B1 *2058:A1 0.000115408
10 *2054:A2 *2058:A1 0.000179617
11 *2093:D *2058:A1 0.000396905
12 *157:14 *2058:A1 7.80714e-06
*RES
1 *2054:X *2058:A1 46.0107
*END
*D_NET *164 0.00525955
*CONN
*I *2057:B1 I *D sky130_fd_sc_hd__a22o_1
*I *2056:A2 I *D sky130_fd_sc_hd__o22a_1
*I *2055:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *2057:B1 0.000497014
2 *2056:A2 5.43067e-05
3 *2055:Y 0.0012057
4 *164:5 0.00175702
5 *2056:A2 *277:43 3.92854e-05
6 *2057:B1 *1996:A 0.000306082
7 *2057:B1 *2059:B2 0.000337416
8 *164:5 *1998:A 3.76533e-05
9 *164:5 *2005:A 1.60847e-05
10 *164:5 *282:5 1.25073e-05
11 *2058:A1 *2057:B1 4.69209e-05
12 *2098:D *164:5 0.000949562
*RES
1 *2055:Y *164:5 24.2107
2 *164:5 *2056:A2 19.3357
3 *164:5 *2057:B1 27.1571
*END
*D_NET *165 0.000310198
*CONN
*I *2058:A2 I *D sky130_fd_sc_hd__a21o_1
*I *2056:X O *D sky130_fd_sc_hd__o22a_1
*CAP
1 *2058:A2 7.89327e-05
2 *2056:X 7.89327e-05
3 *2058:A2 *277:43 0
4 *2058:A2 *324:58 0.000152333
*RES
1 *2056:X *2058:A2 29.5464
*END
*D_NET *166 0.00142499
*CONN
*I *2058:B1 I *D sky130_fd_sc_hd__a21o_1
*I *2057:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *2058:B1 0.00033657
2 *2057:X 0.00033657
3 *2058:B1 *2049:B2 8.43535e-06
4 *2058:B1 *2057:B2 6.05161e-06
5 *2058:B1 *2059:B2 0.000334595
6 *2058:B1 *278:45 0.000192527
7 *2058:B1 *281:32 3.63775e-05
8 *2058:B1 *324:58 7.4826e-05
9 *2057:A2 *2058:B1 9.90431e-05
*RES
1 *2057:X *2058:B1 34.5643
*END
*D_NET *167 0.00191857
*CONN
*I *2059:B2 I *D sky130_fd_sc_hd__a221oi_4
*I *2058:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *2059:B2 0.000397263
2 *2058:X 0.000397263
3 *2059:B2 *1996:A 9.34561e-05
4 *2059:B2 *278:45 6.11061e-05
5 *2057:B1 *2059:B2 0.000337416
6 *2058:A1 *2059:B2 5.71472e-05
7 *2058:B1 *2059:B2 0.000334595
8 *2059:A2 *2059:B2 0.000127971
9 *2059:B1 *2059:B2 6.74911e-05
10 *11:12 *2059:B2 1.00375e-05
11 *156:12 *2059:B2 3.48235e-05
*RES
1 *2058:X *2059:B2 38.9393
*END
*D_NET *168 0.0121515
*CONN
*I *1995:C I *D sky130_fd_sc_hd__and3b_1
*I *1983:B I *D sky130_fd_sc_hd__and2b_1
*I *1999:C I *D sky130_fd_sc_hd__and3b_1
*I *2060:B I *D sky130_fd_sc_hd__xnor2_1
*I *2005:B I *D sky130_fd_sc_hd__and3_1
*I *1991:C I *D sky130_fd_sc_hd__and3b_1
*I *2003:A I *D sky130_fd_sc_hd__and3_1
*I *1987:A I *D sky130_fd_sc_hd__and3_1
*I *2059:Y O *D sky130_fd_sc_hd__a221oi_4
*CAP
1 *1995:C 4.36033e-05
2 *1983:B 0.000178916
3 *1999:C 0.000118518
4 *2060:B 0.000649846
5 *2005:B 0
6 *1991:C 0
7 *2003:A 0.000343836
8 *1987:A 8.11451e-05
9 *2059:Y 0.000643071
10 *168:81 0.000487493
11 *168:62 0.00104085
12 *168:55 0.000419182
13 *168:51 0.000526671
14 *168:20 0.000597863
15 *168:15 0.000275285
16 *168:11 0.0010103
17 *1983:B *277:43 5.71472e-05
18 *1983:B *277:56 0.000130317
19 *1987:A *1987:C 3.39574e-05
20 *1987:A *2048:A 2.06112e-05
21 *1995:C *344:DIODE 5.33005e-05
22 *1999:C *1999:A_N 0.000181796
23 *1999:C *2000:A 0
24 *2003:A *1986:B 0.000136951
25 *2003:A *1990:A1 6.30931e-05
26 *2003:A *2047:A 5.52238e-05
27 *2060:B *1995:B 0
28 *2060:B *1999:B 9.87983e-06
29 *2060:B *232:19 0
30 *2060:B *328:62 0
31 *168:11 *1990:B1 0.000643901
32 *168:11 *2108:A 5.47047e-05
33 *168:15 *1990:B1 0.000430405
34 *168:20 *1987:C 7.88202e-05
35 *168:20 *1990:B1 0.000442962
36 *168:51 *1995:B 0.000122085
37 *168:51 *2006:A 7.93513e-05
38 *168:51 *230:26 4.06087e-07
39 *168:51 *280:10 0.00010654
40 *168:51 *282:45 1.8038e-05
41 *168:55 *1995:B 0.000558919
42 *168:55 *2006:A 0.00053593
43 *168:62 *2000:A 0.000139009
44 *168:81 *1999:A_N 0.00022266
45 *168:81 *1999:B 6.75674e-05
46 *168:81 *2005:A 4.37939e-05
47 io_oeb[19] *168:11 0
48 io_oeb[20] *2003:A 0.000117621
49 io_out[20] *168:51 0
50 io_out[20] *168:55 0
51 *2094:D *2060:B 0
52 *2095:D *2060:B 7.83587e-05
53 *2095:D *168:81 3.95656e-05
54 *2096:D *1983:B 5.19805e-05
55 *2096:D *1999:C 0.0001399
56 *13:22 *168:15 0.000400817
57 *13:22 *168:20 0.000442962
58 *13:22 *168:51 9.25014e-06
59 *93:11 *1987:A 0
60 *93:11 *2003:A 0.000167125
*RES
1 *2059:Y *168:11 27.3893
2 *168:11 *168:15 4.66071
3 *168:15 *168:20 10.9821
4 *168:20 *1987:A 15.1393
5 *168:20 *2003:A 28.9964
6 *168:15 *1991:C 9.3
7 *168:11 *168:51 9.23214
8 *168:51 *168:55 11.9107
9 *168:55 *2005:B 9.3
10 *168:55 *168:62 7.08929
11 *168:62 *2060:B 25.8179
12 *168:62 *168:81 8.89286
13 *168:81 *1999:C 12.2107
14 *168:81 *1983:B 13.1393
15 *168:51 *1995:C 14.3357
*END
*D_NET *169 0.00341353
*CONN
*I *2063:A2 I *D sky130_fd_sc_hd__o22a_1
*I *2073:A2 I *D sky130_fd_sc_hd__a221oi_4
*I *2061:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *2063:A2 0.000128847
2 *2073:A2 0.000201138
3 *2061:Y 0.000317992
4 *169:8 0.000647978
5 *2063:A2 *2063:A1 9.43218e-05
6 *2063:A2 *2068:C1 0.000157764
7 *2063:A2 *288:25 7.63127e-05
8 *2073:A2 *2073:A1 1.04232e-05
9 *2073:A2 *170:8 0.000267698
10 *2073:A2 *286:12 0.000223461
11 *2073:A2 *286:35 8.51331e-05
12 *2073:A2 *289:38 0.000108499
13 *169:8 *1979:B 0.000195555
14 *169:8 *1982:A 0.000196645
15 *169:8 *2068:B1 6.81895e-05
16 *169:8 *2068:C1 0.000238643
17 *169:8 *181:49 5.31101e-05
18 *2088:D *2073:A2 0.000137983
19 *2088:D *169:8 3.05455e-05
20 *132:13 *2063:A2 7.98423e-05
21 *132:13 *169:8 9.34449e-05
*RES
1 *2061:Y *169:8 22.4607
2 *169:8 *2073:A2 20.1036
3 *169:8 *2063:A2 17.4071
*END
*D_NET *170 0.00322536
*CONN
*I *2071:A2 I *D sky130_fd_sc_hd__a22o_1
*I *2063:B1 I *D sky130_fd_sc_hd__o22a_1
*I *2062:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *2071:A2 0.000337802
2 *2063:B1 0
3 *2062:Y 0.000656237
4 *170:8 0.000994039
5 *2071:A2 *2068:B1 3.55056e-05
6 *2071:A2 *2071:A1 2.30969e-05
7 *2071:A2 *2071:B1 6.59373e-05
8 *2071:A2 *2071:B2 9.56812e-05
9 *2071:A2 *214:17 7.84738e-05
10 *2071:A2 *219:24 6.44139e-06
11 *2071:A2 *287:37 7.6644e-05
12 *170:8 *1969:A 0.00022266
13 *170:8 *2063:A1 7.11914e-05
14 *170:8 *2068:B1 5.88989e-05
15 *170:8 *286:35 9.25014e-06
16 *170:8 *289:38 2.57997e-06
17 *170:8 *324:94 0
18 io_out[26] *2071:A2 0.000136452
19 io_out[26] *170:8 2.06178e-05
20 *2073:A2 *170:8 0.000267698
21 *2088:D *170:8 6.61546e-05
*RES
1 *2062:Y *170:8 22.9607
2 *170:8 *2063:B1 13.8
3 *170:8 *2071:A2 28.6036
*END
*D_NET *171 0.00195904
*CONN
*I *2073:B1 I *D sky130_fd_sc_hd__a221oi_4
*I *2063:X O *D sky130_fd_sc_hd__o22a_1
*CAP
1 *2073:B1 0.000563246
2 *2063:X 0.000563246
3 *2073:B1 *216:10 1.12323e-05
4 *2073:B1 *216:18 0.000111519
5 *2073:B1 *285:14 0.000129868
6 *2073:B1 *288:11 0.000266851
7 *2073:B1 *324:94 9.33677e-05
8 *2073:B1 *324:100 0.000219711
*RES
1 *2063:X *2073:B1 35.9393
*END
*D_NET *172 0.00946582
*CONN
*I *2068:A2 I *D sky130_fd_sc_hd__a221o_1
*I *2070:B1 I *D sky130_fd_sc_hd__o22a_1
*I *2064:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *2068:A2 4.66209e-06
2 *2070:B1 0.000861357
3 *2064:Y 0.00176411
4 *172:18 0.00263013
5 *2068:A2 *2068:A1 1.46576e-05
6 *2068:A2 *2068:B2 1.46576e-05
7 *2070:B1 *339:DIODE 1.92789e-05
8 *2070:B1 *1963:A 0.000577422
9 *2070:B1 *1968:A 0.000314787
10 *2070:B1 *1981:C 3.57787e-05
11 *2070:B1 *2061:A 0
12 *2070:B1 *2070:A2 0.000659775
13 *2070:B1 *2070:B2 0.00044468
14 *2070:B1 *181:38 2.59355e-05
15 *2070:B1 *181:49 1.98839e-05
16 *2070:B1 *214:17 1.69961e-05
17 *2070:B1 *222:22 1.49841e-05
18 *2070:B1 *286:35 3.34366e-05
19 *172:18 *1965:C 0.000252057
20 *172:18 *1976:A 0.000663814
21 *172:18 *2068:B1 3.47641e-06
22 *172:18 *2069:A 0
23 *172:18 *286:35 3.25745e-05
24 *172:18 *324:94 1.09611e-05
25 io_oeb[26] *172:18 0.000160136
26 io_out[25] *172:18 0.000543259
27 *2088:D *2070:B1 0.000165464
28 *2088:D *172:18 8.50971e-06
29 *16:10 *172:18 4.8936e-05
30 *18:20 *172:18 9.33064e-05
31 *20:11 *2070:B1 2.86754e-05
32 *20:11 *172:18 2.12591e-06
*RES
1 *2064:Y *172:18 36.0753
2 *172:18 *2070:B1 45.0143
3 *172:18 *2068:A2 13.9473
*END
*D_NET *173 0.00226656
*CONN
*I *2068:B1 I *D sky130_fd_sc_hd__a221o_1
*I *2065:X O *D sky130_fd_sc_hd__or2b_1
*CAP
1 *2068:B1 0.00061389
2 *2065:X 0.00061389
3 *2068:B1 *1979:B 9.60939e-05
4 *2068:B1 *1982:A 3.46442e-05
5 *2068:B1 *2065:A 4.46186e-06
6 *2068:B1 *2068:B2 6.13358e-05
7 *2068:B1 *2068:C1 5.57526e-05
8 *2068:B1 *222:22 0
9 *2068:B1 *286:35 6.70013e-05
10 *2068:B1 *287:37 7.83659e-05
11 *2068:B1 *287:54 5.52302e-05
12 *2068:B1 *288:25 0.000104711
13 *2068:B1 *324:94 7.94529e-05
14 *2071:A2 *2068:B1 3.55056e-05
15 *2088:D *2068:B1 0.000181512
16 *132:13 *2068:B1 5.41464e-05
17 *169:8 *2068:B1 6.81895e-05
18 *170:8 *2068:B1 5.88989e-05
19 *172:18 *2068:B1 3.47641e-06
*RES
1 *2065:X *2068:B1 45.2023
*END
*D_NET *174 0.00442941
*CONN
*I *2068:B2 I *D sky130_fd_sc_hd__a221o_1
*I *2066:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *2068:B2 0.000637842
2 *2066:X 0.000637842
3 *2068:B2 *1965:C 0.000113692
4 *2068:B2 *1967:B 0.000856724
5 *2068:B2 *2068:A1 4.11625e-05
6 *2068:B2 *2070:B2 0.000223892
7 *2068:B2 *2072:B1 5.24534e-05
8 *2068:B2 *286:12 5.87944e-05
9 *2068:B2 *289:26 9.60875e-05
10 io_oeb[26] *2068:B2 0.000940027
11 *2068:A2 *2068:B2 1.46576e-05
12 *2068:B1 *2068:B2 6.13358e-05
13 *132:13 *2068:B2 0.000694898
*RES
1 *2066:X *2068:B2 48.7964
*END
*D_NET *175 0.00186483
*CONN
*I *2068:C1 I *D sky130_fd_sc_hd__a221o_1
*I *2067:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *2068:C1 0.000438255
2 *2067:X 0.000438255
3 *2068:C1 *2065:A 0.000187519
4 *2068:C1 *221:16 9.60875e-05
5 *2068:C1 *288:25 4.90142e-05
6 *2063:A2 *2068:C1 0.000157764
7 *2068:B1 *2068:C1 5.57526e-05
8 *2088:D *2068:C1 0.000101193
9 *16:21 *2068:C1 4.53419e-05
10 *132:13 *2068:C1 5.70008e-05
11 *169:8 *2068:C1 0.000238643
*RES
1 *2067:X *2068:C1 37.7786
*END
*D_NET *176 0.00227076
*CONN
*I *2072:A1 I *D sky130_fd_sc_hd__a21o_1
*I *2068:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *2072:A1 0.00054378
2 *2068:X 0.00054378
3 *2072:A1 *1967:B 0.000191663
4 *2072:A1 *2070:B2 0.000517568
5 *2072:A1 *2072:A2 1.92905e-05
6 *2072:A1 *213:11 0.000441767
7 *2072:A1 *223:11 9.22103e-06
8 io_oeb[27] *2072:A1 3.69047e-06
*RES
1 *2068:X *2072:A1 38.2607
*END
*D_NET *177 0.00754457
*CONN
*I *2071:B1 I *D sky130_fd_sc_hd__a22o_1
*I *2070:A2 I *D sky130_fd_sc_hd__o22a_1
*I *2069:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *2071:B1 0.000115214
2 *2070:A2 0.000738455
3 *2069:Y 0.000334075
4 *177:8 0.00118774
5 *2070:A2 *2070:A1 5.33005e-05
6 *2070:A2 *2070:B2 2.28499e-05
7 *2070:A2 *2071:A1 0.00020178
8 *2070:A2 *181:26 0.000169797
9 *2070:A2 *213:11 0.00134805
10 *2070:A2 *214:17 0.000406397
11 *2070:A2 *215:8 0.000401764
12 *2070:A2 *288:8 0.000283537
13 *2071:B1 *2071:A1 6.27311e-05
14 *2071:B1 *2071:B2 1.32576e-05
15 *2071:B1 *2072:B1 2.59355e-05
16 *2071:B1 *219:24 3.54964e-05
17 *177:8 *1965:C 1.46717e-05
18 *177:8 *2066:A_N 2.36643e-05
19 *177:8 *2069:A 0.000315717
20 *177:8 *2071:A1 5.72597e-05
21 *177:8 *213:11 0.00032279
22 *177:8 *214:17 0.000104734
23 *177:8 *285:44 0
24 *177:8 *286:12 3.07786e-05
25 io_oeb[25] *177:8 8.77729e-05
26 io_out[25] *177:8 0
27 *2070:B1 *2070:A2 0.000659775
28 *2071:A2 *2071:B1 6.59373e-05
29 *2120:A *177:8 8.6229e-06
30 *16:10 *177:8 0.00035746
31 *19:27 *177:8 9.49939e-05
*RES
1 *2069:Y *177:8 24.3179
2 *177:8 *2070:A2 38.1393
3 *177:8 *2071:B1 16.6571
*END
*D_NET *178 0.00115201
*CONN
*I *2072:A2 I *D sky130_fd_sc_hd__a21o_1
*I *2070:X O *D sky130_fd_sc_hd__o22a_1
*CAP
1 *2072:A2 0.000213481
2 *2070:X 0.000213481
3 *2072:A2 *1970:B 6.01416e-05
4 *2072:A2 *1975:A_N 0.000139913
5 *2072:A2 *220:10 6.20472e-05
6 *2072:A2 *223:11 0.00044366
7 *2072:A1 *2072:A2 1.92905e-05
*RES
1 *2070:X *2072:A2 33.9036
*END
*D_NET *179 0.0031904
*CONN
*I *2072:B1 I *D sky130_fd_sc_hd__a21o_1
*I *2071:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *2072:B1 0.000740443
2 *2071:X 0.000740443
3 *2072:B1 *1967:B 4.98055e-06
4 *2072:B1 *1975:A_N 0
5 *2072:B1 *1981:A 0.000195088
6 *2072:B1 *2070:B2 6.94912e-05
7 *2072:B1 *2073:B2 0.000146914
8 *2072:B1 *2092:CLK 1.65169e-05
9 *2072:B1 *216:10 7.5558e-05
10 *2072:B1 *216:18 0.000468568
11 *2072:B1 *219:24 1.13412e-05
12 *2072:B1 *289:26 0.000207503
13 *2072:B1 *324:128 2.51343e-06
14 io_oeb[26] *2072:B1 0.000389966
15 *2068:B2 *2072:B1 5.24534e-05
16 *2071:B1 *2072:B1 2.59355e-05
17 *2089:D *2072:B1 4.26825e-05
*RES
1 *2071:X *2072:B1 44.3857
*END
*D_NET *180 0.00167422
*CONN
*I *2073:B2 I *D sky130_fd_sc_hd__a221oi_4
*I *2072:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *2073:B2 0.000247174
2 *2072:X 0.000247174
3 *2073:B2 *1975:A_N 3.13099e-05
4 *2073:B2 *216:18 0.000387677
5 *2073:B2 *285:14 0.000613974
6 *2072:B1 *2073:B2 0.000146914
*RES
1 *2072:X *2073:B2 36.5286
*END
*D_NET *181 0.0117332
*CONN
*I *1959:B I *D sky130_fd_sc_hd__and2b_1
*I *1971:C I *D sky130_fd_sc_hd__and3b_1
*I *2074:B I *D sky130_fd_sc_hd__xnor2_1
*I *1963:A I *D sky130_fd_sc_hd__and3_1
*I *1981:B I *D sky130_fd_sc_hd__and3_1
*I *1979:A I *D sky130_fd_sc_hd__and3_1
*I *1967:C I *D sky130_fd_sc_hd__and3b_1
*I *1975:C I *D sky130_fd_sc_hd__and3b_1
*I *2073:Y O *D sky130_fd_sc_hd__a221oi_4
*CAP
1 *1959:B 0.000198873
2 *1971:C 2.12761e-05
3 *2074:B 0.000540517
4 *1963:A 0.000325699
5 *1981:B 0
6 *1979:A 7.17346e-05
7 *1967:C 0
8 *1975:C 0.000149831
9 *2073:Y 3.72884e-05
10 *181:64 0.000516665
11 *181:49 0.00125662
12 *181:38 0.000662425
13 *181:26 0.000191801
14 *181:20 0.000878265
15 *181:8 0.000837932
16 *181:7 0.00053573
17 *1963:A *339:DIODE 6.22419e-05
18 *1963:A *214:17 0.000227532
19 *1971:C *1965:B 0.00014285
20 *1971:C *284:9 0.00014285
21 *1975:C *1970:B 0.000219329
22 *1975:C *1975:A_N 0.000142856
23 *1975:C *1976:A 2.06112e-05
24 *1975:C *220:10 7.10991e-05
25 *2074:B *339:DIODE 6.05161e-06
26 *2074:B *324:165 6.71854e-05
27 *181:8 *1970:B 7.83659e-05
28 *181:8 *220:10 0.000182383
29 *181:8 *285:14 2.50463e-05
30 *181:8 *324:104 0.000384255
31 *181:20 *1975:A_N 0.000151191
32 *181:26 *1967:A_N 6.05161e-06
33 *181:26 *1967:B 3.92984e-05
34 *181:26 *214:17 0.000168082
35 *181:38 *1975:A_N 7.39252e-05
36 *181:38 *1981:C 2.8078e-05
37 *181:49 *1968:A 0
38 *181:49 *1982:A 0.000139632
39 *181:49 *2061:A 0.000195481
40 *181:49 *324:158 0
41 *181:64 *219:24 3.34783e-05
42 *181:64 *220:10 0.000148084
43 *181:64 *221:16 0.000221038
44 *181:64 *285:14 0.000100498
45 *181:64 *324:104 0.00015185
46 *181:64 *324:128 0.000261439
47 io_out[23] *1959:B 0.000195405
48 io_out[23] *181:64 0.000172728
49 io_out[27] *1979:A 2.99247e-05
50 *2070:A2 *181:26 0.000169797
51 *2070:B1 *1963:A 0.000577422
52 *2070:B1 *181:38 2.59355e-05
53 *2070:B1 *181:49 1.98839e-05
54 *2088:D *181:49 9.88919e-05
55 *20:11 *1979:A 0.000181381
56 *129:11 *1959:B 0
57 *129:11 *181:64 0
58 *133:13 *1979:A 0.000310672
59 *133:13 *181:26 0.000182598
60 *169:8 *181:49 5.31101e-05
*RES
1 *2073:Y *181:7 14.3357
2 *181:7 *181:8 6.80357
3 *181:8 *1975:C 18.0143
4 *181:8 *181:20 9.96429
5 *181:20 *181:26 13.0179
6 *181:26 *1967:C 9.3
7 *181:26 *1979:A 12.3
8 *181:20 *181:38 3.41071
9 *181:38 *1981:B 9.3
10 *181:38 *181:49 16.7679
11 *181:49 *1963:A 17.55
12 *181:49 *2074:B 18.4607
13 *181:7 *181:64 10.1429
14 *181:64 *1971:C 15.1571
15 *181:64 *1959:B 17.7107
*END
*D_NET *182 0.00416055
*CONN
*I *1933:A2 I *D sky130_fd_sc_hd__a221oi_4
*I *1923:A2 I *D sky130_fd_sc_hd__o22a_1
*I *1921:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *1933:A2 0.000484374
2 *1923:A2 5.05023e-05
3 *1921:Y 0.000557775
4 *182:8 0.00109265
5 *1923:A2 *1923:A1 1.07719e-05
6 *1933:A2 *1933:B1 0
7 *1933:A2 *1933:B2 4.64982e-05
8 *1933:A2 *1943:C 0.000241104
9 *1933:A2 *1951:A_N 0.000222666
10 *1933:A2 *194:5 5.52238e-05
11 *1933:A2 *194:18 0.000137983
12 *1933:A2 *200:11 4.75961e-05
13 *182:8 *1923:B1 0.000167332
14 *182:8 *190:8 0
15 io_out[32] *182:8 0
16 *126:11 *1933:A2 0.000662078
17 *126:11 *182:8 0.000383997
*RES
1 *1921:Y *182:8 24.175
2 *182:8 *1923:A2 14.3357
3 *182:8 *1933:A2 27.4964
*END
*D_NET *183 0.00463882
*CONN
*I *1931:A2 I *D sky130_fd_sc_hd__a22o_1
*I *1923:B1 I *D sky130_fd_sc_hd__o22a_1
*I *1922:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *1931:A2 0.00104253
2 *1923:B1 0.000375654
3 *1922:Y 0
4 *183:4 0.00141818
5 *1923:B1 *1949:A 0
6 *1923:B1 *190:8 0
7 *1923:B1 *210:13 0.000492333
8 *1931:A2 *1924:A 0
9 *1931:A2 *1931:A1 0.000362177
10 *1931:A2 *1955:B 0.000188901
11 *1931:A2 *1955:C 0
12 *1931:A2 *2083:CLK 0
13 *1931:A2 *202:8 0
14 *1931:A2 *205:47 0
15 *1931:A2 *210:13 6.57815e-05
16 *1931:A2 *296:31 7.61272e-05
17 *1931:A2 *296:40 2.07594e-05
18 io_oeb[31] *1923:B1 0.000273269
19 io_out[31] *1931:A2 1.40386e-05
20 *2085:D *1931:A2 0.000141729
21 *26:11 *1931:A2 0
22 *182:8 *1923:B1 0.000167332
*RES
1 *1922:Y *183:4 9.3
2 *183:4 *1923:B1 27.7464
3 *183:4 *1931:A2 36.3714
*END
*D_NET *184 0.00165696
*CONN
*I *1933:B1 I *D sky130_fd_sc_hd__a221oi_4
*I *1923:X O *D sky130_fd_sc_hd__o22a_1
*CAP
1 *1933:B1 0.000477504
2 *1923:X 0.000477504
3 *1933:B1 *1923:B2 8.07313e-05
4 *1933:B1 *1931:B1 0.000321865
5 *1933:B1 *1951:A_N 5.48376e-05
6 *1933:B1 *190:8 0.000125731
7 *1933:B1 *200:11 0
8 *1933:B1 *294:8 6.67989e-05
9 *1933:B1 *294:18 5.19874e-05
10 *1933:A2 *1933:B1 0
*RES
1 *1923:X *1933:B1 37.8857
*END
*D_NET *185 0.00245383
*CONN
*I *1928:A2 I *D sky130_fd_sc_hd__a221o_1
*I *1930:B1 I *D sky130_fd_sc_hd__o22a_1
*I *1924:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *1928:A2 0.000246737
2 *1930:B1 0.000428198
3 *1924:Y 0
4 *185:4 0.000674936
5 *1928:A2 *1951:B 9.59532e-06
6 *1928:A2 *1955:A 6.85873e-05
7 *1928:A2 *1955:C 8.00806e-05
8 *1928:A2 *1957:C 1.66856e-05
9 *1928:A2 *186:15 3.33174e-05
10 *1928:A2 *194:36 7.2252e-05
11 *1928:A2 *296:31 0.000219485
12 *1930:B1 *1930:B2 4.78714e-05
13 *1930:B1 *1953:B 0.000136682
14 *1930:B1 *201:18 0.00019463
15 *1930:B1 *296:31 0.00022477
*RES
1 *1924:Y *185:4 9.3
2 *185:4 *1930:B1 17.2643
3 *185:4 *1928:A2 25.1304
*END
*D_NET *186 0.00465239
*CONN
*I *1928:B1 I *D sky130_fd_sc_hd__a221o_1
*I *1925:X O *D sky130_fd_sc_hd__or2b_1
*CAP
1 *1928:B1 0
2 *1925:X 0.00152431
3 *186:15 0.00152431
4 *186:15 *1925:A 1.32552e-05
5 *186:15 *1925:B_N 8.71761e-05
6 *186:15 *1927:A_N 0.000318517
7 *186:15 *1928:A1 2.84026e-05
8 *186:15 *1928:C1 1.11453e-05
9 *186:15 *1932:A1 0.00052342
10 *186:15 *1933:B2 1.32056e-05
11 *186:15 *1936:A 0
12 *186:15 *1947:B 5.02919e-05
13 *186:15 *1951:B 0.000118344
14 *186:15 *1957:C 3.46113e-05
15 *186:15 *194:26 1.65558e-05
16 *186:15 *194:34 6.48314e-06
17 *186:15 *194:36 1.38247e-05
18 *186:15 *296:31 4.65158e-06
19 *1928:A2 *186:15 3.33174e-05
20 *2079:D *186:15 4.78056e-05
21 *2083:D *186:15 0.000139913
22 *2125:A *186:15 0.00014285
*RES
1 *1925:X *186:15 48.6929
2 *186:15 *1928:B1 9.3
*END
*D_NET *187 0.00145486
*CONN
*I *1928:B2 I *D sky130_fd_sc_hd__a221o_1
*I *1926:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *1928:B2 0.000445854
2 *1926:X 0.000445854
3 *1928:B2 *1928:A1 1.38106e-05
4 *1928:B2 *1928:C1 0.000136018
5 *1928:B2 *1932:A2 5.09271e-05
6 *1928:B2 *1932:B1 0
7 *1928:B2 *1933:B2 1.30241e-05
8 *1928:B2 *296:31 6.20855e-06
9 io_out[30] *1928:B2 0.000343166
*RES
1 *1926:X *1928:B2 35.4795
*END
*D_NET *188 0.00160046
*CONN
*I *1928:C1 I *D sky130_fd_sc_hd__a221o_1
*I *1927:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *1928:C1 0.00051814
2 *1927:X 0.00051814
3 *1928:C1 *1928:A1 4.80992e-05
4 *1928:C1 *1933:B2 0.000196287
5 *1928:C1 *2081:CLK 2.89114e-05
6 *1928:C1 *296:31 7.69776e-06
7 *1928:B2 *1928:C1 0.000136018
8 *2081:D *1928:C1 9.02262e-05
9 *2082:D *1928:C1 4.57949e-05
10 *186:15 *1928:C1 1.11453e-05
*RES
1 *1927:X *1928:C1 35.6714
*END
*D_NET *189 0.00143383
*CONN
*I *1932:A1 I *D sky130_fd_sc_hd__a21o_1
*I *1928:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *1932:A1 0.000262326
2 *1928:X 0.000262326
3 *1932:A1 *1936:A 2.37761e-05
4 *1932:A1 *1957:C 5.19842e-06
5 *1932:A1 *2081:CLK 3.57844e-05
6 *1932:A1 *194:34 0.000261227
7 *1932:A1 *326:28 5.97719e-05
8 *186:15 *1932:A1 0.00052342
*RES
1 *1928:X *1932:A1 34.9393
*END
*D_NET *190 0.00473141
*CONN
*I *1931:B1 I *D sky130_fd_sc_hd__a22o_1
*I *1930:A2 I *D sky130_fd_sc_hd__o22a_1
*I *1929:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *1931:B1 0.00059704
2 *1930:A2 3.97594e-05
3 *1929:Y 0.000672812
4 *190:8 0.00130961
5 *1930:A2 *1943:A_N 9.41642e-05
6 *1930:A2 *201:18 2.79421e-05
7 *1931:B1 *1931:A1 3.47793e-05
8 *1931:B1 *1931:B2 4.85033e-05
9 *1931:B1 *2083:CLK 3.97677e-05
10 *1931:B1 *2085:CLK 9.0145e-05
11 *1931:B1 *294:18 0.000296274
12 *1931:B1 *294:23 3.22325e-05
13 *190:8 *1922:A 4.57445e-05
14 *190:8 *1929:A 0.000358977
15 *190:8 *1949:A 3.27498e-05
16 *190:8 *1954:B 0.000125724
17 *190:8 *294:23 0.000397866
18 io_oeb[31] *190:8 2.52164e-05
19 io_out[32] *190:8 4.19624e-06
20 *1923:B1 *190:8 0
21 *1933:B1 *1931:B1 0.000321865
22 *1933:B1 *190:8 0.000125731
23 *2085:D *1931:B1 1.03105e-05
24 *182:8 *190:8 0
*RES
1 *1929:Y *190:8 26.55
2 *190:8 *1930:A2 14.7464
3 *190:8 *1931:B1 24.5143
*END
*D_NET *191 0.00431116
*CONN
*I *1932:A2 I *D sky130_fd_sc_hd__a21o_1
*I *1930:X O *D sky130_fd_sc_hd__o22a_1
*CAP
1 *1932:A2 0.000644387
2 *1930:X 0.000644387
3 *1932:A2 *1926:B 0.000264755
4 *1932:A2 *1930:B2 1.437e-05
5 *1932:A2 *1932:B1 0.00048788
6 *1932:A2 *1933:A1 4.28249e-05
7 *1932:A2 *1947:A_N 1.75172e-05
8 *1932:A2 *199:22 0.000499499
9 *1932:A2 *205:47 4.21536e-05
10 *1932:A2 *210:13 0.000171398
11 *1932:A2 *291:25 4.16984e-05
12 *1932:A2 *292:8 0.00024292
13 *1932:A2 *293:10 1.41295e-05
14 *1932:A2 *326:76 5.97623e-05
15 *1932:A2 *326:90 0.000237772
16 io_oeb[30] *1932:A2 0.000435928
17 io_out[30] *1932:A2 0.000308851
18 *1928:B2 *1932:A2 5.09271e-05
19 *2079:D *1932:A2 8.40933e-05
20 *2083:D *1932:A2 5.90443e-06
*RES
1 *1930:X *1932:A2 49.3321
*END
*D_NET *192 0.00316622
*CONN
*I *1932:B1 I *D sky130_fd_sc_hd__a21o_1
*I *1931:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *1932:B1 0.000643911
2 *1931:X 0.000643911
3 *1932:B1 *1926:A_N 1.02504e-05
4 *1932:B1 *1942:B1 9.07004e-05
5 *1932:B1 *1947:A_N 0.000517949
6 *1932:B1 *205:47 7.54868e-05
7 *1932:B1 *291:25 2.44318e-05
8 *1928:B2 *1932:B1 0
9 *1932:A2 *1932:B1 0.00048788
10 *2079:D *1932:B1 0.000444067
11 *2085:D *1932:B1 0.000227636
*RES
1 *1931:X *1932:B1 43.6536
*END
*D_NET *193 0.00270722
*CONN
*I *1933:B2 I *D sky130_fd_sc_hd__a221oi_4
*I *1932:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *1933:B2 0.00070521
2 *1932:X 0.00070521
3 *1933:B2 *1928:A1 0.000113072
4 *1933:B2 *1930:B2 1.21258e-05
5 *1933:B2 *1947:B 7.83587e-05
6 *1933:B2 *1951:A_N 1.40034e-05
7 *1933:B2 *194:26 5.58941e-05
8 *1933:B2 *199:22 0.000218685
9 *1933:B2 *326:90 0.000135028
10 io_out[30] *1933:B2 0.000400618
11 *1928:B2 *1933:B2 1.30241e-05
12 *1928:C1 *1933:B2 0.000196287
13 *1933:A2 *1933:B2 4.64982e-05
14 *186:15 *1933:B2 1.32056e-05
*RES
1 *1932:X *1933:B2 42.4036
*END
*D_NET *194 0.0128719
*CONN
*I *1951:C I *D sky130_fd_sc_hd__and3b_1
*I *1934:B I *D sky130_fd_sc_hd__xnor2_1
*I *1957:B I *D sky130_fd_sc_hd__and3_1
*I *1939:A I *D sky130_fd_sc_hd__and3_1
*I *1935:B I *D sky130_fd_sc_hd__and2b_1
*I *1955:A I *D sky130_fd_sc_hd__and3_1
*I *1947:C I *D sky130_fd_sc_hd__and3b_1
*I *1943:C I *D sky130_fd_sc_hd__and3b_1
*I *1933:Y O *D sky130_fd_sc_hd__a221oi_4
*CAP
1 *1951:C 0.000289183
2 *1934:B 0.000799019
3 *1957:B 0
4 *1939:A 0.000162849
5 *1935:B 0
6 *1955:A 0.000182255
7 *1947:C 0
8 *1943:C 0.000152944
9 *1933:Y 3.92359e-05
10 *194:66 0.000991532
11 *194:53 0.000736943
12 *194:36 0.000325345
13 *194:34 0.000804458
14 *194:26 0.000685343
15 *194:18 0.000930838
16 *194:5 0.00042828
17 *1934:B *1941:B 0
18 *1934:B *1948:A 0
19 *1934:B *1957:A 5.76151e-05
20 *1934:B *199:9 0
21 *1939:A *1939:C 0.000217746
22 *1939:A *1957:C 0.000358971
23 *1943:C *200:11 0.000458651
24 *1951:C *1951:A_N 0.000650846
25 *1951:C *1951:B 2.95076e-06
26 *1951:C *1952:A 5.52238e-05
27 *1951:C *200:11 0.000283055
28 *1955:A *1955:C 8.85781e-05
29 *194:18 *1951:A_N 0.000435885
30 *194:18 *200:11 0.000230265
31 *194:26 *1947:A_N 0.000269378
32 *194:26 *292:5 1.98839e-05
33 *194:26 *292:26 0.000597167
34 *194:26 *326:44 0.000201731
35 *194:26 *326:46 0.000116648
36 *194:34 *1936:A 6.98864e-05
37 *194:34 *2081:CLK 0.000144038
38 *194:34 *292:26 0.00022266
39 *194:36 *1935:A_N 0
40 *194:36 *1936:A 8.77823e-05
41 *194:36 *296:31 0
42 *194:53 *1939:C 0.000487543
43 *194:53 *1957:C 5.07511e-05
44 *194:66 *1939:C 0
45 *194:66 *1957:A 4.82521e-05
46 io_out[31] *1955:A 0.000186669
47 *1928:A2 *1955:A 6.85873e-05
48 *1928:A2 *194:36 7.2252e-05
49 *1932:A1 *194:34 0.000261227
50 *1933:A2 *1943:C 0.000241104
51 *1933:A2 *194:5 5.52238e-05
52 *1933:A2 *194:18 0.000137983
53 *1933:B2 *194:26 5.58941e-05
54 *2080:D *1951:C 0
55 *2081:D *1934:B 3.60839e-05
56 *2081:D *194:66 3.63281e-05
57 *24:8 *1951:C 0
58 *186:15 *194:26 1.65558e-05
59 *186:15 *194:34 6.48314e-06
60 *186:15 *194:36 1.38247e-05
*RES
1 *1933:Y *194:5 9.83571
2 *194:5 *1943:C 24.1929
3 *194:5 *194:18 11.4464
4 *194:18 *194:26 23.8036
5 *194:26 *1947:C 9.3
6 *194:26 *194:34 10.4464
7 *194:34 *194:36 3.46429
8 *194:36 *1955:A 18.1214
9 *194:36 *1935:B 13.8
10 *194:34 *194:53 11.6071
11 *194:53 *1939:A 14.5679
12 *194:53 *194:66 7.60714
13 *194:66 *1957:B 13.8
14 *194:66 *1934:B 27.925
15 *194:18 *1951:C 23.175
*END
*D_NET *195 0.00196225
*CONN
*I *1936:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1935:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *1936:A 0.000496284
2 *1935:X 0.000496284
3 *1936:A *1927:A_N 0
4 *1936:A *1935:A_N 0.000223771
5 *1936:A *1940:A 2.06112e-05
6 *1936:A *2081:CLK 0.000216304
7 *1936:A *291:25 0.000146395
8 *1936:A *326:28 0.00012401
9 *1932:A1 *1936:A 2.37761e-05
10 *2085:D *1936:A 5.71472e-05
11 *186:15 *1936:A 0
12 *194:34 *1936:A 6.98864e-05
13 *194:36 *1936:A 8.77823e-05
*RES
1 *1935:X *1936:A 39.4929
*END
*D_NET *196 0.000751122
*CONN
*I *1939:B I *D sky130_fd_sc_hd__and3_1
*I *1937:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *1939:B 0.000179657
2 *1937:X 0.000179657
3 *1939:B *1927:B 4.62539e-05
4 *1939:B *1935:A_N 0.000264663
5 *1939:B *1958:A 2.56601e-05
6 *1939:B *291:41 5.52302e-05
7 *2082:D *1939:B 0
*RES
1 *1937:X *1939:B 31.6
*END
*D_NET *197 0.00209069
*CONN
*I *1939:C I *D sky130_fd_sc_hd__and3_1
*I *1938:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *1939:C 0.000464065
2 *1938:Y 0.000464065
3 *1939:C *1938:A 9.64179e-05
4 *1939:C *1948:A 4.98872e-05
5 *1939:C *1957:C 0.000266658
6 *1939:A *1939:C 0.000217746
7 *2081:D *1939:C 4.43106e-05
8 *194:53 *1939:C 0.000487543
9 *194:66 *1939:C 0
*RES
1 *1938:Y *1939:C 39.0107
*END
*D_NET *198 0.00475509
*CONN
*I *1940:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1939:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *1940:A 0.00226977
2 *1939:X 0.00226977
3 *1940:A *1935:A_N 2.06112e-05
4 *1940:A *1951:B 0.000112516
5 *1940:A *1957:A 5.2908e-05
6 io_oeb[28] *1940:A 4.6025e-06
7 io_out[28] *1940:A 4.2985e-06
8 *1936:A *1940:A 2.06112e-05
9 *21:10 *1940:A 0
*RES
1 *1939:X *1940:A 46.9009
*END
*D_NET *199 0.0106128
*CONN
*I *1946:B I *D sky130_fd_sc_hd__or2_1
*I *1949:C I *D sky130_fd_sc_hd__and3_1
*I *1945:B I *D sky130_fd_sc_hd__and2_1
*I *1943:A_N I *D sky130_fd_sc_hd__and3b_1
*I *1941:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *1946:B 0
2 *1949:C 0.000135375
3 *1945:B 0.000338289
4 *1943:A_N 0.000347028
5 *1941:X 0.00069007
6 *199:32 0.00059143
7 *199:22 0.00135907
8 *199:9 0.00158435
9 *1943:A_N *200:11 5.52238e-05
10 *1943:A_N *201:18 7.02806e-05
11 *1945:B *1945:A 3.99958e-05
12 *1945:B *1954:A 0.000137983
13 *1945:B *1955:C 0.00026353
14 *1945:B *202:8 0.000230694
15 *1945:B *210:13 9.91086e-05
16 *1949:C *1954:B 0.000126435
17 *1949:C *205:26 3.06878e-06
18 *199:9 *1927:A_N 0.000412326
19 *199:9 *1941:B 4.25619e-05
20 *199:9 *1941:C 5.80706e-06
21 *199:9 *1946:A 0.000100685
22 *199:9 *1948:A 1.18358e-05
23 *199:22 *1930:B2 5.37941e-05
24 *199:22 *1942:B1 0.000464618
25 *199:22 *1946:A 0.000353631
26 *199:22 *292:8 8.5662e-05
27 *199:22 *293:10 0.000197006
28 *199:22 *294:8 8.53589e-05
29 *199:22 *294:18 0.000201098
30 *199:22 *295:10 0.000167961
31 *199:22 *296:11 4.50149e-05
32 *199:32 *201:18 0.000181796
33 *199:32 *210:13 0.000221156
34 *199:32 *296:40 0.000137983
35 io_oeb[30] *1945:B 9.91086e-05
36 io_oeb[30] *199:22 2.52164e-05
37 io_oeb[30] *199:32 0.000209476
38 *1930:A2 *1943:A_N 9.41642e-05
39 *1932:A2 *199:22 0.000499499
40 *1933:B2 *199:22 0.000218685
41 *1934:B *199:9 0
42 *2079:D *199:9 0.000183726
43 *2083:D *199:22 0.000442692
*RES
1 *1941:X *199:9 31.925
2 *199:9 *199:22 40.8393
3 *199:22 *1943:A_N 14.7643
4 *199:22 *199:32 9.125
5 *199:32 *1945:B 21.8536
6 *199:32 *1949:C 15.4518
7 *199:9 *1946:B 9.3
*END
*D_NET *200 0.00342359
*CONN
*I *1943:B I *D sky130_fd_sc_hd__and3b_1
*I *1942:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *1943:B 0
2 *1942:X 0.000935273
3 *200:11 0.000935273
4 *200:11 *1951:A_N 0.000137983
5 *200:11 *1951:B 3.27359e-05
6 *200:11 *1952:A 3.80385e-05
7 *1933:A2 *200:11 4.75961e-05
8 *1933:B1 *200:11 0
9 *1943:A_N *200:11 5.52238e-05
10 *1943:C *200:11 0.000458651
11 *1951:C *200:11 0.000283055
12 *2080:D *200:11 0.000269492
13 *126:11 *200:11 0
14 *194:18 *200:11 0.000230265
*RES
1 *1942:X *200:11 41.2821
2 *200:11 *1943:B 9.3
*END
*D_NET *201 0.00427649
*CONN
*I *1944:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1943:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *1944:A 0
2 *1943:X 0.00161555
3 *201:18 0.00161555
4 *201:18 *1930:B2 6.16271e-05
5 *201:18 *1953:B 0.000136682
6 *201:18 *1957:C 0
7 *201:18 *296:31 0.000226694
8 *201:18 *296:40 0.00014573
9 *1930:A2 *201:18 2.79421e-05
10 *1930:B1 *201:18 0.00019463
11 *1943:A_N *201:18 7.02806e-05
12 *199:32 *201:18 0.000181796
*RES
1 *1943:X *201:18 47.7821
2 *201:18 *1944:A 9.3
*END
*D_NET *202 0.00571367
*CONN
*I *1947:A_N I *D sky130_fd_sc_hd__and3b_1
*I *1950:B I *D sky130_fd_sc_hd__or2_1
*I *1945:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *1947:A_N 0.000366599
2 *1950:B 7.0862e-05
3 *1945:X 0.000452986
4 *202:8 0.000890447
5 *1947:A_N *1942:B1 0.000148196
6 *1947:A_N *1955:B 0
7 *1947:A_N *2083:CLK 0.000157618
8 *1947:A_N *205:47 0.000175897
9 *1947:A_N *293:10 0.000289152
10 *1947:A_N *326:44 0.000142952
11 *1947:A_N *326:90 0.000147034
12 *1950:B *2083:CLK 7.1959e-05
13 *1950:B *210:13 2.57017e-05
14 *1950:B *295:31 0.000265205
15 *202:8 *1929:A 7.32272e-05
16 *202:8 *1945:A 0.000184745
17 *202:8 *1954:A 0.000139913
18 *202:8 *1955:C 4.35452e-05
19 *202:8 *205:26 0.000145406
20 *202:8 *205:47 0.000144398
21 *202:8 *210:13 0.000142083
22 *202:8 *294:23 0.000137983
23 io_out[31] *1950:B 5.33005e-05
24 *1931:A2 *202:8 0
25 *1932:A2 *1947:A_N 1.75172e-05
26 *1932:B1 *1947:A_N 0.000517949
27 *1945:B *202:8 0.000230694
28 *25:15 *1947:A_N 1.94945e-05
29 *25:15 *202:8 0.000355991
30 *26:11 *202:8 3.34366e-05
31 *194:26 *1947:A_N 0.000269378
*RES
1 *1945:X *202:8 27.6036
2 *202:8 *1950:B 16.3893
3 *202:8 *1947:A_N 26.8357
*END
*D_NET *203 0.000561978
*CONN
*I *1947:B I *D sky130_fd_sc_hd__and3b_1
*I *1946:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *1947:B 0.00016035
2 *1946:X 0.00016035
3 *1947:B *1926:A_N 0
4 io_out[30] *1947:B 1.17968e-05
5 *1933:B2 *1947:B 7.83587e-05
6 *2079:D *1947:B 0.000100831
7 *186:15 *1947:B 5.02919e-05
*RES
1 *1946:X *1947:B 31.0643
*END
*D_NET *204 0.0022857
*CONN
*I *1948:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1947:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *1948:A 0.00063428
2 *1947:X 0.00063428
3 *1948:A *1938:B 5.33005e-05
4 *1948:A *1941:B 5.41794e-05
5 *1948:A *1941:C 7.6644e-05
6 *1948:A *1957:C 0.000241593
7 *1948:A *1958:A 0
8 *1948:A *292:26 8.43535e-06
9 *1948:A *292:34 0.000142801
10 *1948:A *292:48 0.000108535
11 *1948:A *326:44 0.00018077
12 *1934:B *1948:A 0
13 *1939:C *1948:A 4.98872e-05
14 *2082:D *1948:A 8.9163e-05
15 *199:9 *1948:A 1.18358e-05
*RES
1 *1947:X *1948:A 40.2964
*END
*D_NET *205 0.011802
*CONN
*I *1957:C I *D sky130_fd_sc_hd__and3_1
*I *1951:A_N I *D sky130_fd_sc_hd__and3b_1
*I *1953:B I *D sky130_fd_sc_hd__or2_1
*I *1954:B I *D sky130_fd_sc_hd__nand2_1
*I *1949:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *1957:C 0.00112968
2 *1951:A_N 0.0010317
3 *1953:B 3.81592e-05
4 *1954:B 0.000307724
5 *1949:X 3.72678e-05
6 *205:47 0.00261199
7 *205:26 0.000676983
8 *205:5 0.000533201
9 *1951:A_N *1928:A1 0.000123617
10 *1951:A_N *1930:B2 0.000180777
11 *1951:A_N *1946:A 0.000175039
12 *1951:A_N *1952:A 1.21258e-05
13 *1951:A_N *294:8 9.55303e-05
14 *1954:B *1923:A1 5.72212e-05
15 *1954:B *1923:B2 0
16 *1954:B *1949:A 0.000233159
17 *1957:C *1928:A1 0.000357884
18 *1957:C *1958:A 0
19 *205:26 *210:13 0.000146992
20 *205:47 *2083:CLK 1.94879e-05
21 *205:47 *210:13 0.000204702
22 *205:47 *296:21 1.74947e-05
23 *1928:A2 *1957:C 1.66856e-05
24 *1930:B1 *1953:B 0.000136682
25 *1931:A2 *205:47 0
26 *1932:A1 *1957:C 5.19842e-06
27 *1932:A2 *205:47 4.21536e-05
28 *1932:B1 *205:47 7.54868e-05
29 *1933:A2 *1951:A_N 0.000222666
30 *1933:B1 *1951:A_N 5.48376e-05
31 *1933:B2 *1951:A_N 1.40034e-05
32 *1939:A *1957:C 0.000358971
33 *1939:C *1957:C 0.000266658
34 *1947:A_N *205:47 0.000175897
35 *1948:A *1957:C 0.000241593
36 *1949:C *1954:B 0.000126435
37 *1949:C *205:26 3.06878e-06
38 *1951:C *1951:A_N 0.000650846
39 *2081:D *1957:C 0.000180951
40 *24:8 *1951:A_N 0
41 *25:15 *205:47 2.76387e-05
42 *186:15 *1957:C 3.46113e-05
43 *190:8 *1954:B 0.000125724
44 *194:18 *1951:A_N 0.000435885
45 *194:53 *1957:C 5.07511e-05
46 *200:11 *1951:A_N 0.000137983
47 *201:18 *1953:B 0.000136682
48 *201:18 *1957:C 0
49 *202:8 *205:26 0.000145406
50 *202:8 *205:47 0.000144398
*RES
1 *1949:X *205:5 9.83571
2 *205:5 *1954:B 24.8357
3 *205:5 *205:26 7.80357
4 *205:26 *1953:B 15.1571
5 *205:26 *205:47 17.8016
6 *205:47 *1951:A_N 40.4607
7 *205:47 *1957:C 36.1393
*END
*D_NET *206 0.00584074
*CONN
*I *1951:B I *D sky130_fd_sc_hd__and3b_1
*I *1950:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *1951:B 0.00235884
2 *1950:X 0.00235884
3 *1951:B *296:31 2.06112e-05
4 io_oeb[28] *1951:B 0
5 io_out[29] *1951:B 0.000780416
6 *1928:A2 *1951:B 9.59532e-06
7 *1940:A *1951:B 0.000112516
8 *1951:C *1951:B 2.95076e-06
9 *2080:D *1951:B 4.58919e-05
10 *186:15 *1951:B 0.000118344
11 *200:11 *1951:B 3.27359e-05
*RES
1 *1950:X *1951:B 46.9883
*END
*D_NET *207 0.00135726
*CONN
*I *1952:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1951:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *1952:A 0.000499834
2 *1951:X 0.000499834
3 *1952:A *1925:A 3.93986e-05
4 *1952:A *1926:A_N 0.000125731
5 *1952:A *1942:B1 8.70757e-05
6 *1951:A_N *1952:A 1.21258e-05
7 *1951:C *1952:A 5.52238e-05
8 *200:11 *1952:A 3.80385e-05
*RES
1 *1951:X *1952:A 34.7071
*END
*D_NET *208 0.00162392
*CONN
*I *1955:B I *D sky130_fd_sc_hd__and3_1
*I *1953:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *1955:B 0.000441926
2 *1953:X 0.000441926
3 *1955:B *2083:CLK 5.28442e-06
4 *1955:B *210:13 0.000391597
5 io_out[31] *1955:B 0.000154283
6 *1931:A2 *1955:B 0.000188901
7 *1947:A_N *1955:B 0
*RES
1 *1953:X *1955:B 34.7964
*END
*D_NET *209 0.00310287
*CONN
*I *1955:C I *D sky130_fd_sc_hd__and3_1
*I *1954:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *1955:C 0.00113841
2 *1954:Y 0.00113841
3 *1955:C *1924:A 7.83366e-05
4 *1955:C *1929:A 2.87555e-06
5 *1955:C *296:31 0
6 io_out[31] *1955:C 4.59075e-05
7 *369:DIODE *1955:C 0.00012379
8 *1928:A2 *1955:C 8.00806e-05
9 *1931:A2 *1955:C 0
10 *1945:B *1955:C 0.00026353
11 *1955:A *1955:C 8.85781e-05
12 *26:11 *1955:C 9.94037e-05
13 *202:8 *1955:C 4.35452e-05
*RES
1 *1954:Y *1955:C 44.3054
*END
*D_NET *210 0.00462108
*CONN
*I *1956:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1955:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *1956:A 0
2 *1955:X 0.000970607
3 *210:13 0.000970607
4 *210:13 *1922:A 5.52302e-05
5 *210:13 *1929:A 0.000121418
6 *210:13 *2083:CLK 0.000221815
7 io_oeb[30] *210:13 5.09265e-05
8 io_oeb[31] *210:13 0
9 io_out[31] *210:13 0.000223102
10 *1923:B1 *210:13 0.000492333
11 *1931:A2 *210:13 6.57815e-05
12 *1932:A2 *210:13 0.000171398
13 *1945:B *210:13 9.91086e-05
14 *1950:B *210:13 2.57017e-05
15 *1955:B *210:13 0.000391597
16 *26:11 *210:13 1.76224e-05
17 *126:11 *210:13 2.89016e-05
18 *199:32 *210:13 0.000221156
19 *202:8 *210:13 0.000142083
20 *205:26 *210:13 0.000146992
21 *205:47 *210:13 0.000204702
*RES
1 *1955:X *210:13 43.4964
2 *210:13 *1956:A 9.3
*END
*D_NET *211 0.00146157
*CONN
*I *1958:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1957:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *1958:A 0.000633788
2 *1957:X 0.000633788
3 *1958:A *1927:B 6.05161e-06
4 *1958:A *1935:A_N 0
5 *1939:B *1958:A 2.56601e-05
6 *1948:A *1958:A 0
7 *1957:C *1958:A 0
8 *2081:D *1958:A 0.000162283
9 *2082:D *1958:A 0
*RES
1 *1957:X *1958:A 36.3143
*END
*D_NET *212 0.000619822
*CONN
*I *1960:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1959:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *1960:A 0.000125421
2 *1959:X 0.000125421
3 *1960:A *1965:C 0.000181796
4 *1960:A *216:10 6.28241e-05
5 io_out[23] *1960:A 4.63028e-05
6 *129:11 *1960:A 7.80579e-05
*RES
1 *1959:X *1960:A 31.3143
*END
*D_NET *213 0.00487804
*CONN
*I *1963:B I *D sky130_fd_sc_hd__and3_1
*I *1961:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *1963:B 0
2 *1961:X 0.000875036
3 *213:11 0.000875036
4 *213:11 *1967:B 7.96208e-05
5 *213:11 *2070:B2 5.50747e-05
6 *213:11 *285:33 3.97677e-05
7 *213:11 *286:12 0.000624464
8 *213:11 *289:26 0.000144038
9 io_oeb[25] *213:11 5.33005e-05
10 io_oeb[27] *213:11 1.90936e-05
11 *2070:A2 *213:11 0.00134805
12 *2072:A1 *213:11 0.000441767
13 *177:8 *213:11 0.00032279
*RES
1 *1961:X *213:11 42.3
2 *213:11 *1963:B 9.3
*END
*D_NET *214 0.00547295
*CONN
*I *1963:C I *D sky130_fd_sc_hd__and3_1
*I *1962:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *1963:C 0
2 *1962:Y 0.00160279
3 *214:17 0.00160279
4 *214:17 *2070:B2 6.47668e-05
5 *214:17 *2071:A1 0.000256732
6 *214:17 *285:44 0
7 *214:17 *288:8 0.000282006
8 *214:17 *324:94 0.000197668
9 io_oeb[25] *214:17 0.000463986
10 io_out[26] *214:17 0
11 *1963:A *214:17 0.000227532
12 *2070:A2 *214:17 0.000406397
13 *2070:B1 *214:17 1.69961e-05
14 *2071:A2 *214:17 7.84738e-05
15 *20:11 *214:17 0
16 *177:8 *214:17 0.000104734
17 *181:26 *214:17 0.000168082
*RES
1 *1962:Y *214:17 48.3714
2 *214:17 *1963:C 9.3
*END
*D_NET *215 0.00615999
*CONN
*I *1964:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1963:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *1964:A 5.9402e-05
2 *1963:X 0.000973615
3 *215:8 0.00103302
4 *215:8 *1965:A 5.05693e-05
5 *215:8 *1965:B 0.000315023
6 *215:8 *2073:C1 3.57844e-05
7 *215:8 *220:10 0.000172996
8 *215:8 *284:6 7.03612e-05
9 *215:8 *287:10 0.00105652
10 *215:8 *287:37 0.00012789
11 io_oeb[23] *215:8 0
12 io_out[23] *215:8 0
13 *2070:A2 *215:8 0.000401764
14 *129:11 *1964:A 6.05161e-06
15 *129:11 *215:8 0.001857
*RES
1 *1963:X *215:8 46.2821
2 *215:8 *1964:A 14.7464
*END
*D_NET *216 0.0115519
*CONN
*I *1973:C I *D sky130_fd_sc_hd__and3_1
*I *1969:B I *D sky130_fd_sc_hd__and2_1
*I *1967:A_N I *D sky130_fd_sc_hd__and3b_1
*I *1970:B I *D sky130_fd_sc_hd__or2_1
*I *1965:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *1973:C 2.94175e-05
2 *1969:B 0.000823277
3 *1967:A_N 0.000175203
4 *1970:B 0.00073042
5 *1965:X 0.000633119
6 *216:40 0.00154373
7 *216:18 0.00113152
8 *216:10 0.00155006
9 *1967:A_N *1967:B 8.55871e-05
10 *1967:A_N *289:7 5.33005e-05
11 *1969:B *324:94 7.4607e-05
12 *1970:B *1970:A 0
13 *1970:B *1975:A_N 0.000174663
14 *1970:B *2070:B2 0
15 *1970:B *220:10 7.43578e-06
16 *1970:B *285:14 6.66682e-05
17 *1970:B *324:104 3.69047e-06
18 *1973:C *1969:A 7.69776e-06
19 *1973:C *2063:A1 2.06178e-05
20 *1973:C *222:10 5.7993e-06
21 *216:10 *1965:C 2.30453e-05
22 *216:10 *2069:A 7.92879e-05
23 *216:10 *2086:CLK 6.09572e-05
24 *216:10 *285:14 0.00013566
25 *216:18 *285:14 5.90443e-06
26 *216:40 *324:94 0.000233865
27 io_oeb[26] *216:10 2.17988e-05
28 io_oeb[26] *216:40 3.67537e-05
29 io_out[23] *216:10 5.90443e-06
30 io_out[26] *216:40 0.000712885
31 *361:DIODE *1969:B 9.59532e-06
32 *1960:A *216:10 6.28241e-05
33 *1975:C *1970:B 0.000219329
34 *2072:A2 *1970:B 6.01416e-05
35 *2072:B1 *216:10 7.5558e-05
36 *2072:B1 *216:18 0.000468568
37 *2073:B1 *216:10 1.12323e-05
38 *2073:B1 *216:18 0.000111519
39 *2073:B2 *216:18 0.000387677
40 *2086:D *216:10 0.000514848
41 *2089:D *1969:B 0.00014339
42 *2089:D *216:10 4.6392e-05
43 *2089:D *216:40 0.000261783
44 *2092:D *216:10 4.75911e-05
45 *19:13 *216:10 0.000502899
46 *20:11 *216:40 0
47 *21:10 *1970:B 0
48 *133:13 *1967:A_N 9.70065e-05
49 *133:13 *1970:B 1.42208e-05
50 *181:8 *1970:B 7.83659e-05
51 *181:26 *1967:A_N 6.05161e-06
*RES
1 *1965:X *216:10 29.3446
2 *216:10 *216:18 11.8125
3 *216:18 *1970:B 31.4964
4 *216:18 *1967:A_N 12.7107
5 *216:10 *216:40 5.47487
6 *216:40 *1969:B 19.019
7 *216:40 *1973:C 17.6832
*END
*D_NET *217 0.00367752
*CONN
*I *1967:B I *D sky130_fd_sc_hd__and3b_1
*I *1966:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *1967:B 0.00071077
2 *1966:X 0.00071077
3 *1967:B *2070:B2 0.000214371
4 *1967:B *286:12 0.000626179
5 *1967:B *289:26 0.000157557
6 *1967:A_N *1967:B 8.55871e-05
7 *2068:B2 *1967:B 0.000856724
8 *2072:A1 *1967:B 0.000191663
9 *2072:B1 *1967:B 4.98055e-06
10 *181:26 *1967:B 3.92984e-05
11 *213:11 *1967:B 7.96208e-05
*RES
1 *1966:X *1967:B 45.7071
*END
*D_NET *218 0.000925482
*CONN
*I *1968:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1967:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *1968:A 0.000231996
2 *1967:X 0.000231996
3 *1968:A *222:22 3.43988e-06
4 io_out[27] *1968:A 9.86303e-05
5 *2070:B1 *1968:A 0.000314787
6 *2088:D *1968:A 4.46309e-05
7 *181:49 *1968:A 0
*RES
1 *1967:X *1968:A 32.6179
*END
*D_NET *219 0.00666706
*CONN
*I *1971:A_N I *D sky130_fd_sc_hd__and3b_1
*I *1974:B I *D sky130_fd_sc_hd__or2_1
*I *1969:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *1971:A_N 0
2 *1974:B 0
3 *1969:X 0.000175354
4 *219:24 0.00185317
5 *219:8 0.00202852
6 *219:8 *1969:A 0.000187041
7 *219:8 *2110:A 0.000104974
8 *219:24 *1965:B 9.3111e-05
9 *219:24 *2067:A_N 0.000177343
10 *219:24 *2071:A1 0.000139208
11 *219:24 *2071:B2 1.03037e-05
12 *219:24 *2092:CLK 9.41642e-05
13 *219:24 *2110:A 0.000279043
14 *219:24 *220:10 0.000267682
15 *219:24 *221:16 0.000221031
16 *219:24 *284:9 1.00073e-05
17 *219:24 *324:128 0.000219711
18 io_oeb[23] *219:24 5.58941e-05
19 *361:DIODE *219:8 0
20 *361:DIODE *219:24 0
21 *2071:A2 *219:24 6.44139e-06
22 *2071:B1 *219:24 3.54964e-05
23 *2072:B1 *219:24 1.13412e-05
24 *16:21 *219:24 0.000651947
25 *129:11 *219:24 1.17968e-05
26 *181:64 *219:24 3.34783e-05
*RES
1 *1969:X *219:8 16.9071
2 *219:8 *1974:B 13.8
3 *219:8 *219:24 41.8214
4 *219:24 *1971:A_N 9.3
*END
*D_NET *220 0.00406699
*CONN
*I *1971:B I *D sky130_fd_sc_hd__and3b_1
*I *1970:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *1971:B 0
2 *1970:X 0.000890167
3 *220:10 0.000890167
4 *220:10 *1970:A 5.44322e-05
5 *220:10 *1976:A 1.21859e-05
6 *220:10 *2070:A1 1.05524e-05
7 *220:10 *287:10 1.18451e-05
8 *1970:B *220:10 7.43578e-06
9 *1975:C *220:10 7.10991e-05
10 *2072:A2 *220:10 6.20472e-05
11 *129:11 *220:10 0.00128591
12 *181:8 *220:10 0.000182383
13 *181:64 *220:10 0.000148084
14 *215:8 *220:10 0.000172996
15 *219:24 *220:10 0.000267682
*RES
1 *1970:X *220:10 42.9607
2 *220:10 *1971:B 9.3
*END
*D_NET *221 0.00524321
*CONN
*I *1972:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1971:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *1972:A 0.000228435
2 *1971:X 0.00149794
3 *221:16 0.00172637
4 *1972:A *1974:A 0.000189062
5 *221:16 *2067:A_N 5.49489e-05
6 *221:16 *2086:CLK 4.58194e-05
7 *221:16 *2110:A 0
8 io_out[23] *221:16 7.14511e-05
9 io_out[26] *221:16 0.000317906
10 *358:DIODE *1972:A 0.000208922
11 *2068:C1 *221:16 9.60875e-05
12 *2086:D *221:16 9.82585e-06
13 *19:27 *221:16 0.00035438
14 *181:64 *221:16 0.000221038
15 *219:24 *221:16 0.000221031
*RES
1 *1971:X *221:16 46.6214
2 *221:16 *1972:A 19.2643
*END
*D_NET *222 0.00861924
*CONN
*I *1978:B I *D sky130_fd_sc_hd__nand2_1
*I *1977:B I *D sky130_fd_sc_hd__or2_1
*I *1981:C I *D sky130_fd_sc_hd__and3_1
*I *1975:A_N I *D sky130_fd_sc_hd__and3b_1
*I *1973:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *1978:B 0.00044025
2 *1977:B 0
3 *1981:C 9.43453e-05
4 *1975:A_N 0.000492461
5 *1973:X 0.000213104
6 *222:22 0.00136779
7 *222:12 0.000834783
8 *222:10 0.000707158
9 *1975:A_N *1981:A 0.000477081
10 *1975:A_N *223:11 4.43256e-05
11 *1975:A_N *285:14 0.000144008
12 *1978:B *1978:A 0.000928108
13 *1978:B *1979:C 0.000309273
14 *1981:C *1981:A 4.33899e-05
15 *222:10 *1969:A 0.000124661
16 *222:10 *1974:A 0.000144949
17 *222:10 *1982:A 0
18 *222:10 *2063:A1 5.33005e-05
19 *222:10 *287:54 5.7248e-05
20 *222:10 *288:25 4.59075e-05
21 *222:10 *289:52 2.31791e-05
22 *222:12 *1977:A 0.000126439
23 *222:12 *289:52 3.34366e-05
24 *222:12 *324:78 2.14378e-05
25 *222:22 *1976:A 6.83773e-05
26 *222:22 *324:78 8.24047e-05
27 *222:22 *324:154 0.00012401
28 *222:22 *324:158 4.38243e-05
29 *1968:A *222:22 3.43988e-06
30 *1970:B *1975:A_N 0.000174663
31 *1973:C *222:10 5.7993e-06
32 *1975:C *1975:A_N 0.000142856
33 *2068:B1 *222:22 0
34 *2070:B1 *1981:C 3.57787e-05
35 *2070:B1 *222:22 1.49841e-05
36 *2072:A2 *1975:A_N 0.000139913
37 *2072:B1 *1975:A_N 0
38 *2073:B2 *1975:A_N 3.13099e-05
39 *19:27 *222:10 0.000239266
40 *19:27 *222:12 0.000202835
41 *19:27 *222:22 0.000319994
42 *20:11 *222:22 9.95793e-06
43 *181:20 *1975:A_N 0.000151191
44 *181:38 *1975:A_N 7.39252e-05
45 *181:38 *1981:C 2.8078e-05
*RES
1 *1973:X *222:10 19.5679
2 *222:10 *222:12 2.55357
3 *222:12 *222:22 17.3957
4 *222:22 *1975:A_N 31.0679
5 *222:22 *1981:C 11.2821
6 *222:12 *1977:B 13.8
7 *222:10 *1978:B 24.1036
*END
*D_NET *223 0.00450381
*CONN
*I *1975:B I *D sky130_fd_sc_hd__and3b_1
*I *1974:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *1975:B 0
2 *1974:X 0.00162503
3 *223:11 0.00162503
4 *223:11 *2062:A 0.000148707
5 *223:11 *2110:A 3.431e-05
6 *223:11 *324:158 0
7 *223:11 *324:159 6.70624e-05
8 *223:11 *324:165 0
9 io_oeb[27] *223:11 0.000179469
10 *1975:A_N *223:11 4.43256e-05
11 *2072:A1 *223:11 9.22103e-06
12 *2072:A2 *223:11 0.00044366
13 *2088:D *223:11 1.72894e-05
14 *2121:A *223:11 5.9483e-05
15 *19:27 *223:11 0.000250231
*RES
1 *1974:X *223:11 48.0679
2 *223:11 *1975:B 9.3
*END
*D_NET *224 0.00597784
*CONN
*I *1976:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1975:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *1976:A 0.00219842
2 *1975:X 0.00219842
3 io_oeb[23] *1976:A 0.000137699
4 io_oeb[27] *1976:A 0.000303836
5 io_out[27] *1976:A 0.000108225
6 *358:DIODE *1976:A 0
7 *1975:C *1976:A 2.06112e-05
8 *2092:D *1976:A 0.00021835
9 *16:21 *1976:A 1.69115e-05
10 *132:13 *1976:A 3.09981e-05
11 *172:18 *1976:A 0.000663814
12 *220:10 *1976:A 1.21859e-05
13 *222:22 *1976:A 6.83773e-05
*RES
1 *1975:X *1976:A 48.0118
*END
*D_NET *225 0.000918907
*CONN
*I *1979:B I *D sky130_fd_sc_hd__and3_1
*I *1977:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *1979:B 0.000257478
2 *1977:X 0.000257478
3 *2068:B1 *1979:B 9.60939e-05
4 *2088:D *1979:B 9.90477e-05
5 *132:13 *1979:B 1.32552e-05
6 *169:8 *1979:B 0.000195555
*RES
1 *1977:X *1979:B 32.3321
*END
*D_NET *226 0.00337538
*CONN
*I *1979:C I *D sky130_fd_sc_hd__and3_1
*I *1978:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *1979:C 0.000785844
2 *1978:Y 0.000785844
3 *1979:C *1980:A 0.000149966
4 *1979:C *2110:A 0
5 *1979:C *324:69 9.77423e-05
6 *1979:C *324:75 0.000135818
7 *357:DIODE *1979:C 0
8 *361:DIODE *1979:C 0.000100823
9 *1978:B *1979:C 0.000309273
10 *2121:A *1979:C 9.90367e-05
11 *16:21 *1979:C 6.39262e-05
12 *20:11 *1979:C 0.000592914
13 *133:13 *1979:C 0.000254189
*RES
1 *1978:Y *1979:C 44.2071
*END
*D_NET *227 0.00236252
*CONN
*I *1980:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1979:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *1980:A 0.000709693
2 *1979:X 0.000709693
3 *1980:A *324:69 2.12005e-05
4 *1980:A *324:75 0.000532228
5 io_out[27] *1980:A 0.000229456
6 *1979:C *1980:A 0.000149966
7 *2121:A *1980:A 0
8 *20:11 *1980:A 1.02821e-05
*RES
1 *1979:X *1980:A 39.7607
*END
*D_NET *228 0.00313669
*CONN
*I *1982:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1981:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *1982:A 0.000764485
2 *1981:X 0.000764485
3 *1982:A *2063:A1 0.000147332
4 *1982:A *287:54 0.000142358
5 *1982:A *309:11 0.000159252
6 *1982:A *324:78 0.000107389
7 *1982:A *324:154 0
8 *1982:A *324:158 0
9 *2068:B1 *1982:A 3.46442e-05
10 *16:21 *1982:A 0.000130363
11 *132:13 *1982:A 0.000513133
12 *133:13 *1982:A 3.69697e-05
13 *169:8 *1982:A 0.000196645
14 *181:49 *1982:A 0.000139632
15 *222:10 *1982:A 0
*RES
1 *1981:X *1982:A 45.5286
*END
*D_NET *229 0.00427901
*CONN
*I *1984:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1983:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *1984:A 0
2 *1983:X 0.00183138
3 *229:8 0.00183138
4 *229:8 *2034:A 0
5 *229:8 *2036:A 0
6 *229:8 *277:56 0.000616238
7 *229:8 *328:131 0
8 io_oeb[17] *229:8 0
9 *9:10 *229:8 0
*RES
1 *1983:X *229:8 47.9607
2 *229:8 *1984:A 13.8
*END
*D_NET *230 0.00571443
*CONN
*I *1987:B I *D sky130_fd_sc_hd__and3_1
*I *1985:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *1987:B 0.0001833
2 *1985:X 0.00100624
3 *230:26 0.00118954
4 *1987:B *232:19 0.000523267
5 *1987:B *239:30 4.58976e-05
6 *1987:B *282:45 0.000308669
7 *230:26 *1985:A 1.73088e-05
8 *230:26 *1995:A_N 0.000155985
9 *230:26 *1997:B 0.000189257
10 *230:26 *2006:A 1.26641e-05
11 *230:26 *2051:B_N 8.25114e-05
12 *230:26 *2056:B2 1.59373e-05
13 *230:26 *2057:B2 4.0318e-05
14 *230:26 *233:13 0.000141623
15 *230:26 *278:45 0.000262498
16 *230:26 *278:60 5.52238e-05
17 *230:26 *280:33 0.000159139
18 *230:26 *280:45 3.98517e-05
19 *230:26 *282:45 8.6229e-06
20 io_oeb[20] *1987:B 0.000137983
21 io_out[20] *230:26 0.000202044
22 *355:DIODE *230:26 2.89114e-05
23 *2056:B1 *230:26 0.000457177
24 *2095:D *230:26 2.11419e-05
25 *156:12 *230:26 0.000428902
26 *168:51 *230:26 4.06087e-07
*RES
1 *1985:X *230:26 44.7263
2 *230:26 *1987:B 15.6036
*END
*D_NET *231 0.0025845
*CONN
*I *1987:C I *D sky130_fd_sc_hd__and3_1
*I *1986:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *1987:C 0.00081169
2 *1986:Y 0.00081169
3 *1987:C *1986:B 5.33005e-05
4 *1987:C *2048:A 2.06112e-05
5 *1987:C *2055:A 0.0001873
6 *1987:C *239:30 1.95435e-05
7 *1987:C *282:45 2.0954e-05
8 *1987:C *327:9 8.43535e-06
9 *1987:C *327:17 0.000237394
10 io_oeb[20] *1987:C 4.51405e-05
11 *1987:A *1987:C 3.39574e-05
12 *2099:D *1987:C 0.000255659
13 *14:8 *1987:C 0
14 *168:20 *1987:C 7.88202e-05
*RES
1 *1986:Y *1987:C 39.9929
*END
*D_NET *232 0.00510581
*CONN
*I *1988:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1987:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *1988:A 0
2 *1987:X 0.00116376
3 *232:19 0.00116376
4 *232:19 *344:DIODE 0.000284766
5 *232:19 *1994:A 1.08359e-05
6 *232:19 *1994:B 0.000740956
7 *232:19 *1996:A 0.000217286
8 *232:19 *2094:CLK 3.47641e-06
9 *232:19 *282:22 4.06087e-07
10 *232:19 *282:45 4.01687e-05
11 *232:19 *324:31 0.000140414
12 *232:19 *324:63 5.52238e-05
13 io_oeb[19] *232:19 0.00067916
14 *1987:B *232:19 0.000523267
15 *2060:B *232:19 0
16 *2094:D *232:19 0
17 *2095:D *232:19 8.23182e-05
*RES
1 *1987:X *232:19 44.2464
2 *232:19 *1988:A 9.3
*END
*D_NET *233 0.00908169
*CONN
*I *1994:B I *D sky130_fd_sc_hd__or2_1
*I *1997:C I *D sky130_fd_sc_hd__and3_1
*I *1993:B I *D sky130_fd_sc_hd__and2_1
*I *1991:A_N I *D sky130_fd_sc_hd__and3b_1
*I *1989:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *1994:B 0.000273392
2 *1997:C 0
3 *1993:B 0.0002242
4 *1991:A_N 0.000242814
5 *1989:X 0.000796808
6 *233:28 0.000325973
7 *233:13 0.000930086
8 *233:9 0.0016557
9 *1991:A_N *1991:B 9.90367e-05
10 *1991:A_N *1992:A 0
11 *1991:A_N *2055:A 0
12 *1991:A_N *239:30 2.04825e-05
13 *1991:A_N *278:11 9.90367e-05
14 *1993:B *1993:A 0.000209906
15 *1994:B *1994:A 0.000674461
16 *1994:B *282:22 6.05265e-05
17 *233:9 *1989:C 5.53997e-05
18 *233:9 *2054:A1 2.11419e-05
19 *233:9 *278:60 0.000149503
20 *233:9 *278:71 3.11502e-05
21 *233:9 *279:28 0.00109544
22 *233:9 *282:45 6.26413e-05
23 *233:13 *2006:A 0.000369493
24 *233:13 *282:45 0.000384711
25 *233:28 *1992:A 0
26 *233:28 *1993:A 4.11553e-06
27 *233:28 *239:30 4.41198e-05
28 io_oeb[20] *1991:A_N 4.0191e-05
29 io_out[18] *233:9 1.02504e-05
30 io_out[20] *1991:A_N 0
31 *156:12 *233:9 0.000143439
32 *156:12 *233:13 0.000149157
33 *157:11 *1994:B 2.59355e-05
34 *230:26 *233:13 0.000141623
35 *232:19 *1994:B 0.000740956
*RES
1 *1989:X *233:9 20.4471
2 *233:9 *233:13 5.1866
3 *233:13 *1991:A_N 18.0946
4 *233:13 *233:28 6.49107
5 *233:28 *1993:B 13.4786
6 *233:28 *1997:C 9.3
7 *233:9 *1994:B 27.4332
*END
*D_NET *234 0.00087867
*CONN
*I *1991:B I *D sky130_fd_sc_hd__and3b_1
*I *1990:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *1991:B 0.000289384
2 *1990:X 0.000289384
3 *1991:B *2055:A 9.60875e-05
4 *1991:B *278:11 0.000104779
5 *1991:A_N *1991:B 9.90367e-05
*RES
1 *1990:X *1991:B 22.4214
*END
*D_NET *235 0.00269912
*CONN
*I *1992:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1991:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *1992:A 0.000799688
2 *1991:X 0.000799688
3 *1992:A *1995:B 0.00010096
4 *1992:A *239:11 0
5 *1992:A *239:30 0
6 *1992:A *278:11 5.11852e-05
7 io_out[20] *1992:A 0.00080396
8 *1991:A_N *1992:A 0
9 *157:11 *1992:A 0.000143635
10 *233:28 *1992:A 0
*RES
1 *1991:X *1992:A 43.6179
*END
*D_NET *236 0.00271601
*CONN
*I *1995:A_N I *D sky130_fd_sc_hd__and3b_1
*I *1998:B I *D sky130_fd_sc_hd__or2_1
*I *1993:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *1995:A_N 0.000122338
2 *1998:B 0.000139203
3 *1993:X 0.000310102
4 *236:11 0.000571643
5 *1995:A_N *344:DIODE 5.33005e-05
6 *1995:A_N *2006:A 0.000205636
7 *1995:A_N *282:45 5.33005e-05
8 *1998:B *1998:A 1.33343e-05
9 *1998:B *2006:A 0.000144684
10 *236:11 *1993:A 0.000253294
11 *236:11 *1997:B 0.000306546
12 *236:11 *239:7 5.52302e-05
13 *236:11 *280:15 4.91967e-05
14 io_out[20] *1995:A_N 1.44954e-05
15 *2097:D *1995:A_N 2.09413e-05
16 *2097:D *1998:B 0.000144684
17 *2097:D *236:11 5.33005e-05
18 *2098:D *1998:B 4.87953e-05
19 *230:26 *1995:A_N 0.000155985
*RES
1 *1993:X *236:11 20.2286
2 *236:11 *1998:B 17.1214
3 *236:11 *1995:A_N 17.1929
*END
*D_NET *237 0.00259608
*CONN
*I *1995:B I *D sky130_fd_sc_hd__and3b_1
*I *1994:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *1995:B 0.000572877
2 *1994:X 0.000572877
3 *1995:B *2098:CLK 6.84431e-05
4 *1995:B *280:10 0.000191065
5 *1995:B *327:20 7.99093e-06
6 io_out[20] *1995:B 0
7 *1992:A *1995:B 0.00010096
8 *2060:B *1995:B 0
9 *2095:D *1995:B 0
10 *157:11 *1995:B 0.000400867
11 *168:51 *1995:B 0.000122085
12 *168:55 *1995:B 0.000558919
*RES
1 *1994:X *1995:B 41.8679
*END
*D_NET *238 0.00394196
*CONN
*I *1996:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1995:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *1996:A 0.00126921
2 *1995:X 0.00126921
3 *1996:A *278:60 8.50971e-06
4 *1996:A *279:7 5.49544e-05
5 *1996:A *281:32 8.23728e-05
6 *1996:A *324:13 0.00022266
7 *1996:A *324:21 0.000133497
8 *1996:A *324:31 1.57523e-05
9 *1996:A *324:58 0
10 *2057:B1 *1996:A 0.000306082
11 *2059:B2 *1996:A 9.34561e-05
12 *156:12 *1996:A 0.000268984
13 *157:14 *1996:A 0
14 *232:19 *1996:A 0.000217286
*RES
1 *1995:X *1996:A 47.2429
*END
*D_NET *239 0.00769799
*CONN
*I *2001:B I *D sky130_fd_sc_hd__or2_1
*I *2002:B I *D sky130_fd_sc_hd__nand2_1
*I *1999:A_N I *D sky130_fd_sc_hd__and3b_1
*I *2005:C I *D sky130_fd_sc_hd__and3_1
*I *1997:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *2001:B 0
2 *2002:B 0.00069523
3 *1999:A_N 0.000354142
4 *2005:C 0
5 *1997:X 1.16062e-05
6 *239:30 0.000959856
7 *239:11 0.00101891
8 *239:7 0.000941002
9 *1999:A_N *2000:A 4.94743e-05
10 *1999:A_N *2005:A 0.000185643
11 *2002:B *1986:A 2.01732e-05
12 *2002:B *2002:A 0.000416886
13 *2002:B *2003:C 8.00806e-05
14 *2002:B *2006:A 4.30537e-05
15 *2002:B *2055:A 0.000307992
16 *2002:B *282:45 9.71197e-05
17 *2002:B *310:13 5.52238e-05
18 *239:7 *1993:A 5.52302e-05
19 *239:11 *2000:A 0.000128641
20 *239:11 *2048:A 0
21 *239:11 *2055:A 0
22 *239:30 *2055:A 0
23 *239:30 *282:45 0.000412083
24 io_oeb[20] *2002:B 0.000334394
25 io_oeb[20] *239:30 0.000437756
26 io_out[21] *2002:B 2.89016e-05
27 io_out[22] *2002:B 0
28 *356:DIODE *2002:B 0.000141994
29 *1987:B *239:30 4.58976e-05
30 *1987:C *239:30 1.95435e-05
31 *1991:A_N *239:30 2.04825e-05
32 *1992:A *239:11 0
33 *1992:A *239:30 0
34 *1999:C *1999:A_N 0.000181796
35 *2099:D *2002:B 2.63195e-05
36 *2115:A *2002:B 2.06112e-05
37 *13:22 *2002:B 0.000200985
38 *15:9 *2002:B 6.46457e-05
39 *18:20 *2002:B 2.03081e-05
40 *168:81 *1999:A_N 0.00022266
41 *233:28 *239:30 4.41198e-05
42 *236:11 *239:7 5.52302e-05
*RES
1 *1997:X *239:7 14.3357
2 *239:7 *239:11 13.2054
3 *239:11 *2005:C 9.3
4 *239:11 *1999:A_N 15.7375
5 *239:7 *239:30 12.4107
6 *239:30 *2002:B 45.1393
7 *239:30 *2001:B 9.3
*END
*D_NET *240 0.00176561
*CONN
*I *1999:B I *D sky130_fd_sc_hd__and3b_1
*I *1998:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *1999:B 0.00050269
2 *1998:X 0.00050269
3 *1999:B *1998:A 0.000310292
4 *1999:B *2005:A 0.000112503
5 *2060:B *1999:B 9.87983e-06
6 *2094:D *1999:B 0.000239276
7 *2095:D *1999:B 2.07158e-05
8 *168:81 *1999:B 6.75674e-05
*RES
1 *1998:X *1999:B 36.6357
*END
*D_NET *241 0.00125768
*CONN
*I *2000:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1999:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *2000:A 0.000303808
2 *1999:X 0.000303808
3 *2000:A *2005:A 9.76491e-05
4 *2000:A *2006:A 5.52238e-05
5 *1999:A_N *2000:A 4.94743e-05
6 *1999:C *2000:A 0
7 *2097:D *2000:A 0.000180066
8 *168:62 *2000:A 0.000139009
9 *239:11 *2000:A 0.000128641
*RES
1 *1999:X *2000:A 26.1536
*END
*D_NET *242 0.000462965
*CONN
*I *2003:B I *D sky130_fd_sc_hd__and3_1
*I *2001:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *2003:B 0.000106042
2 *2001:X 0.000106042
3 *2003:B *2055:A 9.41642e-05
4 *357:DIODE *2003:B 7.83587e-05
5 *17:14 *2003:B 7.83587e-05
*RES
1 *2001:X *2003:B 29.5821
*END
*D_NET *243 0.00225021
*CONN
*I *2003:C I *D sky130_fd_sc_hd__and3_1
*I *2002:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *2003:C 0.000631689
2 *2002:Y 0.000631689
3 *2003:C *1986:B 4.02783e-05
4 *356:DIODE *2003:C 0.000226513
5 *2002:B *2003:C 8.00806e-05
6 *13:22 *2003:C 0.000561598
7 *15:9 *2003:C 7.83659e-05
*RES
1 *2002:Y *2003:C 36.8589
*END
*D_NET *244 0.00102987
*CONN
*I *2004:A I *D sky130_fd_sc_hd__clkbuf_1
*I *2003:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *2004:A 0.000292161
2 *2003:X 0.000292161
3 io_oeb[24] *2004:A 0.000150586
4 *2099:D *2004:A 8.00806e-05
5 *18:20 *2004:A 0.000214882
*RES
1 *2003:X *2004:A 33.85
*END
*D_NET *245 0.00533622
*CONN
*I *2006:A I *D sky130_fd_sc_hd__clkbuf_1
*I *2005:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *2006:A 0.00117765
2 *2005:X 0.00117765
3 *2006:A *1986:A 0.000175727
4 *2006:A *2048:A 0.000793197
5 *2006:A *277:13 0.000277919
6 *2006:A *282:45 7.36575e-05
7 *1995:A_N *2006:A 0.000205636
8 *1998:B *2006:A 0.000144684
9 *2000:A *2006:A 5.52238e-05
10 *2002:B *2006:A 4.30537e-05
11 *2097:D *2006:A 0.000122417
12 *18:20 *2006:A 2.31845e-05
13 *156:12 *2006:A 6.8787e-05
14 *168:51 *2006:A 7.93513e-05
15 *168:55 *2006:A 0.00053593
16 *230:26 *2006:A 1.26641e-05
17 *233:13 *2006:A 0.000369493
*RES
1 *2005:X *2006:A 46.203
*END
*D_NET *246 0.00467366
*CONN
*I *2008:A I *D sky130_fd_sc_hd__clkbuf_1
*I *2007:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *2008:A 0.000870299
2 *2007:X 0.000870299
3 *2008:A *2015:B 0.000178847
4 *2008:A *2016:A 0.000186765
5 *2008:A *2030:A 0.000168733
6 *2008:A *2045:A1 5.33433e-05
7 *2008:A *2045:A2 0.000135028
8 *2008:A *2103:CLK 5.33005e-05
9 *2008:A *255:20 0.000242355
10 *2008:A *270:24 0.000565406
11 *2008:A *270:56 0.000265205
12 *2008:A *271:22 0.000155475
13 *2008:A *275:28 0.0005878
14 *2008:A *328:173 4.4984e-05
15 *2045:B2 *2008:A 5.33005e-05
16 *2101:D *2008:A 0.000218521
17 *155:50 *2008:A 2.39999e-05
*RES
1 *2007:X *2008:A 49.7429
*END
*D_NET *247 0.00668353
*CONN
*I *2011:B I *D sky130_fd_sc_hd__and3_1
*I *2009:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *2011:B 0.00315865
2 *2009:X 0.00315865
3 *2011:B *2038:A_N 0
4 io_oeb[14] *2011:B 3.81452e-05
5 io_out[11] *2011:B 0
6 io_out[14] *2011:B 0.000132869
7 *2103:D *2011:B 2.11419e-05
8 *3:10 *2011:B 0.000163888
9 *151:8 *2011:B 1.01912e-05
*RES
1 *2009:X *2011:B 48.3216
*END
*D_NET *248 0.00529154
*CONN
*I *2011:C I *D sky130_fd_sc_hd__and3_1
*I *2010:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *2011:C 0.00175886
2 *2010:Y 0.00175886
3 *2011:C *351:DIODE 0
4 *2011:C *2021:B 3.17736e-05
5 *2011:C *2023:A_N 0.000289808
6 *2011:C *2030:A 0
7 *2011:C *2038:A_N 0.000336667
8 *2011:C *2040:B2 1.08359e-05
9 *2011:C *256:22 0.000111339
10 *2011:C *258:20 2.72602e-05
11 *2011:C *272:19 2.29026e-05
12 io_oeb[11] *2011:C 0.000248873
13 io_out[11] *2011:C 2.89699e-05
14 *2011:A *2011:C 0.00010096
15 *2046:B *2011:C 0
16 *3:10 *2011:C 0
17 *4:15 *2011:C 0.000154589
18 *147:18 *2011:C 0.000409839
19 *155:78 *2011:C 0
*RES
1 *2010:Y *2011:C 47.3471
*END
*D_NET *249 0.00505436
*CONN
*I *2012:A I *D sky130_fd_sc_hd__clkbuf_1
*I *2011:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *2012:A 0
2 *2011:X 0.000852845
3 *249:11 0.000852845
4 *249:11 *351:DIODE 4.59164e-05
5 *249:11 *2009:A 0.000241892
6 *249:11 *2021:A 0.000662168
7 *249:11 *2037:A 0.00032962
8 *249:11 *2041:A 6.86792e-05
9 *249:11 *271:22 2.59877e-05
10 *249:11 *273:40 0.000742424
11 *2042:A2 *249:11 0.000389656
12 *2103:D *249:11 4.14545e-05
13 *7:13 *249:11 6.86792e-05
14 *151:8 *249:11 0.00073219
*RES
1 *2011:X *249:11 44.8179
2 *249:11 *2012:A 9.3
*END
*D_NET *250 0.00754046
*CONN
*I *2017:B I *D sky130_fd_sc_hd__and2_1
*I *2018:B I *D sky130_fd_sc_hd__or2_1
*I *2021:C I *D sky130_fd_sc_hd__and3_1
*I *2015:A_N I *D sky130_fd_sc_hd__and3b_1
*I *2013:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *2017:B 0.00030484
2 *2018:B 4.06094e-05
3 *2021:C 0.000703598
4 *2015:A_N 1.90968e-05
5 *2013:X 0.000112747
6 *250:24 0.00117758
7 *250:10 0.000809977
8 *250:5 0.000732071
9 *2015:A_N *270:24 9.71197e-05
10 *2015:A_N *275:28 9.90431e-05
11 *2017:B *2016:A 5.52302e-05
12 *2017:B *2041:A 0.000489441
13 *2017:B *258:20 0.000122935
14 *2018:B *2018:A 1.97695e-05
15 *2018:B *2043:B2 5.52238e-05
16 *2021:C *2023:A_N 2.06178e-05
17 *2021:C *2038:B 5.04767e-05
18 *2021:C *2040:A2 0
19 *2021:C *256:17 8.34949e-05
20 *2021:C *258:20 2.61076e-05
21 *2021:C *270:23 4.4943e-05
22 *2021:C *270:24 0.000135028
23 *2021:C *272:19 0
24 *2021:C *275:28 0.000123617
25 *2021:C *328:36 0.000114837
26 *250:10 *2028:A 0.000154762
27 *250:10 *265:13 4.00025e-05
28 *250:24 *2015:B 3.22095e-05
29 *250:24 *2019:B 3.69047e-06
30 *250:24 *2028:A 0.000180446
31 *250:24 *258:20 0.000369389
32 *250:24 *265:13 0.000180017
33 *250:24 *267:9 0
34 *250:24 *275:28 0
35 *250:24 *275:38 4.46186e-06
36 io_out[12] *2021:C 0
37 *2027:A *250:24 0.000183713
38 *2043:B1 *250:24 2.09776e-05
39 *4:15 *2021:C 0.000495327
40 *5:13 *250:24 8.50152e-05
41 *7:13 *2017:B 0.000276793
42 *147:18 *250:5 1.22845e-05
43 *147:18 *250:10 9.85396e-06
44 *155:12 *2021:C 5.31158e-05
*RES
1 *2013:X *250:5 10.6571
2 *250:5 *250:10 9.53571
3 *250:10 *250:24 24.0714
4 *250:24 *2015:A_N 10.2464
5 *250:24 *2021:C 32.4964
6 *250:10 *2018:B 14.7643
7 *250:5 *2017:B 27.3179
*END
*D_NET *251 0.00171919
*CONN
*I *2015:B I *D sky130_fd_sc_hd__and3b_1
*I *2014:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *2015:B 0.000573339
2 *2014:X 0.000573339
3 *2015:B *2014:B1 0.000337065
4 *2015:B *258:20 1.09026e-05
5 *2015:B *267:9 0
6 *2015:B *275:28 0
7 *2008:A *2015:B 0.000178847
8 *149:18 *2015:B 1.34876e-05
9 *155:66 *2015:B 0
10 *250:24 *2015:B 3.22095e-05
*RES
1 *2014:X *2015:B 35.9929
*END
*D_NET *252 0.00248004
*CONN
*I *2016:A I *D sky130_fd_sc_hd__clkbuf_1
*I *2015:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *2016:A 0.000717046
2 *2015:X 0.000717046
3 *2016:A *2013:C 4.51379e-05
4 *2016:A *2045:C1 7.54868e-05
5 *2016:A *258:20 0
6 *2016:A *274:10 0.000565701
7 io_oeb[12] *2016:A 0
8 io_oeb[13] *2016:A 1.50289e-05
9 *2008:A *2016:A 0.000186765
10 *2017:B *2016:A 5.52302e-05
11 *2027:A *2016:A 0
12 *2104:D *2016:A 3.09506e-05
13 *7:13 *2016:A 2.89114e-05
14 *147:18 *2016:A 4.27381e-05
15 *155:12 *2016:A 0
*RES
1 *2015:X *2016:A 41.5643
*END
*D_NET *253 0.00279851
*CONN
*I *2022:B I *D sky130_fd_sc_hd__or2_1
*I *2019:A_N I *D sky130_fd_sc_hd__and3b_1
*I *2017:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *2022:B 0.000165873
2 *2019:A_N 0.000317295
3 *2017:X 7.70325e-05
4 *253:7 0.0005602
5 *2019:A_N *2013:B 9.80173e-05
6 *2019:A_N *255:20 0.00013226
7 *2019:A_N *264:6 0.000474963
8 *2019:A_N *271:21 0.000167436
9 *2022:B *2017:A 1.95045e-05
10 *2022:B *2022:A 0
11 *2022:B *264:6 0.00021438
12 *253:7 *2041:A 9.41642e-05
13 *144:11 *2019:A_N 0.000477385
*RES
1 *2017:X *253:7 14.7464
2 *253:7 *2019:A_N 23.8179
3 *253:7 *2022:B 17.1929
*END
*D_NET *254 0.000646241
*CONN
*I *2019:B I *D sky130_fd_sc_hd__and3b_1
*I *2018:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *2019:B 0.000214011
2 *2018:X 0.000214011
3 *2019:B *255:20 1.03105e-05
4 *2019:B *258:20 7.83659e-05
5 *2019:B *265:13 8.00806e-05
6 *2027:A *2019:B 4.57718e-05
7 *250:24 *2019:B 3.69047e-06
*RES
1 *2018:X *2019:B 30.8143
*END
*D_NET *255 0.00384788
*CONN
*I *2020:A I *D sky130_fd_sc_hd__clkbuf_1
*I *2019:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *2020:A 0
2 *2019:X 0.00117211
3 *255:20 0.00117211
4 *255:20 *2009:B 4.30584e-06
5 *255:20 *2041:A 0.000434841
6 *255:20 *2104:CLK 9.64029e-05
7 *255:20 *271:21 6.45547e-05
8 *255:20 *328:173 1.38247e-05
9 *255:20 *328:182 9.84932e-05
10 *2008:A *255:20 0.000242355
11 *2019:A_N *255:20 0.00013226
12 *2019:B *255:20 1.03105e-05
13 *2019:C *255:20 5.49544e-05
14 *2027:A *255:20 6.50222e-05
15 *2101:D *255:20 0.000169197
16 *7:13 *255:20 0.000117133
*RES
1 *2019:X *255:20 43.1036
2 *255:20 *2020:A 9.3
*END
*D_NET *256 0.0070627
*CONN
*I *2023:A_N I *D sky130_fd_sc_hd__and3b_1
*I *2026:B I *D sky130_fd_sc_hd__nand2_1
*I *2025:B I *D sky130_fd_sc_hd__or2_1
*I *2029:C I *D sky130_fd_sc_hd__and3_1
*I *2021:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *2023:A_N 0.000207953
2 *2026:B 0.000198645
3 *2025:B 0.000134914
4 *2029:C 9.23629e-05
5 *2021:X 0.000650968
6 *256:29 0.000403554
7 *256:22 0.000907254
8 *256:17 0.00139125
9 *2023:A_N *2040:A2 2.04825e-05
10 *2025:B *2029:A 0
11 *2025:B *2030:A 1.01912e-05
12 *2026:B *2027:B 0.000127246
13 *2026:B *275:50 1.33343e-05
14 *2026:B *328:120 0.000434527
15 *256:17 *2038:A_N 5.49489e-05
16 *256:17 *2038:B 0.000211154
17 *256:17 *2040:A2 3.43016e-05
18 *256:17 *328:36 0.000142905
19 *256:22 *346:DIODE 9.90431e-05
20 *256:22 *328:120 0.000359967
21 *256:29 *2039:A_N 9.80576e-05
22 io_out[11] *2023:A_N 5.74842e-05
23 io_out[11] *256:17 2.11419e-05
24 io_out[12] *256:17 0
25 *2007:B *2029:C 4.5813e-05
26 *2007:B *256:29 2.68549e-05
27 *2011:C *2023:A_N 0.000289808
28 *2011:C *256:22 0.000111339
29 *2021:C *2023:A_N 2.06178e-05
30 *2021:C *256:17 8.34949e-05
31 *2045:B2 *2023:A_N 5.33005e-05
32 *2045:B2 *256:17 0.000149119
33 *2045:B2 *256:22 0.000218305
34 *2046:B *256:22 0
35 *4:15 *256:17 4.34538e-05
36 *5:13 *2026:B 9.60939e-05
37 *155:55 *256:17 2.74352e-05
38 *155:66 *2023:A_N 1.90936e-05
39 *155:66 *256:17 8.08603e-05
40 *155:66 *256:22 0.000125423
41 *155:78 *2025:B 0
42 *155:78 *2026:B 0
43 *155:78 *256:22 0
*RES
1 *2021:X *256:17 39.3714
2 *256:17 *256:22 16.2857
3 *256:22 *256:29 7.23214
4 *256:29 *2029:C 10.925
5 *256:29 *2025:B 21.4607
6 *256:22 *2026:B 20.3357
7 *256:17 *2023:A_N 27.1173
*END
*D_NET *257 0.00389204
*CONN
*I *2023:B I *D sky130_fd_sc_hd__and3b_1
*I *2022:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *2023:B 0.000830228
2 *2022:X 0.000830228
3 *2023:B *2013:B 0.000357774
4 *2023:B *2017:A 5.99363e-05
5 *2023:B *2021:B 0.000367445
6 *2023:B *2022:A 0.000283359
7 *2023:B *258:20 0.000167961
8 *2023:B *270:56 8.76717e-05
9 *2023:B *275:28 9.95533e-05
10 io_oeb[13] *2023:B 0.000145603
11 *2045:B2 *2023:B 0.000264153
12 *147:18 *2023:B 0.000398128
*RES
1 *2022:X *2023:B 48.5286
*END
*D_NET *258 0.00477587
*CONN
*I *2024:A I *D sky130_fd_sc_hd__clkbuf_1
*I *2023:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *2024:A 2.01984e-05
2 *2023:X 0.000931171
3 *258:20 0.00095137
4 *2024:A *2041:A 2.59355e-05
5 *258:20 *2021:B 0.000254303
6 *258:20 *2042:A1 0.000447951
7 *258:20 *2042:B2 0
8 *258:20 *265:13 0.000268109
9 *258:20 *272:8 9.60939e-05
10 io_oeb[12] *258:20 0.000367785
11 *2011:C *258:20 2.72602e-05
12 *2015:B *258:20 1.09026e-05
13 *2016:A *258:20 0
14 *2017:B *258:20 0.000122935
15 *2019:B *258:20 7.83659e-05
16 *2021:C *258:20 2.61076e-05
17 *2023:B *258:20 0.000167961
18 *2042:A2 *258:20 0.00021766
19 *7:13 *2024:A 2.89114e-05
20 *147:18 *258:20 5.68977e-06
21 *149:18 *258:20 7.69776e-06
22 *155:12 *258:20 0.00019658
23 *155:50 *258:20 0.000153489
24 *250:24 *258:20 0.000369389
*RES
1 *2023:X *258:20 47.3357
2 *258:20 *2024:A 14.3357
*END
*D_NET *259 0.00140673
*CONN
*I *2027:B I *D sky130_fd_sc_hd__and3_1
*I *2025:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *2027:B 0.000477288
2 *2025:X 0.000477288
3 *2027:B *2036:A 5.37741e-06
4 *2027:B *328:120 3.39092e-05
5 *2026:B *2027:B 0.000127246
6 *5:13 *2027:B 0.000285619
*RES
1 *2025:X *2027:B 35.2071
*END
*D_NET *260 0.000662355
*CONN
*I *2027:C I *D sky130_fd_sc_hd__and3_1
*I *2026:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *2027:C 0.000215312
2 *2026:Y 0.000215312
3 *2027:C *275:38 0.000113151
4 *2027:C *275:50 1.24368e-05
5 *2027:A *2027:C 0.000106143
*RES
1 *2026:Y *2027:C 21.6714
*END
*D_NET *261 0.00135156
*CONN
*I *2028:A I *D sky130_fd_sc_hd__clkbuf_1
*I *2027:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *2028:A 0.000289544
2 *2027:X 0.000289544
3 *2028:A *265:13 0.000315179
4 *5:13 *2028:A 0.000122081
5 *250:10 *2028:A 0.000154762
6 *250:24 *2028:A 0.000180446
*RES
1 *2027:X *2028:A 34.2607
*END
*D_NET *262 0.00561406
*CONN
*I *2030:A I *D sky130_fd_sc_hd__clkbuf_1
*I *2029:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *2030:A 0.00196233
2 *2029:X 0.00196233
3 *2030:A *271:22 2.74981e-06
4 *2030:A *272:19 1.8115e-05
5 *2030:A *328:173 1.59312e-05
6 io_oeb[12] *2030:A 0.000320839
7 io_out[12] *2030:A 0.0009112
8 *2008:A *2030:A 0.000168733
9 *2011:C *2030:A 0
10 *2025:B *2030:A 1.01912e-05
11 *2043:B1 *2030:A 4.29267e-05
12 *5:13 *2030:A 0
13 *149:18 *2030:A 0.000185857
14 *155:78 *2030:A 1.28585e-05
*RES
1 *2029:X *2030:A 41.1813
*END
*D_NET *263 0.000471524
*CONN
*I *2032:A I *D sky130_fd_sc_hd__clkbuf_1
*I *2031:X O *D sky130_fd_sc_hd__mux4_1
*CAP
1 *2032:A 9.39383e-05
2 *2031:X 9.39383e-05
3 *2032:A *2031:A1 7.43578e-06
4 *2032:A *2031:A3 0.000276211
*RES
1 *2031:X *2032:A 21.1893
*END
*D_NET *264 0.00563371
*CONN
*I *2035:A2 I *D sky130_fd_sc_hd__o22a_1
*I *2045:A2 I *D sky130_fd_sc_hd__a221oi_4
*I *2033:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *2035:A2 0.000400707
2 *2045:A2 0.000161157
3 *2033:Y 0.000531656
4 *264:6 0.00109352
5 *2035:A2 *2021:B 6.12335e-05
6 *2035:A2 *2043:A2 7.17136e-05
7 *2035:A2 *2045:B1 0.000524323
8 *2035:A2 *265:13 5.52302e-05
9 *2035:A2 *274:11 0.000143984
10 *2045:A2 *2045:B1 0.000120504
11 *264:6 *273:40 1.09232e-05
12 io_oeb[15] *264:6 5.7897e-05
13 io_out[13] *264:6 0.00071997
14 *2008:A *2045:A2 0.000135028
15 *2019:A_N *264:6 0.000474963
16 *2022:B *264:6 0.00021438
17 *2043:B1 *2035:A2 0.00014285
18 *2100:D *2045:A2 0.00018912
19 *2100:D *264:6 0.000309293
20 *2106:D *2035:A2 8.33504e-05
21 *144:11 *2045:A2 3.14783e-05
22 *144:11 *264:6 0.000100426
23 *151:8 *264:6 0
*RES
1 *2033:Y *264:6 30.0143
2 *264:6 *2045:A2 17.7107
3 *264:6 *2035:A2 24.3357
*END
*D_NET *265 0.00312278
*CONN
*I *2043:A2 I *D sky130_fd_sc_hd__a22o_1
*I *2035:B1 I *D sky130_fd_sc_hd__o22a_1
*I *2034:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *2043:A2 0.000144136
2 *2035:B1 0
3 *2034:Y 0.000535383
4 *265:13 0.00067952
5 *2043:A2 *2043:A1 0.000454077
6 *2043:A2 *274:11 4.08637e-05
7 *265:13 *2034:A 7.43578e-06
8 *265:13 *2035:B2 1.62539e-05
9 *265:13 *274:11 1.98839e-05
10 *265:13 *275:38 0
11 *2019:B *265:13 8.00806e-05
12 *2028:A *265:13 0.000315179
13 *2035:A2 *2043:A2 7.17136e-05
14 *2035:A2 *265:13 5.52302e-05
15 *2043:B1 *2043:A2 0.000134652
16 *2043:B1 *265:13 1.90936e-05
17 *5:13 *265:13 1.78465e-05
18 *6:11 *265:13 4.33002e-05
19 *250:10 *265:13 4.00025e-05
20 *250:24 *265:13 0.000180017
21 *258:20 *265:13 0.000268109
*RES
1 *2034:Y *265:13 31.1571
2 *265:13 *2035:B1 9.3
3 *265:13 *2043:A2 14.8
*END
*D_NET *266 0.00208135
*CONN
*I *2045:B1 I *D sky130_fd_sc_hd__a221oi_4
*I *2035:X O *D sky130_fd_sc_hd__o22a_1
*CAP
1 *2045:B1 0.000551052
2 *2035:X 0.000551052
3 *2045:B1 *2045:C1 0.000181795
4 *2035:A2 *2045:B1 0.000524323
5 *2045:A2 *2045:B1 0.000120504
6 *2100:D *2045:B1 1.11775e-05
7 *144:11 *2045:B1 0.000141445
*RES
1 *2035:X *2045:B1 37.6179
*END
*D_NET *267 0.00453062
*CONN
*I *2042:B1 I *D sky130_fd_sc_hd__o22a_1
*I *2040:A2 I *D sky130_fd_sc_hd__a221o_1
*I *2036:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *2042:B1 0
2 *2040:A2 0.00104925
3 *2036:Y 0.000558356
4 *267:9 0.00160761
5 *2040:A2 *2038:A_N 3.87877e-05
6 *2040:A2 *2038:B 0.000267577
7 *2040:A2 *2039:A_N 0
8 *2040:A2 *2040:B2 0.000105922
9 *267:9 *2014:B1 0.000272371
10 *267:9 *2043:B2 0
11 *267:9 *271:38 0.000151061
12 *267:9 *275:28 0
13 *267:9 *275:38 0
14 *267:9 *328:120 0
15 io_out[11] *2040:A2 1.28585e-05
16 *2015:B *267:9 0
17 *2021:C *2040:A2 0
18 *2023:A_N *2040:A2 2.04825e-05
19 *2044:A1 *2040:A2 9.01258e-06
20 *2044:A2 *2040:A2 0
21 *2044:B1 *2040:A2 0
22 *2044:B1 *267:9 0.000308736
23 *155:66 *2040:A2 9.42964e-05
24 *250:24 *267:9 0
25 *256:17 *2040:A2 3.43016e-05
*RES
1 *2036:Y *267:9 29.8179
2 *267:9 *2040:A2 33.3045
3 *267:9 *2042:B1 9.3
*END
*D_NET *268 0.00212411
*CONN
*I *2040:B1 I *D sky130_fd_sc_hd__a221o_1
*I *2037:X O *D sky130_fd_sc_hd__or2b_1
*CAP
1 *2040:B1 0.000608534
2 *2037:X 0.000608534
3 *2040:B1 *2040:B2 8.36507e-05
4 *2040:B1 *275:9 0.000172105
5 *2040:B1 *321:11 0.00055389
6 io_oeb[10] *2040:B1 1.90303e-05
7 io_out[10] *2040:B1 7.83659e-05
*RES
1 *2037:X *2040:B1 39.8857
*END
*D_NET *269 0.00133021
*CONN
*I *2040:B2 I *D sky130_fd_sc_hd__a221o_1
*I *2038:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *2040:B2 0.000340149
2 *2038:X 0.000340149
3 *2040:B2 *2021:B 5.48376e-05
4 *2040:B2 *2038:A_N 0.000259843
5 *2040:B2 *2040:A1 1.76039e-05
6 *2011:C *2040:B2 1.08359e-05
7 *2040:A2 *2040:B2 0.000105922
8 *2040:B1 *2040:B2 8.36507e-05
9 *2044:A1 *2040:B2 5.52302e-05
10 *147:18 *2040:B2 7.80714e-06
11 *149:18 *2040:B2 5.41794e-05
*RES
1 *2038:X *2040:B2 43.2607
*END
*D_NET *270 0.00979915
*CONN
*I *2009:A I *D sky130_fd_sc_hd__or2_1
*I *2013:A I *D sky130_fd_sc_hd__and3_1
*I *2007:A_N I *D sky130_fd_sc_hd__and2b_1
*I *2014:A1 I *D sky130_fd_sc_hd__a21o_1
*I *2010:A I *D sky130_fd_sc_hd__nand2_1
*I *2038:B I *D sky130_fd_sc_hd__and2b_1
*I *2100:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2009:A 0.000470015
2 *2013:A 3.92105e-05
3 *2007:A_N 0
4 *2014:A1 0
5 *2010:A 0.000186159
6 *2038:B 0.00050782
7 *2100:Q 0
8 *270:56 0.000858459
9 *270:31 0.000319198
10 *270:24 0.000339747
11 *270:23 0.000666079
12 *270:5 0.000617957
13 *2010:A *2010:B 5.12038e-05
14 *2010:A *2014:A2 0
15 *2010:A *2014:B1 5.7661e-06
16 *2010:A *271:38 1.74352e-05
17 *2010:A *271:40 7.77751e-05
18 *2013:A *2013:B 1.39841e-05
19 *2013:A *2013:C 5.33005e-05
20 *2038:B *2038:A_N 4.73286e-05
21 *2038:B *2042:A1 5.41195e-05
22 *2038:B *271:38 0.000221251
23 *270:23 *2042:A1 9.54798e-06
24 *270:23 *2045:C1 0
25 *270:24 *275:28 0.000136749
26 *270:31 *2039:A_N 0.000126759
27 *270:31 *2039:B 0
28 *270:56 *2013:B 0.000269521
29 *270:56 *2013:C 0.000674957
30 *270:56 *2021:B 0.000234268
31 *270:56 *275:28 0.000134409
32 io_out[12] *2038:B 1.4174e-05
33 io_out[12] *270:23 2.33472e-05
34 *2007:B *270:24 7.32173e-05
35 *2007:B *270:31 5.33433e-05
36 *2008:A *270:24 0.000565406
37 *2008:A *270:56 0.000265205
38 *2015:A_N *270:24 9.71197e-05
39 *2021:C *2038:B 5.04767e-05
40 *2021:C *270:23 4.4943e-05
41 *2021:C *270:24 0.000135028
42 *2023:B *270:56 8.76717e-05
43 *2040:A2 *2038:B 0.000267577
44 *2044:B1 *270:31 0
45 *2103:D *2009:A 0.00038277
46 *2104:D *2009:A 0.000469765
47 *2104:D *2013:A 5.71472e-05
48 *4:15 *2038:B 0.000337909
49 *149:18 *270:24 0.000106133
50 *149:18 *270:31 1.56e-05
51 *151:8 *2009:A 7.08306e-05
52 *155:12 *270:23 5.27703e-06
53 *155:66 *2038:B 9.01396e-05
54 *249:11 *2009:A 0.000241892
55 *256:17 *2038:B 0.000211154
*RES
1 *2100:Q *270:5 13.8
2 *270:5 *2038:B 33.8893
3 *270:5 *270:23 6.44643
4 *270:23 *270:24 7.51786
5 *270:24 *270:31 11.8929
6 *270:31 *2010:A 12.7464
7 *270:31 *2014:A1 9.3
8 *270:24 *2007:A_N 9.3
9 *270:23 *270:56 20.9464
10 *270:56 *2013:A 10.2464
11 *270:56 *2009:A 28.8714
*END
*D_NET *271 0.0121746
*CONN
*I *2009:B I *D sky130_fd_sc_hd__or2_1
*I *2014:A2 I *D sky130_fd_sc_hd__a21o_1
*I *2039:B I *D sky130_fd_sc_hd__and2b_1
*I *2010:B I *D sky130_fd_sc_hd__nand2_1
*I *2037:A I *D sky130_fd_sc_hd__or2b_1
*I *2013:B I *D sky130_fd_sc_hd__and3_1
*I *2101:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2009:B 0.000276033
2 *2014:A2 1.50043e-05
3 *2039:B 0.000132683
4 *2010:B 0.000129592
5 *2037:A 0.000347063
6 *2013:B 0.00033205
7 *2101:Q 0
8 *271:40 0.000312339
9 *271:38 0.00117595
10 *271:22 0.00182524
11 *271:21 0.00103448
12 *271:4 0.000723197
13 *2009:B *2041:A 9.46491e-05
14 *2013:B *2013:C 1.85621e-05
15 *2013:B *274:10 0.000142498
16 *2014:A2 *2014:B1 3.99614e-06
17 *2037:A *351:DIODE 0
18 *2037:A *321:11 0.000246817
19 *2039:B *2039:A_N 0
20 *2039:B *328:120 0.000244876
21 *271:22 *275:9 0
22 *271:22 *321:11 3.72174e-05
23 *271:38 *2014:B1 6.26078e-05
24 *271:38 *2100:CLK 2.84026e-05
25 *271:38 *272:19 1.74352e-05
26 *271:38 *328:9 8.40933e-05
27 io_oeb[10] *2037:A 0.000358101
28 io_oeb[13] *2013:B 6.60156e-05
29 *2008:A *271:22 0.000155475
30 *2010:A *2010:B 5.12038e-05
31 *2010:A *2014:A2 0
32 *2010:A *271:38 1.74352e-05
33 *2010:A *271:40 7.77751e-05
34 *2013:A *2013:B 1.39841e-05
35 *2019:A_N *2013:B 9.80173e-05
36 *2019:A_N *271:21 0.000167436
37 *2023:B *2013:B 0.000357774
38 *2030:A *271:22 2.74981e-06
39 *2038:B *271:38 0.000221251
40 *2042:A2 *271:22 0.000389656
41 *2042:A2 *271:38 0.000508146
42 *2044:A2 *271:38 9.90431e-05
43 *2101:D *2009:B 0.000453105
44 *2101:D *271:22 8.2018e-05
45 *2104:D *2013:B 4.58194e-05
46 *151:8 *2009:B 0.000649692
47 *151:8 *271:22 0.0002301
48 *249:11 *2037:A 0.00032962
49 *249:11 *271:22 2.59877e-05
50 *255:20 *2009:B 4.30584e-06
51 *255:20 *271:21 6.45547e-05
52 *267:9 *271:38 0.000151061
53 *270:31 *2039:B 0
54 *270:56 *2013:B 0.000269521
*RES
1 *2101:Q *271:4 9.3
2 *271:4 *2013:B 26.5321
3 *271:4 *271:21 9.14286
4 *271:21 *271:22 8.92857
5 *271:22 *2037:A 24.55
6 *271:22 *271:38 22.4464
7 *271:38 *271:40 1.35714
8 *271:40 *2010:B 11.4964
9 *271:40 *2039:B 21.4607
10 *271:38 *2014:A2 9.72857
11 *271:21 *2009:B 22.9607
*END
*D_NET *272 0.00671212
*CONN
*I *2040:A1 I *D sky130_fd_sc_hd__a221o_1
*I *2013:C I *D sky130_fd_sc_hd__and3_1
*I *2042:B2 I *D sky130_fd_sc_hd__o22a_1
*I *2014:B1 I *D sky130_fd_sc_hd__a21o_1
*I *2102:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2040:A1 0.000123262
2 *2013:C 0.000500968
3 *2042:B2 2.23854e-05
4 *2014:B1 0.00013076
5 *2102:Q 0
6 *272:19 0.000569476
7 *272:8 0.00122025
8 *272:4 0.000426217
9 *2013:C *2021:B 5.42585e-05
10 *2013:C *2045:C1 0.000143875
11 *2013:C *274:10 0.000452247
12 *272:8 *2021:B 0.000308194
13 io_oeb[11] *272:8 4.28249e-05
14 io_out[12] *2013:C 3.4309e-05
15 io_out[12] *272:8 0.00011759
16 *2010:A *2014:B1 5.7661e-06
17 *2011:C *272:19 2.29026e-05
18 *2013:A *2013:C 5.33005e-05
19 *2013:B *2013:C 1.85621e-05
20 *2014:A2 *2014:B1 3.99614e-06
21 *2015:B *2014:B1 0.000337065
22 *2016:A *2013:C 4.51379e-05
23 *2021:C *272:19 0
24 *2030:A *272:19 1.8115e-05
25 *2040:B2 *2040:A1 1.76039e-05
26 *4:15 *272:19 0.000199942
27 *147:18 *2040:A1 0.000100823
28 *149:18 *2040:A1 2.05938e-05
29 *149:18 *272:19 0.000506513
30 *155:50 *2040:A1 4.58194e-05
31 *155:50 *272:8 4.58976e-05
32 *258:20 *2042:B2 0
33 *258:20 *272:8 9.60939e-05
34 *267:9 *2014:B1 0.000272371
35 *270:56 *2013:C 0.000674957
36 *271:38 *2014:B1 6.26078e-05
37 *271:38 *272:19 1.74352e-05
*RES
1 *2102:Q *272:4 9.3
2 *272:4 *272:8 10.4911
3 *272:8 *272:19 13.1978
4 *272:19 *2014:B1 13.9607
5 *272:19 *2042:B2 9.72857
6 *272:8 *2013:C 25.8268
7 *272:4 *2040:A1 20.5857
*END
*D_NET *273 0.0119366
*CONN
*I *2042:A1 I *D sky130_fd_sc_hd__o22a_1
*I *2021:A I *D sky130_fd_sc_hd__and3_1
*I *2017:A I *D sky130_fd_sc_hd__and2_1
*I *2018:A I *D sky130_fd_sc_hd__or2_1
*I *2043:B2 I *D sky130_fd_sc_hd__a22o_1
*I *2103:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2042:A1 0.000635227
2 *2021:A 0.000554443
3 *2017:A 0.000151343
4 *2018:A 6.14945e-05
5 *2043:B2 0.000429852
6 *2103:Q 0
7 *273:40 0.00171899
8 *273:27 0.00105265
9 *273:7 0.000665626
10 *273:4 0.000546257
11 *2017:A *2022:A 5.64742e-05
12 *2021:A *351:DIODE 0.000103558
13 *2021:A *328:18 0.000215719
14 *2021:A *328:20 0.00028894
15 *2021:A *328:32 5.32888e-05
16 *2021:A *328:36 0.000114577
17 *2021:A *328:163 7.80167e-05
18 *2043:B2 *2036:A 0.000274294
19 *2043:B2 *275:50 5.33978e-05
20 *2043:B2 *328:120 7.13095e-05
21 *2043:B2 *328:131 2.19778e-05
22 *273:40 *2103:CLK 1.39726e-05
23 *273:40 *328:163 0.000294836
24 io_oeb[12] *2043:B2 0.000662705
25 io_oeb[12] *273:7 0.000125547
26 io_out[12] *2042:A1 2.30866e-05
27 io_out[13] *273:40 1.39148e-05
28 *2018:B *2018:A 1.97695e-05
29 *2018:B *2043:B2 5.52238e-05
30 *2022:B *2017:A 1.95045e-05
31 *2023:B *2017:A 5.99363e-05
32 *2038:B *2042:A1 5.41195e-05
33 *2042:A2 *2042:A1 0.000414289
34 *2100:D *2042:A1 0.000121259
35 *2100:D *273:40 0.000159916
36 *2103:D *273:40 0.00013788
37 *2105:D *2021:A 9.14355e-05
38 *144:11 *2017:A 0.00026305
39 *147:18 *273:27 0.000385699
40 *249:11 *2021:A 0.000662168
41 *249:11 *273:40 0.000742424
42 *258:20 *2042:A1 0.000447951
43 *264:6 *273:40 1.09232e-05
44 *267:9 *2043:B2 0
45 *270:23 *2042:A1 9.54798e-06
*RES
1 *2103:Q *273:4 9.3
2 *273:4 *273:7 3.625
3 *273:7 *2043:B2 29.675
4 *273:7 *2018:A 10.5321
5 *273:4 *273:27 4.64286
6 *273:27 *2017:A 22.3714
7 *273:27 *273:40 16.9286
8 *273:40 *2021:A 27.8893
9 *273:40 *2042:A1 32.8179
*END
*D_NET *274 0.00827057
*CONN
*I *2022:A I *D sky130_fd_sc_hd__or2_1
*I *2021:B I *D sky130_fd_sc_hd__and3_1
*I *2035:B2 I *D sky130_fd_sc_hd__o22a_1
*I *2043:A1 I *D sky130_fd_sc_hd__a22o_1
*I *2104:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2022:A 0.00020076
2 *2021:B 0.00074943
3 *2035:B2 2.82558e-05
4 *2043:A1 0.000342365
5 *2104:Q 0
6 *274:11 0.000783281
7 *274:10 0.00153127
8 *274:4 0.000569939
9 *2021:B *2038:A_N 5.37941e-05
10 *2021:B *328:36 9.10302e-05
11 *2035:B2 *275:28 4.1331e-06
12 *2035:B2 *275:38 1.87955e-05
13 io_oeb[11] *2021:B 3.98524e-05
14 io_oeb[13] *274:10 6.38844e-06
15 *2011:A *2021:B 0.000165653
16 *2011:C *2021:B 3.17736e-05
17 *2013:B *274:10 0.000142498
18 *2013:C *2021:B 5.42585e-05
19 *2013:C *274:10 0.000452247
20 *2016:A *274:10 0.000565701
21 *2017:A *2022:A 5.64742e-05
22 *2022:B *2022:A 0
23 *2023:B *2021:B 0.000367445
24 *2023:B *2022:A 0.000283359
25 *2035:A2 *2021:B 6.12335e-05
26 *2035:A2 *274:11 0.000143984
27 *2040:B2 *2021:B 5.48376e-05
28 *2043:A2 *2043:A1 0.000454077
29 *2043:A2 *274:11 4.08637e-05
30 *2043:B1 *2035:B2 2.21972e-05
31 *2043:B1 *2043:A1 1.32552e-05
32 *2104:D *274:10 0.000108514
33 *258:20 *2021:B 0.000254303
34 *265:13 *2035:B2 1.62539e-05
35 *265:13 *274:11 1.98839e-05
36 *270:56 *2021:B 0.000234268
37 *272:8 *2021:B 0.000308194
*RES
1 *2104:Q *274:4 9.3
2 *274:4 *274:10 18.5893
3 *274:10 *274:11 5.05357
4 *274:11 *2043:A1 14.3714
5 *274:11 *2035:B2 19.0321
6 *274:10 *2021:B 34.3536
7 *274:4 *2022:A 22.6036
*END
*D_NET *275 0.00854006
*CONN
*I *2026:A I *D sky130_fd_sc_hd__nand2_1
*I *2025:A I *D sky130_fd_sc_hd__or2_1
*I *2029:A I *D sky130_fd_sc_hd__and3_1
*I *2035:A1 I *D sky130_fd_sc_hd__o22a_1
*I *2045:A1 I *D sky130_fd_sc_hd__a221oi_4
*I *2105:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2026:A 0
2 *2025:A 0
3 *2029:A 0.000396889
4 *2035:A1 0
5 *2045:A1 6.12204e-05
6 *2105:Q 0.000491333
7 *275:50 0.000680561
8 *275:38 0.000496793
9 *275:28 0.00122398
10 *275:9 0.00156342
11 *275:9 *321:11 0.000180793
12 *275:50 *328:120 0.000103267
13 *2008:A *2045:A1 5.33433e-05
14 *2008:A *275:28 0.0005878
15 *2015:A_N *275:28 9.90431e-05
16 *2015:B *275:28 0
17 *2021:C *275:28 0.000123617
18 *2023:B *275:28 9.95533e-05
19 *2025:B *2029:A 0
20 *2026:B *275:50 1.33343e-05
21 *2027:A *275:38 0.000271345
22 *2027:C *275:38 0.000113151
23 *2027:C *275:50 1.24368e-05
24 *2035:B2 *275:28 4.1331e-06
25 *2035:B2 *275:38 1.87955e-05
26 *2040:B1 *275:9 0.000172105
27 *2043:B1 *275:28 0
28 *2043:B2 *275:50 5.33978e-05
29 *5:13 *275:38 0.000491925
30 *5:13 *275:50 3.9846e-05
31 *147:18 *275:28 9.78386e-05
32 *149:18 *275:28 0.000221628
33 *155:5 *275:28 0.000434578
34 *155:50 *275:28 9.60939e-05
35 *155:78 *2029:A 6.22185e-05
36 *250:24 *275:28 0
37 *250:24 *275:38 4.46186e-06
38 *265:13 *275:38 0
39 *267:9 *275:28 0
40 *267:9 *275:38 0
41 *270:24 *275:28 0.000136749
42 *270:56 *275:28 0.000134409
43 *271:22 *275:9 0
*RES
1 *2105:Q *275:9 27.7643
2 *275:9 *2045:A1 10.6571
3 *275:9 *275:28 33.25
4 *275:28 *2035:A1 13.8
5 *275:28 *275:38 11.3929
6 *275:38 *275:50 14.3214
7 *275:50 *2029:A 25.175
8 *275:50 *2025:A 9.3
9 *275:38 *2026:A 9.3
*END
*D_NET *276 0.000825195
*CONN
*I *2045:C1 I *D sky130_fd_sc_hd__a221oi_4
*I *2106:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2045:C1 0.000212019
2 *2106:Q 0.000212019
3 *2013:C *2045:C1 0.000143875
4 *2016:A *2045:C1 7.54868e-05
5 *2045:B1 *2045:C1 0.000181795
6 *155:12 *2045:C1 0
7 *270:23 *2045:C1 0
*RES
1 *2106:Q *2045:C1 31.3143
*END
*D_NET *277 0.0124128
*CONN
*I *2052:B I *D sky130_fd_sc_hd__and2b_1
*I *1989:A I *D sky130_fd_sc_hd__and3_1
*I *1985:A I *D sky130_fd_sc_hd__or2_1
*I *1983:A_N I *D sky130_fd_sc_hd__and2b_1
*I *1990:A1 I *D sky130_fd_sc_hd__a21o_1
*I *1986:A I *D sky130_fd_sc_hd__nand2_1
*I *2093:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2052:B 0.000273445
2 *1989:A 0
3 *1985:A 6.92545e-05
4 *1983:A_N 0
5 *1990:A1 0.000148273
6 *1986:A 0.000323087
7 *2093:Q 3.10251e-05
8 *277:72 0.000547572
9 *277:56 0.00105695
10 *277:43 0.00108705
11 *277:13 0.00201868
12 *277:5 0.00195183
13 *1985:A *2051:B_N 1.74352e-05
14 *1986:A *1986:B 0.000141372
15 *1986:A *310:13 0
16 *1990:A1 *1986:B 5.52302e-05
17 *1990:A1 *278:11 1.74352e-05
18 *2052:B *2053:A_N 0
19 *2052:B *278:71 0
20 *2052:B *324:7 9.83388e-05
21 *277:5 *278:45 5.52302e-05
22 *277:13 *2094:CLK 1.78394e-05
23 *277:13 *278:45 0.000178847
24 *277:13 *282:45 0.000416263
25 *277:43 *1990:B1 0
26 *277:43 *1994:A 5.97527e-05
27 *277:43 *2056:B2 0
28 *277:43 *281:32 0.000147332
29 *277:43 *282:22 0.000510574
30 *277:43 *282:76 6.35864e-05
31 *277:43 *324:58 0
32 *277:72 *1989:C 8.24842e-05
33 *277:72 *278:60 4.11218e-05
34 *277:72 *278:71 5.74562e-05
35 io_oeb[18] *1985:A 0.000186662
36 io_oeb[18] *277:72 0
37 io_oeb[19] *277:13 4.11173e-05
38 io_oeb[21] *1986:A 0
39 io_out[18] *2052:B 5.018e-05
40 io_out[18] *277:72 2.11053e-05
41 *355:DIODE *1985:A 0.000230475
42 *355:DIODE *277:56 0
43 *1983:B *277:43 5.71472e-05
44 *1983:B *277:56 0.000130317
45 *2002:B *1986:A 2.01732e-05
46 *2003:A *1990:A1 6.30931e-05
47 *2006:A *1986:A 0.000175727
48 *2006:A *277:13 0.000277919
49 *2054:B1 *2052:B 9.23828e-06
50 *2054:B1 *277:72 0
51 *2056:A2 *277:43 3.92854e-05
52 *2058:A1 *277:56 0
53 *2058:A2 *277:43 0
54 *2096:D *277:43 2.89114e-05
55 *2096:D *277:56 0.000298738
56 *2099:D *1986:A 1.61784e-05
57 *2115:A *1986:A 0.00029679
58 *10:8 *2052:B 0
59 *13:22 *277:13 5.44456e-05
60 *14:8 *1986:A 0.00022056
61 *14:8 *1990:A1 1.90936e-05
62 *14:8 *277:13 7.46146e-05
63 *229:8 *277:56 0.000616238
64 *230:26 *1985:A 1.73088e-05
*RES
1 *2093:Q *277:5 9.83571
2 *277:5 *277:13 13.0437
3 *277:13 *1986:A 20.7551
4 *277:13 *1990:A1 19.5939
5 *277:5 *277:43 18.4643
6 *277:43 *1983:A_N 9.3
7 *277:43 *277:56 20.75
8 *277:56 *1985:A 16.7107
9 *277:56 *277:72 8.94643
10 *277:72 *1989:A 9.3
11 *277:72 *2052:B 23.1571
*END
*D_NET *278 0.0122119
*CONN
*I *1989:B I *D sky130_fd_sc_hd__and3_1
*I *2053:B I *D sky130_fd_sc_hd__and2b_1
*I *1985:B I *D sky130_fd_sc_hd__or2_1
*I *2051:A I *D sky130_fd_sc_hd__or2b_1
*I *1990:A2 I *D sky130_fd_sc_hd__a21o_1
*I *1986:B I *D sky130_fd_sc_hd__nand2_1
*I *2094:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1989:B 0
2 *2053:B 0.000592589
3 *1985:B 3.42735e-05
4 *2051:A 0
5 *1990:A2 0
6 *1986:B 0.000308273
7 *2094:Q 0
8 *278:71 0.000798188
9 *278:60 0.000921439
10 *278:45 0.00188805
11 *278:11 0.00062947
12 *278:4 0.00152768
13 *1985:B *2051:B_N 0.000183713
14 *1986:B *2055:A 0.000241492
15 *1986:B *327:9 0.000106967
16 *2053:B *2054:A1 2.59355e-05
17 *278:11 *1990:B1 0.000748091
18 *278:11 *2055:A 0.000312209
19 *278:45 *1990:B1 0.0002194
20 *278:45 *2094:CLK 0.000137983
21 *278:45 *282:76 0.000197923
22 *278:45 *324:58 0.000283519
23 *278:71 *1989:C 2.30116e-06
24 io_oeb[18] *1985:B 0.000183713
25 io_out[18] *2053:B 0.000411945
26 io_out[18] *278:71 2.27195e-05
27 *1986:A *1986:B 0.000141372
28 *1987:C *1986:B 5.33005e-05
29 *1990:A1 *1986:B 5.52302e-05
30 *1990:A1 *278:11 1.74352e-05
31 *1991:A_N *278:11 9.90367e-05
32 *1991:B *278:11 0.000104779
33 *1992:A *278:11 5.11852e-05
34 *1996:A *278:60 8.50971e-06
35 *2003:A *1986:B 0.000136951
36 *2003:C *1986:B 4.02783e-05
37 *2052:B *278:71 0
38 *2054:B1 *2053:B 5.59341e-05
39 *2054:B1 *278:71 0
40 *2054:B2 *2053:B 0.000180476
41 *2054:C1 *2053:B 8.71847e-05
42 *2058:B1 *278:45 0.000192527
43 *2059:B2 *278:45 6.11061e-05
44 *2093:D *2053:B 1.31957e-05
45 *2094:D *278:45 4.87669e-06
46 *2099:D *1986:B 0.000141451
47 *10:8 *2053:B 0
48 *13:22 *1986:B 9.60939e-05
49 *13:22 *278:11 1.74352e-05
50 *156:12 *278:60 3.47641e-06
51 *157:14 *278:60 4.11173e-05
52 *230:26 *278:45 0.000262498
53 *230:26 *278:60 5.52238e-05
54 *233:9 *278:60 0.000149503
55 *233:9 *278:71 3.11502e-05
56 *277:5 *278:45 5.52302e-05
57 *277:13 *278:45 0.000178847
58 *277:72 *278:60 4.11218e-05
59 *277:72 *278:71 5.74562e-05
*RES
1 *2094:Q *278:4 9.3
2 *278:4 *278:11 10.0357
3 *278:11 *1986:B 26.675
4 *278:11 *1990:A2 9.3
5 *278:4 *278:45 29.7143
6 *278:45 *2051:A 9.3
7 *278:45 *278:60 14.0724
8 *278:60 *1985:B 15.5679
9 *278:60 *278:71 3.69643
10 *278:71 *2053:B 24.5143
11 *278:71 *1989:B 13.8
*END
*D_NET *279 0.00877455
*CONN
*I *1990:B1 I *D sky130_fd_sc_hd__a21o_1
*I *2054:A1 I *D sky130_fd_sc_hd__a221o_1
*I *1989:C I *D sky130_fd_sc_hd__and3_1
*I *2056:B2 I *D sky130_fd_sc_hd__o22a_1
*I *2095:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1990:B1 0.000595072
2 *2054:A1 0.000292892
3 *1989:C 9.07984e-05
4 *2056:B2 0.000245801
5 *2095:Q 1.21645e-05
6 *279:28 0.00100282
7 *279:7 0.00147217
8 *1990:B1 *2094:CLK 7.48091e-05
9 *1990:B1 *282:76 0.000227713
10 *1990:B1 *324:21 3.47641e-06
11 *2054:A1 *2049:B2 0
12 *2054:A1 *2108:A 9.67416e-05
13 *2056:B2 *2056:A1 9.7049e-06
14 *2056:B2 *2057:B2 0.000197154
15 *2056:B2 *281:32 2.34087e-05
16 *2056:B2 *324:21 2.03618e-05
17 *2056:B2 *324:58 1.08359e-05
18 *279:7 *281:32 5.49544e-05
19 io_oeb[19] *1990:B1 0
20 io_out[18] *1989:C 1.40876e-05
21 io_out[18] *2054:A1 0.000175735
22 *1996:A *279:7 5.49544e-05
23 *2053:B *2054:A1 2.59355e-05
24 *2054:A2 *2054:A1 0.000135763
25 *2054:B1 *1989:C 2.00322e-05
26 *2054:B1 *2054:A1 3.40849e-05
27 *2054:B2 *2054:A1 1.62447e-05
28 *2056:B1 *2056:B2 5.68722e-05
29 *2093:D *2054:A1 1.32065e-05
30 *2094:D *1990:B1 1.64343e-05
31 *13:22 *1990:B1 2.28499e-05
32 *168:11 *1990:B1 0.000643901
33 *168:15 *1990:B1 0.000430405
34 *168:20 *1990:B1 0.000442962
35 *230:26 *2056:B2 1.59373e-05
36 *233:9 *1989:C 5.53997e-05
37 *233:9 *2054:A1 2.11419e-05
38 *233:9 *279:28 0.00109544
39 *277:43 *1990:B1 0
40 *277:43 *2056:B2 0
41 *277:72 *1989:C 8.24842e-05
42 *278:11 *1990:B1 0.000748091
43 *278:45 *1990:B1 0.0002194
44 *278:71 *1989:C 2.30116e-06
*RES
1 *2095:Q *279:7 14.3357
2 *279:7 *2056:B2 18.8
3 *279:7 *279:28 8.5966
4 *279:28 *1989:C 15.8982
5 *279:28 *2054:A1 20.8268
6 *279:7 *1990:B1 34.2821
*END
*D_NET *280 0.00772256
*CONN
*I *2057:B2 I *D sky130_fd_sc_hd__a22o_1
*I *2056:A1 I *D sky130_fd_sc_hd__o22a_1
*I *1994:A I *D sky130_fd_sc_hd__or2_1
*I *1997:A I *D sky130_fd_sc_hd__and3_1
*I *1993:A I *D sky130_fd_sc_hd__and2_1
*I *2096:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2057:B2 0.000306574
2 *2056:A1 1.60352e-05
3 *1994:A 0.000360088
4 *1997:A 0
5 *1993:A 0.000224082
6 *2096:Q 0.000354161
7 *280:45 0.000369404
8 *280:33 0.000829441
9 *280:15 0.00053091
10 *280:10 0.00108355
11 *1994:A *282:22 0.000292558
12 *1994:A *324:58 0
13 *1994:A *324:63 0
14 *2057:B2 *281:32 0.000150292
15 *2057:B2 *324:21 8.6229e-06
16 *2057:B2 *324:58 0.000123589
17 *280:10 *327:17 0.000123981
18 *280:10 *327:20 0.00021674
19 *280:15 *2097:CLK 0.000185163
20 *280:33 *1997:B 5.94896e-06
21 *280:33 *2097:CLK 4.58976e-05
22 *280:33 *281:8 5.33005e-05
23 *280:33 *281:32 0.000122591
24 *280:45 *281:32 0.000136958
25 *1993:B *1993:A 0.000209906
26 *1994:B *1994:A 0.000674461
27 *1995:B *280:10 0.000191065
28 *2056:B1 *2057:B2 2.44318e-05
29 *2056:B2 *2056:A1 9.7049e-06
30 *2056:B2 *2057:B2 0.000197154
31 *2058:B1 *2057:B2 6.05161e-06
32 *2097:D *1993:A 0
33 *2097:D *280:15 1.21258e-05
34 *13:22 *280:10 3.25078e-05
35 *157:11 *1994:A 4.69963e-05
36 *168:51 *280:10 0.00010654
37 *230:26 *2057:B2 4.0318e-05
38 *230:26 *280:33 0.000159139
39 *230:26 *280:45 3.98517e-05
40 *232:19 *1994:A 1.08359e-05
41 *233:28 *1993:A 4.11553e-06
42 *236:11 *1993:A 0.000253294
43 *236:11 *280:15 4.91967e-05
44 *239:7 *1993:A 5.52302e-05
45 *277:43 *1994:A 5.97527e-05
*RES
1 *2096:Q *280:10 25.9607
2 *280:10 *280:15 4.375
3 *280:15 *1993:A 15.4964
4 *280:15 *1997:A 9.3
5 *280:10 *280:33 6.42857
6 *280:33 *1994:A 28.3893
7 *280:33 *280:45 1.35714
8 *280:45 *2056:A1 9.72857
9 *280:45 *2057:B2 24.4429
*END
*D_NET *281 0.00777068
*CONN
*I *2049:B2 I *D sky130_fd_sc_hd__o22a_1
*I *2057:A1 I *D sky130_fd_sc_hd__a22o_1
*I *1997:B I *D sky130_fd_sc_hd__and3_1
*I *1998:A I *D sky130_fd_sc_hd__or2_1
*I *2097:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2049:B2 0.000286599
2 *2057:A1 2.15003e-05
3 *1997:B 0.000697561
4 *1998:A 0.000268023
5 *2097:Q 0
6 *281:32 0.000750681
7 *281:8 0.00105551
8 *281:4 0.000532503
9 *1997:B *2097:CLK 4.60034e-05
10 *1998:A *2005:A 0.000177821
11 *1998:A *2098:CLK 5.52238e-05
12 *2049:B2 *2108:A 5.80706e-06
13 *2049:B2 *324:13 0.000136958
14 *281:32 *324:13 0.000207274
15 *281:32 *324:21 0.000321468
16 io_oeb[18] *2049:B2 0.000197575
17 io_oeb[19] *1998:A 0.000173797
18 io_oeb[19] *281:8 0.000123153
19 *1996:A *281:32 8.23728e-05
20 *1998:B *1998:A 1.33343e-05
21 *1999:B *1998:A 0.000310292
22 *2054:A1 *2049:B2 0
23 *2054:B1 *2049:B2 0.000125316
24 *2056:B2 *281:32 2.34087e-05
25 *2057:A2 *2049:B2 2.14757e-05
26 *2057:A2 *2057:A1 1.00733e-05
27 *2057:B2 *281:32 0.000150292
28 *2058:B1 *2049:B2 8.43535e-06
29 *2058:B1 *281:32 3.63775e-05
30 *2096:D *1998:A 0.000173797
31 *2096:D *281:8 0.000113903
32 *2097:D *1997:B 4.16623e-05
33 *2098:D *1998:A 0.000187305
34 *2113:A *2049:B2 9.41642e-05
35 *11:12 *2049:B2 0.000266479
36 *164:5 *1998:A 3.76533e-05
37 *230:26 *1997:B 0.000189257
38 *236:11 *1997:B 0.000306546
39 *277:43 *281:32 0.000147332
40 *279:7 *281:32 5.49544e-05
41 *280:33 *1997:B 5.94896e-06
42 *280:33 *281:8 5.33005e-05
43 *280:33 *281:32 0.000122591
44 *280:45 *281:32 0.000136958
*RES
1 *2097:Q *281:4 9.3
2 *281:4 *281:8 6.67857
3 *281:8 *1998:A 21.925
4 *281:8 *1997:B 22.55
5 *281:4 *281:32 18.5893
6 *281:32 *2057:A1 9.72857
7 *281:32 *2049:B2 25.4964
*END
*D_NET *282 0.0127725
*CONN
*I *2049:A1 I *D sky130_fd_sc_hd__o22a_1
*I *2059:A1 I *D sky130_fd_sc_hd__a221oi_4
*I *2001:A I *D sky130_fd_sc_hd__or2_1
*I *2002:A I *D sky130_fd_sc_hd__nand2_1
*I *2005:A I *D sky130_fd_sc_hd__and3_1
*I *2098:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2049:A1 8.04256e-05
2 *2059:A1 4.67315e-05
3 *2001:A 0
4 *2002:A 0.000897756
5 *2005:A 0.000496461
6 *2098:Q 0.000115535
7 *282:76 0.000487164
8 *282:45 0.00190985
9 *282:22 0.00155878
10 *282:5 0.000798676
11 *2002:A *2047:A 0.000132957
12 *2002:A *2110:A 0
13 *2002:A *310:13 0.00018372
14 *2049:A1 *324:7 8.86512e-05
15 *282:22 *2094:CLK 8.98342e-05
16 *282:45 *344:DIODE 0.000109447
17 *282:76 *2094:CLK 9.98928e-05
18 io_oeb[19] *282:76 0
19 io_oeb[20] *2002:A 0.000152028
20 io_out[20] *282:45 1.55667e-05
21 io_out[21] *2002:A 9.46929e-05
22 *358:DIODE *2002:A 2.83284e-06
23 *1987:B *282:45 0.000308669
24 *1987:C *282:45 2.0954e-05
25 *1990:B1 *282:76 0.000227713
26 *1994:A *282:22 0.000292558
27 *1994:B *282:22 6.05265e-05
28 *1995:A_N *282:45 5.33005e-05
29 *1998:A *2005:A 0.000177821
30 *1999:A_N *2005:A 0.000185643
31 *1999:B *2005:A 0.000112503
32 *2000:A *2005:A 9.76491e-05
33 *2002:B *2002:A 0.000416886
34 *2002:B *282:45 9.71197e-05
35 *2006:A *282:45 7.36575e-05
36 *2049:B1 *2049:A1 0
37 *2054:A2 *2059:A1 1.41029e-05
38 *2059:B1 *2049:A1 0.000221641
39 *2059:B1 *282:76 0.000265447
40 *2093:D *2049:A1 4.18895e-05
41 *2093:D *2059:A1 0.000125724
42 *2093:D *282:76 0.000129356
43 *2095:D *2005:A 2.74584e-05
44 *11:12 *2059:A1 0.000150618
45 *15:9 *2002:A 0.000122889
46 *164:5 *2005:A 1.60847e-05
47 *164:5 *282:5 1.25073e-05
48 *168:51 *282:45 1.8038e-05
49 *168:81 *2005:A 4.37939e-05
50 *230:26 *282:45 8.6229e-06
51 *232:19 *282:22 4.06087e-07
52 *232:19 *282:45 4.01687e-05
53 *233:9 *282:45 6.26413e-05
54 *233:13 *282:45 0.000384711
55 *239:30 *282:45 0.000412083
56 *277:13 *282:45 0.000416263
57 *277:43 *282:22 0.000510574
58 *277:43 *282:76 6.35864e-05
59 *278:45 *282:76 0.000197923
*RES
1 *2098:Q *282:5 10.6571
2 *282:5 *2005:A 26.175
3 *282:5 *282:22 11.1518
4 *282:22 *282:45 25.6916
5 *282:45 *2002:A 34.675
6 *282:45 *2001:A 9.3
7 *282:22 *282:76 13.9554
8 *282:76 *2059:A1 20.2464
9 *282:76 *2049:A1 11.9071
*END
*D_NET *283 0.0026567
*CONN
*I *2059:C1 I *D sky130_fd_sc_hd__a221oi_4
*I *2099:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2059:C1 0.000484965
2 *2099:Q 0.000484965
3 *2059:C1 *2096:CLK 9.81889e-06
4 *2059:C1 *2108:A 0.000151071
5 *2059:C1 *327:17 0.000501716
6 *2059:C1 *327:56 0.000260879
7 io_out[19] *2059:C1 0.000736465
8 *2096:D *2059:C1 2.68219e-05
*RES
1 *2099:Q *2059:C1 34.5286
*END
*D_NET *284 0.0044014
*CONN
*I *1965:A I *D sky130_fd_sc_hd__and3_1
*I *1959:A_N I *D sky130_fd_sc_hd__and2b_1
*I *1966:A1 I *D sky130_fd_sc_hd__a21o_1
*I *1962:A I *D sky130_fd_sc_hd__nand2_1
*I *1961:A I *D sky130_fd_sc_hd__or2_1
*I *2066:B I *D sky130_fd_sc_hd__and2b_1
*I *2086:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1965:A 0.000127566
2 *1959:A_N 0
3 *1966:A1 0
4 *1962:A 7.94173e-05
5 *1961:A 0
6 *2066:B 7.635e-05
7 *2086:Q 0.000110432
8 *284:41 0.000187727
9 *284:23 0.000241311
10 *284:13 0.000288967
11 *284:9 0.000465117
12 *284:6 0.000584987
13 *1962:A *285:33 4.01264e-05
14 *1962:A *285:41 0.0001826
15 *1965:A *1965:B 0.000179656
16 *284:6 *1965:B 5.83233e-05
17 *284:9 *1965:B 0.000101011
18 *284:9 *285:27 4.58194e-05
19 *284:9 *285:33 8.43535e-06
20 *284:13 *285:33 2.89114e-05
21 *284:23 *1966:B1 9.41642e-05
22 *284:23 *285:33 0.000178291
23 *284:41 *1965:B 9.9974e-05
24 io_oeb[23] *1965:A 0
25 io_oeb[23] *284:6 0
26 io_oeb[23] *284:41 0
27 io_oeb[26] *2066:B 0.000192276
28 io_out[25] *1962:A 2.28598e-05
29 *1971:C *284:9 0.00014285
30 *2086:D *2066:B 0.00018984
31 *19:27 *1962:A 0.000315116
32 *19:27 *284:23 0.000228334
33 *215:8 *1965:A 5.05693e-05
34 *215:8 *284:6 7.03612e-05
35 *219:24 *284:9 1.00073e-05
*RES
1 *2086:Q *284:6 16.3536
2 *284:6 *284:9 9.55357
3 *284:9 *284:13 0.964286
4 *284:13 *2066:B 20.8536
5 *284:13 *284:23 4.23214
6 *284:23 *1961:A 9.3
7 *284:23 *1962:A 12.7286
8 *284:9 *1966:A1 9.3
9 *284:6 *284:41 1.33929
10 *284:41 *1959:A_N 13.8
11 *284:41 *1965:A 17.2643
*END
*D_NET *285 0.00883324
*CONN
*I *1966:A2 I *D sky130_fd_sc_hd__a21o_1
*I *1962:B I *D sky130_fd_sc_hd__nand2_1
*I *2065:A I *D sky130_fd_sc_hd__or2b_1
*I *2067:B I *D sky130_fd_sc_hd__and2b_1
*I *1961:B I *D sky130_fd_sc_hd__or2_1
*I *1965:B I *D sky130_fd_sc_hd__and3_1
*I *2087:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1966:A2 0
2 *1962:B 0.00012477
3 *2065:A 0.000234476
4 *2067:B 0
5 *1961:B 0
6 *1965:B 0.000298003
7 *2087:Q 0.000689408
8 *285:44 0.000387096
9 *285:41 0.000314308
10 *285:33 0.000308218
11 *285:27 0.000320419
12 *285:14 0.00103653
13 *2065:A *287:54 5.33005e-05
14 *285:14 *1981:A 5.52238e-05
15 *285:14 *324:104 0.00036183
16 *285:14 *324:128 0.000267685
17 *285:33 *1966:B1 9.41642e-05
18 io_oeb[25] *285:33 0.000228514
19 io_oeb[25] *285:41 4.01264e-05
20 io_out[23] *2065:A 0.000140933
21 io_out[23] *285:14 0.000148746
22 io_out[25] *1962:B 5.71472e-05
23 io_out[25] *285:41 9.66977e-05
24 io_out[25] *285:44 1.52978e-05
25 io_out[26] *2065:A 0.000207666
26 *1962:A *285:33 4.01264e-05
27 *1962:A *285:41 0.0001826
28 *1965:A *1965:B 0.000179656
29 *1970:B *285:14 6.66682e-05
30 *1971:C *1965:B 0.00014285
31 *1975:A_N *285:14 0.000144008
32 *2068:B1 *2065:A 4.46186e-06
33 *2068:C1 *2065:A 0.000187519
34 *2073:B1 *285:14 0.000129868
35 *2073:B2 *285:14 0.000613974
36 *16:21 *2065:A 2.67815e-05
37 *16:21 *285:44 0
38 *19:13 *285:14 0.000366525
39 *19:27 *1962:B 3.18676e-05
40 *177:8 *285:44 0
41 *181:8 *285:14 2.50463e-05
42 *181:64 *285:14 0.000100498
43 *213:11 *285:33 3.97677e-05
44 *214:17 *285:44 0
45 *215:8 *1965:B 0.000315023
46 *216:10 *285:14 0.00013566
47 *216:18 *285:14 5.90443e-06
48 *219:24 *1965:B 9.3111e-05
49 *284:6 *1965:B 5.83233e-05
50 *284:9 *1965:B 0.000101011
51 *284:9 *285:27 4.58194e-05
52 *284:9 *285:33 8.43535e-06
53 *284:13 *285:33 2.89114e-05
54 *284:23 *285:33 0.000178291
55 *284:41 *1965:B 9.9974e-05
*RES
1 *2087:Q *285:14 39.175
2 *285:14 *1965:B 26.3179
3 *285:14 *285:27 0.946429
4 *285:27 *285:33 6.73214
5 *285:33 *1961:B 9.3
6 *285:33 *285:41 6.26786
7 *285:41 *285:44 6.75
8 *285:44 *2067:B 9.3
9 *285:44 *2065:A 23.9607
10 *285:41 *1962:B 15.2821
11 *285:27 *1966:A2 9.3
*END
*D_NET *286 0.00972381
*CONN
*I *2068:A1 I *D sky130_fd_sc_hd__a221o_1
*I *2070:B2 I *D sky130_fd_sc_hd__o22a_1
*I *1965:C I *D sky130_fd_sc_hd__and3_1
*I *1966:B1 I *D sky130_fd_sc_hd__a21o_1
*I *2088:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2068:A1 5.16378e-05
2 *2070:B2 0.000860242
3 *1965:C 0.000526497
4 *1966:B1 2.99504e-05
5 *2088:Q 0
6 *286:35 0.00117342
7 *286:12 0.00112117
8 *286:4 0.000826263
9 *2070:B2 *1981:A 0.000195194
10 *2070:B2 *289:26 0.000288449
11 *286:12 *2073:A1 4.87854e-05
12 *286:12 *289:38 2.13481e-06
13 *286:35 *324:94 0
14 io_oeb[26] *1965:C 3.09314e-05
15 io_oeb[27] *2070:B2 7.69776e-06
16 *1960:A *1965:C 0.000181796
17 *1967:B *2070:B2 0.000214371
18 *1967:B *286:12 0.000626179
19 *1970:B *2070:B2 0
20 *2068:A2 *2068:A1 1.46576e-05
21 *2068:B1 *286:35 6.70013e-05
22 *2068:B2 *1965:C 0.000113692
23 *2068:B2 *2068:A1 4.11625e-05
24 *2068:B2 *2070:B2 0.000223892
25 *2068:B2 *286:12 5.87944e-05
26 *2070:A2 *2070:B2 2.28499e-05
27 *2070:B1 *2070:B2 0.00044468
28 *2070:B1 *286:35 3.34366e-05
29 *2072:A1 *2070:B2 0.000517568
30 *2072:B1 *2070:B2 6.94912e-05
31 *2073:A2 *286:12 0.000223461
32 *2073:A2 *286:35 8.51331e-05
33 *2086:D *1965:C 1.07719e-05
34 *16:10 *1965:C 0.000284987
35 *19:27 *1965:C 3.25059e-05
36 *170:8 *286:35 9.25014e-06
37 *172:18 *1965:C 0.000252057
38 *172:18 *286:35 3.25745e-05
39 *177:8 *1965:C 1.46717e-05
40 *177:8 *286:12 3.07786e-05
41 *213:11 *2070:B2 5.50747e-05
42 *213:11 *286:12 0.000624464
43 *214:17 *2070:B2 6.47668e-05
44 *216:10 *1965:C 2.30453e-05
45 *284:23 *1966:B1 9.41642e-05
46 *285:33 *1966:B1 9.41642e-05
*RES
1 *2088:Q *286:4 9.3
2 *286:4 *286:12 17.8036
3 *286:12 *1966:B1 14.7464
4 *286:12 *1965:C 24.8179
5 *286:4 *286:35 13.7143
6 *286:35 *2070:B2 38.925
7 *286:35 *2068:A1 10.2464
*END
*D_NET *287 0.00939419
*CONN
*I *1973:A I *D sky130_fd_sc_hd__and3_1
*I *1969:A I *D sky130_fd_sc_hd__and2_1
*I *2071:B2 I *D sky130_fd_sc_hd__a22o_1
*I *2070:A1 I *D sky130_fd_sc_hd__o22a_1
*I *1970:A I *D sky130_fd_sc_hd__or2_1
*I *2089:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1973:A 0
2 *1969:A 0.000324478
3 *2071:B2 8.47168e-05
4 *2070:A1 6.5583e-05
5 *1970:A 0.0001025
6 *2089:Q 5.28831e-05
7 *287:54 0.000698874
8 *287:37 0.00158621
9 *287:10 0.00065478
10 *287:7 0.00166668
11 *1969:A *1978:A 0.000362014
12 *1969:A *2063:A1 4.6931e-05
13 *2071:B2 *2067:A_N 5.20751e-05
14 *287:10 *2073:C1 0.000128146
15 *287:10 *2087:CLK 0.00019993
16 *287:10 *2090:CLK 0.000174663
17 *287:37 *2073:C1 0.000120355
18 *287:37 *288:11 7.55253e-05
19 *287:37 *288:25 3.466e-06
20 *287:37 *324:94 8.85712e-05
21 *287:37 *324:100 6.24934e-05
22 *287:54 *2063:A1 7.79781e-06
23 *287:54 *2067:A_N 0.00016514
24 *287:54 *309:11 0.00012457
25 *358:DIODE *287:54 7.82242e-05
26 *1970:B *1970:A 0
27 *1973:C *1969:A 7.69776e-06
28 *1982:A *287:54 0.000142358
29 *2065:A *287:54 5.33005e-05
30 *2068:B1 *287:37 7.83659e-05
31 *2068:B1 *287:54 5.52302e-05
32 *2070:A2 *2070:A1 5.33005e-05
33 *2071:A2 *2071:B2 9.56812e-05
34 *2071:A2 *287:37 7.6644e-05
35 *2071:B1 *2071:B2 1.32576e-05
36 *2089:D *287:37 4.87669e-06
37 *2092:D *287:54 8.6229e-06
38 *19:27 *287:54 1.50904e-05
39 *170:8 *1969:A 0.00022266
40 *215:8 *287:10 0.00105652
41 *215:8 *287:37 0.00012789
42 *219:8 *1969:A 0.000187041
43 *219:24 *2071:B2 1.03037e-05
44 *220:10 *1970:A 5.44322e-05
45 *220:10 *2070:A1 1.05524e-05
46 *220:10 *287:10 1.18451e-05
47 *222:10 *1969:A 0.000124661
48 *222:10 *287:54 5.7248e-05
*RES
1 *2089:Q *287:7 14.3357
2 *287:7 *287:10 14.7768
3 *287:10 *1970:A 15.5946
4 *287:10 *2070:A1 15.0232
5 *287:7 *287:37 28.2321
6 *287:37 *2071:B2 11.3893
7 *287:37 *287:54 12.3571
8 *287:54 *1969:A 22.5679
9 *287:54 *1973:A 13.8
*END
*D_NET *288 0.00516887
*CONN
*I *2071:A1 I *D sky130_fd_sc_hd__a22o_1
*I *1973:B I *D sky130_fd_sc_hd__and3_1
*I *1974:A I *D sky130_fd_sc_hd__or2_1
*I *2063:B2 I *D sky130_fd_sc_hd__o22a_1
*I *2090:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2071:A1 0.000146566
2 *1973:B 0
3 *1974:A 0.000260024
4 *2063:B2 2.23854e-05
5 *2090:Q 0.000301511
6 *288:25 0.000633985
7 *288:11 0.000538569
8 *288:8 0.0005903
9 *2063:B2 *2063:A1 0
10 *288:11 *324:94 9.41642e-05
11 *288:25 *2063:A1 3.78104e-05
12 *358:DIODE *1974:A 6.27177e-05
13 *1972:A *1974:A 0.000189062
14 *2063:A2 *288:25 7.63127e-05
15 *2068:B1 *288:25 0.000104711
16 *2068:C1 *288:25 4.90142e-05
17 *2070:A2 *2071:A1 0.00020178
18 *2070:A2 *288:8 0.000283537
19 *2071:A2 *2071:A1 2.30969e-05
20 *2071:B1 *2071:A1 6.27311e-05
21 *2073:B1 *288:11 0.000266851
22 *132:13 *288:8 0.000218685
23 *177:8 *2071:A1 5.72597e-05
24 *214:17 *2071:A1 0.000256732
25 *214:17 *288:8 0.000282006
26 *219:24 *2071:A1 0.000139208
27 *222:10 *1974:A 0.000144949
28 *222:10 *288:25 4.59075e-05
29 *287:37 *288:11 7.55253e-05
30 *287:37 *288:25 3.466e-06
*RES
1 *2090:Q *288:8 19.7464
2 *288:8 *288:11 8.32143
3 *288:11 *2063:B2 9.72857
4 *288:11 *288:25 14.6964
5 *288:25 *1974:A 14.7152
6 *288:25 *1973:B 9.3
7 *288:8 *2071:A1 18.6214
*END
*D_NET *289 0.00866323
*CONN
*I *1978:A I *D sky130_fd_sc_hd__nand2_1
*I *2063:A1 I *D sky130_fd_sc_hd__o22a_1
*I *1977:A I *D sky130_fd_sc_hd__or2_1
*I *2073:A1 I *D sky130_fd_sc_hd__a221oi_4
*I *1981:A I *D sky130_fd_sc_hd__and3_1
*I *2091:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1978:A 0.000286498
2 *2063:A1 0.000285341
3 *1977:A 9.46865e-05
4 *2073:A1 0.000114526
5 *1981:A 0.000315226
6 *2091:Q 3.34103e-05
7 *289:52 0.000593921
8 *289:38 0.000864262
9 *289:26 0.00112464
10 *289:7 0.000611257
11 *1977:A *324:78 0.000126439
12 *289:52 *324:78 4.61703e-05
13 *1967:A_N *289:7 5.33005e-05
14 *1967:B *289:26 0.000157557
15 *1969:A *1978:A 0.000362014
16 *1969:A *2063:A1 4.6931e-05
17 *1973:C *2063:A1 2.06178e-05
18 *1975:A_N *1981:A 0.000477081
19 *1978:B *1978:A 0.000928108
20 *1981:C *1981:A 4.33899e-05
21 *1982:A *2063:A1 0.000147332
22 *2063:A2 *2063:A1 9.43218e-05
23 *2063:B2 *2063:A1 0
24 *2068:B2 *289:26 9.60875e-05
25 *2070:B2 *1981:A 0.000195194
26 *2070:B2 *289:26 0.000288449
27 *2072:B1 *1981:A 0.000195088
28 *2072:B1 *289:26 0.000207503
29 *2073:A2 *2073:A1 1.04232e-05
30 *2073:A2 *289:38 0.000108499
31 *2088:D *289:38 8.82735e-05
32 *132:13 *289:26 2.14757e-05
33 *133:13 *1977:A 1.92905e-05
34 *170:8 *2063:A1 7.11914e-05
35 *170:8 *289:38 2.57997e-06
36 *213:11 *289:26 0.000144038
37 *222:10 *2063:A1 5.33005e-05
38 *222:10 *289:52 2.31791e-05
39 *222:12 *1977:A 0.000126439
40 *222:12 *289:52 3.34366e-05
41 *285:14 *1981:A 5.52238e-05
42 *286:12 *2073:A1 4.87854e-05
43 *286:12 *289:38 2.13481e-06
44 *287:54 *2063:A1 7.79781e-06
45 *288:25 *2063:A1 3.78104e-05
*RES
1 *2091:Q *289:7 14.3357
2 *289:7 *1981:A 21.4071
3 *289:7 *289:26 20.6071
4 *289:26 *2073:A1 11.6929
5 *289:26 *289:38 13.6607
6 *289:38 *1977:A 16.4071
7 *289:38 *289:52 5.23214
8 *289:52 *2063:A1 25.5857
9 *289:52 *1978:A 18.8893
*END
*D_NET *290 0.000619268
*CONN
*I *2073:C1 I *D sky130_fd_sc_hd__a221oi_4
*I *2092:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2073:C1 0.000167491
2 *2092:Q 0.000167491
3 *215:8 *2073:C1 3.57844e-05
4 *287:10 *2073:C1 0.000128146
5 *287:37 *2073:C1 0.000120355
*RES
1 *2092:Q *2073:C1 31.6714
*END
*D_NET *291 0.00782222
*CONN
*I *1938:A I *D sky130_fd_sc_hd__nand2_1
*I *1941:A I *D sky130_fd_sc_hd__and3_1
*I *1937:A I *D sky130_fd_sc_hd__or2_1
*I *1935:A_N I *D sky130_fd_sc_hd__and2b_1
*I *1942:A1 I *D sky130_fd_sc_hd__a21o_1
*I *1926:B I *D sky130_fd_sc_hd__and2b_1
*I *2079:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1938:A 6.90338e-05
2 *1941:A 0
3 *1937:A 0
4 *1935:A_N 0.000447379
5 *1942:A1 0.000112229
6 *1926:B 0.000231918
7 *2079:Q 0
8 *291:41 0.000292435
9 *291:36 0.000223401
10 *291:25 0.00136787
11 *291:5 0.000344147
12 *291:4 0.000920493
13 *1926:B *292:8 0.000103974
14 *1935:A_N *1927:B 0
15 *1938:A *1938:B 0.000236197
16 *1942:A1 *292:8 0.00033349
17 *291:25 *1927:A_N 0
18 *291:41 *1938:B 3.68898e-05
19 *291:41 *1941:C 1.95435e-05
20 *291:41 *292:34 0.000184745
21 *291:41 *292:48 0.000212153
22 io_out[30] *291:25 4.29133e-05
23 *1932:A2 *1926:B 0.000264755
24 *1932:A2 *291:25 4.16984e-05
25 *1932:B1 *291:25 2.44318e-05
26 *1936:A *1935:A_N 0.000223771
27 *1936:A *291:25 0.000146395
28 *1939:B *1935:A_N 0.000264663
29 *1939:B *291:41 5.52302e-05
30 *1939:C *1938:A 9.64179e-05
31 *1940:A *1935:A_N 2.06112e-05
32 *1958:A *1935:A_N 0
33 *2079:D *1926:B 2.42516e-05
34 *2079:D *291:25 0.000692801
35 *2083:D *1926:B 0.000107404
36 *2083:D *1942:A1 0.000324954
37 *2085:D *1935:A_N 0.000356028
38 *194:36 *1935:A_N 0
*RES
1 *2079:Q *291:4 9.3
2 *291:4 *291:5 4.5
3 *291:5 *1926:B 18.55
4 *291:5 *1942:A1 18.175
5 *291:4 *291:25 18.25
6 *291:25 *1935:A_N 24.0143
7 *291:25 *291:36 4.5
8 *291:36 *1937:A 9.3
9 *291:36 *291:41 4.64286
10 *291:41 *1941:A 9.3
11 *291:41 *1938:A 11.9071
*END
*D_NET *292 0.00767055
*CONN
*I *1938:B I *D sky130_fd_sc_hd__nand2_1
*I *1941:B I *D sky130_fd_sc_hd__and3_1
*I *1927:B I *D sky130_fd_sc_hd__and2b_1
*I *1937:B I *D sky130_fd_sc_hd__or2_1
*I *1925:A I *D sky130_fd_sc_hd__or2b_1
*I *1942:A2 I *D sky130_fd_sc_hd__a21o_1
*I *2080:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1938:B 0.000216514
2 *1941:B 5.41662e-05
3 *1927:B 0.000181273
4 *1937:B 0
5 *1925:A 0.000486353
6 *1942:A2 0
7 *2080:Q 4.35811e-05
8 *292:48 0.000327742
9 *292:34 0.0003377
10 *292:26 0.000551755
11 *292:8 0.000750554
12 *292:5 0.000760172
13 *1925:A *1925:B_N 0.000320024
14 *1925:A *1926:A_N 0
15 *1925:A *1927:A_N 0.00018372
16 *1927:B *1927:A_N 9.44259e-05
17 *292:8 *1926:A_N 0
18 *292:8 *1942:B1 6.83894e-05
19 *292:8 *326:76 0.000128875
20 *292:26 *326:44 0.000132967
21 *367:DIODE *1925:A 2.66026e-05
22 *1926:B *292:8 0.000103974
23 *1932:A2 *292:8 0.00024292
24 *1934:B *1941:B 0
25 *1935:A_N *1927:B 0
26 *1938:A *1938:B 0.000236197
27 *1939:B *1927:B 4.62539e-05
28 *1942:A1 *292:8 0.00033349
29 *1948:A *1938:B 5.33005e-05
30 *1948:A *1941:B 5.41794e-05
31 *1948:A *292:26 8.43535e-06
32 *1948:A *292:34 0.000142801
33 *1948:A *292:48 0.000108535
34 *1952:A *1925:A 3.93986e-05
35 *1958:A *1927:B 6.05161e-06
36 *2081:D *1927:B 9.90367e-05
37 *2083:D *1925:A 5.84075e-05
38 *2083:D *292:8 4.31193e-05
39 *24:8 *1925:A 1.46624e-05
40 *186:15 *1925:A 1.32552e-05
41 *194:26 *292:5 1.98839e-05
42 *194:26 *292:26 0.000597167
43 *194:34 *292:26 0.00022266
44 *199:9 *1941:B 4.25619e-05
45 *199:22 *292:8 8.5662e-05
46 *291:41 *1938:B 3.68898e-05
47 *291:41 *292:34 0.000184745
48 *291:41 *292:48 0.000212153
*RES
1 *2080:Q *292:5 9.83571
2 *292:5 *292:8 12.8214
3 *292:8 *1942:A2 13.8
4 *292:8 *1925:A 22.0143
5 *292:5 *292:26 9.17857
6 *292:26 *1937:B 9.3
7 *292:26 *292:34 3.01786
8 *292:34 *1927:B 22.2286
9 *292:34 *292:48 2.17857
10 *292:48 *1941:B 19.3357
11 *292:48 *1938:B 13.9786
*END
*D_NET *293 0.00885111
*CONN
*I *1941:C I *D sky130_fd_sc_hd__and3_1
*I *1942:B1 I *D sky130_fd_sc_hd__a21o_1
*I *1928:A1 I *D sky130_fd_sc_hd__a221o_1
*I *1930:B2 I *D sky130_fd_sc_hd__o22a_1
*I *2081:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1941:C 0.000912742
2 *1942:B1 0.000436707
3 *1928:A1 0.000115854
4 *1930:B2 0.000301168
5 *2081:Q 0
6 *293:10 0.000518511
7 *293:7 0.000581799
8 *293:4 0.000956345
9 *1930:B2 *326:90 7.02611e-05
10 *1941:C *1927:A_N 2.15363e-05
11 *1942:B1 *1926:A_N 0.000356391
12 *293:10 *326:90 5.55405e-05
13 io_oeb[30] *1930:B2 0.000434213
14 *1928:B2 *1928:A1 1.38106e-05
15 *1928:C1 *1928:A1 4.80992e-05
16 *1930:B1 *1930:B2 4.78714e-05
17 *1932:A2 *1930:B2 1.437e-05
18 *1932:A2 *293:10 1.41295e-05
19 *1932:B1 *1942:B1 9.07004e-05
20 *1933:B2 *1928:A1 0.000113072
21 *1933:B2 *1930:B2 1.21258e-05
22 *1947:A_N *1942:B1 0.000148196
23 *1947:A_N *293:10 0.000289152
24 *1948:A *1941:C 7.6644e-05
25 *1951:A_N *1928:A1 0.000123617
26 *1951:A_N *1930:B2 0.000180777
27 *1952:A *1942:B1 8.70757e-05
28 *1957:C *1928:A1 0.000357884
29 *2081:D *1941:C 2.28598e-05
30 *2082:D *1941:C 0.00113874
31 *2082:D *293:7 5.33005e-05
32 *2083:D *1930:B2 0.000358432
33 *186:15 *1928:A1 2.84026e-05
34 *199:9 *1941:C 5.80706e-06
35 *199:22 *1930:B2 5.37941e-05
36 *199:22 *1942:B1 0.000464618
37 *199:22 *293:10 0.000197006
38 *201:18 *1930:B2 6.16271e-05
39 *291:41 *1941:C 1.95435e-05
40 *292:8 *1942:B1 6.83894e-05
*RES
1 *2081:Q *293:4 9.3
2 *293:4 *293:7 5.03571
3 *293:7 *293:10 8.26786
4 *293:10 *1930:B2 27.5321
5 *293:10 *1928:A1 13.9429
6 *293:7 *1942:B1 25.7464
7 *293:4 *1941:C 31.1571
*END
*D_NET *294 0.00870102
*CONN
*I *1946:A I *D sky130_fd_sc_hd__or2_1
*I *1931:B2 I *D sky130_fd_sc_hd__a22o_1
*I *1930:A1 I *D sky130_fd_sc_hd__o22a_1
*I *1949:A I *D sky130_fd_sc_hd__and3_1
*I *1945:A I *D sky130_fd_sc_hd__and2_1
*I *2082:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1946:A 0.000544406
2 *1931:B2 0.000163981
3 *1930:A1 0
4 *1949:A 0.000174455
5 *1945:A 0.000205842
6 *2082:Q 0.000430962
7 *294:23 0.000646881
8 *294:18 0.000459257
9 *294:8 0.000519158
10 *294:7 0.00113787
11 *1931:B2 *2083:CLK 5.33005e-05
12 *1931:B2 *2085:CLK 0.000178847
13 *1946:A *296:11 0.000521988
14 *1949:A *1923:A1 0.000149532
15 *294:8 *296:11 0.000236276
16 *294:18 *295:10 0.000122812
17 *294:23 *1954:A 1.07561e-05
18 *294:23 *295:10 0.000146992
19 *294:23 *296:40 1.50087e-05
20 io_oeb[31] *294:23 9.3691e-05
21 *1923:B1 *1949:A 0
22 *1931:B1 *1931:B2 4.85033e-05
23 *1931:B1 *294:18 0.000296274
24 *1931:B1 *294:23 3.22325e-05
25 *1933:B1 *294:8 6.67989e-05
26 *1933:B1 *294:18 5.19874e-05
27 *1945:B *1945:A 3.99958e-05
28 *1951:A_N *1946:A 0.000175039
29 *1951:A_N *294:8 9.55303e-05
30 *1954:B *1949:A 0.000233159
31 *2085:D *1931:B2 0.000329462
32 *24:8 *1946:A 2.58997e-05
33 *190:8 *1949:A 3.27498e-05
34 *190:8 *294:23 0.000397866
35 *199:9 *1946:A 0.000100685
36 *199:22 *1946:A 0.000353631
37 *199:22 *294:8 8.53589e-05
38 *199:22 *294:18 0.000201098
39 *202:8 *1945:A 0.000184745
40 *202:8 *294:23 0.000137983
*RES
1 *2082:Q *294:7 18.4429
2 *294:7 *294:8 5.28571
3 *294:8 *294:18 6.60714
4 *294:18 *294:23 11.4464
5 *294:23 *1945:A 13.0321
6 *294:23 *1949:A 22.3268
7 *294:18 *1930:A1 13.8
8 *294:8 *1931:B2 18.05
9 *294:7 *1946:A 27.4071
*END
*D_NET *295 0.00522273
*CONN
*I *1950:A I *D sky130_fd_sc_hd__or2_1
*I *1931:A1 I *D sky130_fd_sc_hd__a22o_1
*I *1949:B I *D sky130_fd_sc_hd__and3_1
*I *1923:B2 I *D sky130_fd_sc_hd__o22a_1
*I *2083:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1950:A 0
2 *1931:A1 0.00019784
3 *1949:B 3.68863e-05
4 *1923:B2 0.000224956
5 *2083:Q 0.000487932
6 *295:31 0.000765941
7 *295:10 0.000408146
8 *295:5 0.00120234
9 *1923:B2 *1923:A1 0.000297209
10 *1931:A1 *296:31 0.000163983
11 *1949:B *1923:A1 2.44318e-05
12 *295:10 *296:40 3.92854e-05
13 *295:31 *2083:CLK 6.06291e-05
14 io_oeb[30] *295:10 0
15 io_out[31] *295:31 4.27437e-05
16 *1931:A2 *1931:A1 0.000362177
17 *1931:B1 *1931:A1 3.47793e-05
18 *1933:B1 *1923:B2 8.07313e-05
19 *1950:B *295:31 0.000265205
20 *1954:B *1923:B2 0
21 *2083:D *295:5 1.72903e-06
22 *2083:D *295:31 4.44727e-05
23 *2085:D *1931:A1 4.35482e-05
24 *199:22 *295:10 0.000167961
25 *294:18 *295:10 0.000122812
26 *294:23 *295:10 0.000146992
*RES
1 *2083:Q *295:5 14.3536
2 *295:5 *295:10 12.4643
3 *295:10 *1923:B2 14.4071
4 *295:10 *1949:B 9.83571
5 *295:5 *295:31 7.125
6 *295:31 *1931:A1 23.7643
7 *295:31 *1950:A 9.3
*END
*D_NET *296 0.0116853
*CONN
*I *1957:A I *D sky130_fd_sc_hd__and3_1
*I *1953:A I *D sky130_fd_sc_hd__or2_1
*I *1923:A1 I *D sky130_fd_sc_hd__o22a_1
*I *1954:A I *D sky130_fd_sc_hd__nand2_1
*I *1933:A1 I *D sky130_fd_sc_hd__a221oi_4
*I *2084:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1957:A 0.00129259
2 *1953:A 0
3 *1923:A1 0.000328797
4 *1954:A 0.000128782
5 *1933:A1 1.89806e-05
6 *2084:Q 0.000445218
7 *296:40 0.000909736
8 *296:31 0.000861471
9 *296:21 0.00231834
10 *296:11 0.00108063
11 *1933:A1 *326:90 1.90936e-05
12 *296:31 *1924:A 4.46186e-06
13 io_oeb[30] *1954:A 9.44259e-05
14 io_oeb[30] *296:11 0.000106147
15 io_oeb[30] *296:40 6.5185e-05
16 io_oeb[31] *1954:A 0.000153841
17 io_out[30] *296:11 6.4992e-05
18 io_out[30] *296:21 0.000121295
19 *1923:A2 *1923:A1 1.07719e-05
20 *1923:B2 *1923:A1 0.000297209
21 *1928:A2 *296:31 0.000219485
22 *1928:B2 *296:31 6.20855e-06
23 *1928:C1 *296:31 7.69776e-06
24 *1930:B1 *296:31 0.00022477
25 *1931:A1 *296:31 0.000163983
26 *1931:A2 *296:31 7.61272e-05
27 *1931:A2 *296:40 2.07594e-05
28 *1932:A2 *1933:A1 4.28249e-05
29 *1934:B *1957:A 5.76151e-05
30 *1940:A *1957:A 5.2908e-05
31 *1945:B *1954:A 0.000137983
32 *1946:A *296:11 0.000521988
33 *1949:A *1923:A1 0.000149532
34 *1949:B *1923:A1 2.44318e-05
35 *1951:B *296:31 2.06112e-05
36 *1954:B *1923:A1 5.72212e-05
37 *1955:C *296:31 0
38 *2083:D *1933:A1 1.32425e-05
39 *2083:D *296:11 0.000174213
40 *24:8 *296:11 0.000303095
41 *25:15 *296:40 2.15688e-05
42 *186:15 *296:31 4.65158e-06
43 *194:36 *296:31 0
44 *194:66 *1957:A 4.82521e-05
45 *199:22 *296:11 4.50149e-05
46 *199:32 *296:40 0.000137983
47 *201:18 *296:31 0.000226694
48 *201:18 *296:40 0.00014573
49 *202:8 *1954:A 0.000139913
50 *205:47 *296:21 1.74947e-05
51 *294:8 *296:11 0.000236276
52 *294:23 *1954:A 1.07561e-05
53 *294:23 *296:40 1.50087e-05
54 *295:10 *296:40 3.92854e-05
*RES
1 *2084:Q *296:11 27.6792
2 *296:11 *1933:A1 17.7904
3 *296:11 *296:21 1.05593
4 *296:21 *296:31 18.3118
5 *296:31 *296:40 20.4107
6 *296:40 *1954:A 17.7107
7 *296:40 *1923:A1 21.2107
8 *296:31 *1953:A 9.3
9 *296:21 *1957:A 21.9802
*END
*D_NET *297 0.000267198
*CONN
*I *1933:C1 I *D sky130_fd_sc_hd__a221oi_4
*I *2085:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1933:C1 7.0308e-05
2 *2085:Q 7.0308e-05
3 *126:11 *1933:C1 0.000126582
*RES
1 *2085:Q *1933:C1 29.2429
*END
*D_NET *298 0.00358671
*CONN
*I *2107:A I *D sky130_fd_sc_hd__clkbuf_1
*I *2032:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2107:A 0
2 *2032:X 0.00179336
3 *298:9 0.00179336
*RES
1 *2032:X *298:9 49.7286
2 *298:9 *2107:A 9.3
*END
*D_NET *299 0.00219476
*CONN
*I *2046:A I *D sky130_fd_sc_hd__xnor2_1
*I *2031:A0 I *D sky130_fd_sc_hd__mux4_1
*I *2075:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2046:A 0.000238276
2 *2031:A0 0.000307872
3 *2075:Q 0.000168059
4 *299:7 0.000714207
5 *2031:A0 *335:DIODE 0
6 *2031:A0 *2031:A2 0
7 *2046:A *328:40 0.000109722
8 *2046:A *328:42 3.67142e-05
9 *2046:A *328:53 0.000137647
10 *299:7 *328:53 0.000134314
11 *2075:D *2046:A 0.000347952
*RES
1 *2075:Q *299:7 13.0321
2 *299:7 *2031:A0 23.2821
3 *299:7 *2046:A 16.4071
*END
*D_NET *300 0.00348122
*CONN
*I *2060:A I *D sky130_fd_sc_hd__xnor2_1
*I *2031:A1 I *D sky130_fd_sc_hd__mux4_1
*I *2076:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2060:A 0.000511187
2 *2031:A1 0.00106385
3 *2076:Q 0
4 *300:5 0.00157504
5 *2031:A1 *2031:A2 1.14548e-05
6 *2031:A1 *2031:A3 0.000138998
7 *2031:A1 *2031:S1 0.000173255
8 *2032:A *2031:A1 7.43578e-06
*RES
1 *2076:Q *300:5 13.8
2 *300:5 *2031:A1 30.2821
3 *300:5 *2060:A 22.5143
*END
*D_NET *301 0.00593261
*CONN
*I *2031:A2 I *D sky130_fd_sc_hd__mux4_1
*I *2074:A I *D sky130_fd_sc_hd__xnor2_1
*I *2077:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2031:A2 0.00154925
2 *2074:A 0.000599879
3 *2077:Q 0
4 *301:4 0.00214912
5 *2031:A2 *2031:A3 2.524e-05
6 *2031:A2 *2031:S1 4.1331e-06
7 *2031:A2 *328:53 0.000926847
8 *2074:A *326:14 0.000666687
9 *2031:A0 *2031:A2 0
10 *2031:A1 *2031:A2 1.14548e-05
*RES
1 *2077:Q *301:4 9.3
2 *301:4 *2074:A 30.6214
3 *301:4 *2031:A2 39.5723
*END
*D_NET *302 0.00410524
*CONN
*I *2031:A3 I *D sky130_fd_sc_hd__mux4_1
*I *1934:A I *D sky130_fd_sc_hd__xnor2_1
*I *2078:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2031:A3 0.000838353
2 *1934:A 0.000689616
3 *2078:Q 0.000235705
4 *302:8 0.00176367
5 *2031:A3 *2031:S1 0.000137448
6 *2031:A1 *2031:A3 0.000138998
7 *2031:A2 *2031:A3 2.524e-05
8 *2032:A *2031:A3 0.000276211
*RES
1 *2078:Q *302:8 16.8
2 *302:8 *1934:A 25.0143
3 *302:8 *2031:A3 30.4429
*END
*D_NET *303 0.00822957
*CONN
*I *2031:S1 I *D sky130_fd_sc_hd__mux4_1
*I *2112:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2031:S1 0.00104264
2 *2112:X 0.000640676
3 *303:7 0.00168332
4 *2031:S1 *2031:S0 0.00219947
5 *2031:S1 *322:13 1.64621e-05
6 *303:7 *322:13 0.0021612
7 *365:DIODE *303:7 0.000149489
8 *2031:A1 *2031:S1 0.000173255
9 *2031:A2 *2031:S1 4.1331e-06
10 *2031:A3 *2031:S1 0.000137448
11 *2112:A *303:7 2.14658e-05
*RES
1 *2112:X *303:7 29.9786
2 *303:7 *2031:S1 45.2107
*END
*D_NET *304 0.00112644
*CONN
*I *2050:A I *D sky130_fd_sc_hd__inv_2
*I *2113:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2050:A 0.000192375
2 *2113:X 0.000192375
3 *2049:B1 *2050:A 9.41642e-05
4 *2054:A2 *2050:A 0.000123295
5 *2058:A1 *2050:A 0.000336766
6 *157:14 *2050:A 4.23086e-05
7 *159:6 *2050:A 0.000145154
*RES
1 *2113:X *2050:A 32.9214
*END
*D_NET *305 0.00341269
*CONN
*I *2055:A I *D sky130_fd_sc_hd__inv_2
*I *2114:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2055:A 0.00093603
2 *2114:X 0.00093603
3 *2055:A *2048:A 0.000179251
4 io_oeb[20] *2055:A 6.69988e-05
5 *1986:B *2055:A 0.000241492
6 *1987:C *2055:A 0.0001873
7 *1991:A_N *2055:A 0
8 *1991:B *2055:A 9.60875e-05
9 *2002:B *2055:A 0.000307992
10 *2003:B *2055:A 9.41642e-05
11 *2098:D *2055:A 2.89016e-05
12 *13:22 *2055:A 2.62342e-05
13 *239:11 *2055:A 0
14 *239:30 *2055:A 0
15 *278:11 *2055:A 0.000312209
*RES
1 *2114:X *2055:A 46.4571
*END
*D_NET *306 0.00426036
*CONN
*I *2048:A I *D sky130_fd_sc_hd__inv_2
*I *2115:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2048:A 0.00145117
2 *2115:X 0.00145117
3 *2048:A *2047:A 0
4 io_oeb[23] *2048:A 0
5 *358:DIODE *2048:A 2.11419e-05
6 *1987:A *2048:A 2.06112e-05
7 *1987:C *2048:A 2.06112e-05
8 *2006:A *2048:A 0.000793197
9 *2055:A *2048:A 0.000179251
10 *2115:A *2048:A 0.000111044
11 *15:9 *2048:A 0.000141586
12 *93:11 *2048:A 0
13 *156:12 *2048:A 7.05814e-05
14 *239:11 *2048:A 0
*RES
1 *2115:X *2048:A 46.7731
*END
*D_NET *307 0.00297526
*CONN
*I *2047:A I *D sky130_fd_sc_hd__inv_2
*I *2116:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2047:A 0.000596447
2 *2116:X 0.000596447
3 io_oeb[20] *2047:A 0.000685664
4 *2002:A *2047:A 0.000132957
5 *2003:A *2047:A 5.52238e-05
6 *2048:A *2047:A 0
7 *2098:D *2047:A 0.000103267
8 *18:20 *2047:A 0.000521164
9 *93:11 *2047:A 0.000166673
10 *156:12 *2047:A 0.000117421
*RES
1 *2116:X *2047:A 42.7607
*END
*D_NET *308 0.00177239
*CONN
*I *2066:A_N I *D sky130_fd_sc_hd__and2b_1
*I *2117:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2066:A_N 0.000402528
2 *2117:X 0.000402528
3 *2066:A_N *2069:A 0.000688362
4 *16:10 *2066:A_N 0.000101282
5 *18:20 *2066:A_N 0.000154027
6 *177:8 *2066:A_N 2.36643e-05
*RES
1 *2117:X *2066:A_N 28.6
*END
*D_NET *309 0.00334636
*CONN
*I *2065:B_N I *D sky130_fd_sc_hd__or2b_1
*I *2067:A_N I *D sky130_fd_sc_hd__and2b_1
*I *2118:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2065:B_N 0
2 *2067:A_N 0.000233994
3 *2118:X 0.000844831
4 *309:11 0.00107882
5 io_oeb[23] *309:11 5.26625e-05
6 io_out[23] *2067:A_N 1.28171e-05
7 io_out[26] *2067:A_N 7.2754e-05
8 *358:DIODE *309:11 0
9 *1982:A *309:11 0.000159252
10 *2071:B2 *2067:A_N 5.20751e-05
11 *2092:D *309:11 2.30116e-06
12 *16:21 *2067:A_N 5.52238e-05
13 *16:21 *309:11 0.000248679
14 *132:13 *309:11 1.0945e-05
15 *219:24 *2067:A_N 0.000177343
16 *221:16 *2067:A_N 5.49489e-05
17 *287:54 *2067:A_N 0.00016514
18 *287:54 *309:11 0.00012457
*RES
1 *2118:X *309:11 29.8536
2 *309:11 *2067:A_N 24.6036
3 *309:11 *2065:B_N 9.3
*END
*D_NET *310 0.00432914
*CONN
*I *2064:A I *D sky130_fd_sc_hd__inv_2
*I *2119:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2064:A 0
2 *2119:X 0.00147464
3 *310:13 0.00147464
4 *310:13 *2110:A 0.000218636
5 *310:13 *327:9 0.000136951
6 io_oeb[21] *310:13 0.000513933
7 io_out[21] *310:13 0.000132015
8 *1986:A *310:13 0
9 *2002:A *310:13 0.00018372
10 *2002:B *310:13 5.52238e-05
11 *2120:A *310:13 5.15805e-05
12 *18:20 *310:13 0
13 *93:11 *310:13 8.78006e-05
*RES
1 *2119:X *310:13 44.4429
2 *310:13 *2064:A 9.3
*END
*D_NET *311 0.00245955
*CONN
*I *2069:A I *D sky130_fd_sc_hd__inv_2
*I *2120:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2069:A 0.000340836
2 *2120:X 0.000340836
3 io_out[23] *2069:A 0.000338374
4 *2066:A_N *2069:A 0.000688362
5 *16:10 *2069:A 1.38323e-05
6 *19:13 *2069:A 0.000342302
7 *172:18 *2069:A 0
8 *177:8 *2069:A 0.000315717
9 *216:10 *2069:A 7.92879e-05
*RES
1 *2120:X *2069:A 39.0821
*END
*D_NET *312 0.000343122
*CONN
*I *2062:A I *D sky130_fd_sc_hd__inv_2
*I *2121:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2062:A 5.95522e-05
2 *2121:X 5.95522e-05
3 *2062:A *2110:A 7.53108e-05
4 *223:11 *2062:A 0.000148707
*RES
1 *2121:X *2062:A 29.5464
*END
*D_NET *313 0.00225953
*CONN
*I *2061:A I *D sky130_fd_sc_hd__inv_2
*I *2122:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2061:A 0.000868037
2 *2122:X 0.000868037
3 *2061:A *324:158 0
4 *2061:A *324:165 0.000200413
5 *364:DIODE *2061:A 0.000127559
6 *2070:B1 *2061:A 0
7 *181:49 *2061:A 0.000195481
*RES
1 *2122:X *2061:A 41.2071
*END
*D_NET *314 0.00235143
*CONN
*I *2038:A_N I *D sky130_fd_sc_hd__and2b_1
*I *2123:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2038:A_N 0.000519478
2 *2123:X 0.000519478
3 *2038:A_N *2037:B_N 0.000105163
4 io_oeb[11] *2038:A_N 0
5 *2011:A *2038:A_N 0.000197981
6 *2011:B *2038:A_N 0
7 *2011:C *2038:A_N 0.000336667
8 *2021:B *2038:A_N 5.37941e-05
9 *2038:B *2038:A_N 4.73286e-05
10 *2040:A2 *2038:A_N 3.87877e-05
11 *2040:B2 *2038:A_N 0.000259843
12 *2044:A1 *2038:A_N 3.37161e-05
13 *3:10 *2038:A_N 0
14 *155:66 *2038:A_N 0.000184246
15 *256:17 *2038:A_N 5.49489e-05
*RES
1 *2123:X *2038:A_N 40.4036
*END
*D_NET *315 0.00330239
*CONN
*I *1926:A_N I *D sky130_fd_sc_hd__and2b_1
*I *2124:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1926:A_N 0.00112663
2 *2124:X 0.00112663
3 io_out[29] *1926:A_N 2.06178e-05
4 *366:DIODE *1926:A_N 0.000536146
5 *1925:A *1926:A_N 0
6 *1932:B1 *1926:A_N 1.02504e-05
7 *1942:B1 *1926:A_N 0.000356391
8 *1947:B *1926:A_N 0
9 *1952:A *1926:A_N 0.000125731
10 *292:8 *1926:A_N 0
*RES
1 *2124:X *1926:A_N 46.475
*END
*D_NET *316 0.00440078
*CONN
*I *1927:A_N I *D sky130_fd_sc_hd__and2b_1
*I *1925:B_N I *D sky130_fd_sc_hd__or2b_1
*I *2125:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1927:A_N 0.00134343
2 *1925:B_N 8.49962e-05
3 *2125:X 0
4 *316:4 0.00142843
5 *1925:A *1925:B_N 0.000320024
6 *1925:A *1927:A_N 0.00018372
7 *1927:B *1927:A_N 9.44259e-05
8 *1936:A *1927:A_N 0
9 *1941:C *1927:A_N 2.15363e-05
10 *2082:D *1927:A_N 2.84398e-05
11 *2125:A *1925:B_N 5.62995e-05
12 *2125:A *1927:A_N 2.14658e-05
13 *186:15 *1925:B_N 8.71761e-05
14 *186:15 *1927:A_N 0.000318517
15 *199:9 *1927:A_N 0.000412326
16 *291:25 *1927:A_N 0
*RES
1 *2125:X *316:4 9.3
2 *316:4 *1925:B_N 13.0321
3 *316:4 *1927:A_N 44.8536
*END
*D_NET *317 0.000510203
*CONN
*I *1924:A I *D sky130_fd_sc_hd__inv_2
*I *2126:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1924:A 0.000184896
2 *2126:X 0.000184896
3 *368:DIODE *1924:A 5.76121e-05
4 *1931:A2 *1924:A 0
5 *1955:C *1924:A 7.83366e-05
6 *296:31 *1924:A 4.46186e-06
*RES
1 *2126:X *1924:A 30.9036
*END
*D_NET *318 0.00213583
*CONN
*I *1929:A I *D sky130_fd_sc_hd__inv_2
*I *2127:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1929:A 0.000773037
2 *2127:X 0.000773037
3 *1929:A *1922:A 0
4 io_oeb[31] *1929:A 0
5 *1955:C *1929:A 2.87555e-06
6 *26:11 *1929:A 3.32628e-05
7 *190:8 *1929:A 0.000358977
8 *202:8 *1929:A 7.32272e-05
9 *210:13 *1929:A 0.000121418
*RES
1 *2127:X *1929:A 40.6714
*END
*D_NET *319 0.00178188
*CONN
*I *1922:A I *D sky130_fd_sc_hd__inv_2
*I *2128:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1922:A 0.000717971
2 *2128:X 0.000717971
3 io_oeb[32] *1922:A 0.000115369
4 io_out[32] *1922:A 0
5 *370:DIODE *1922:A 0.000129595
6 *1929:A *1922:A 0
7 *190:8 *1922:A 4.57445e-05
8 *210:13 *1922:A 5.52302e-05
*RES
1 *2128:X *1922:A 39.2786
*END
*D_NET *320 0.00130168
*CONN
*I *1921:A I *D sky130_fd_sc_hd__inv_2
*I *2129:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1921:A 0.00056046
2 *2129:X 0.00056046
3 io_oeb[33] *1921:A 6.53083e-05
4 io_out[33] *1921:A 6.99087e-05
5 *27:10 *1921:A 4.5539e-05
*RES
1 *2129:X *1921:A 37.6
*END
*D_NET *321 0.00464333
*CONN
*I *2111:A I *D sky130_fd_sc_hd__buf_2
*I *2130:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2111:A 0
2 *2130:X 0.00131652
3 *321:11 0.00131652
4 *321:11 *351:DIODE 0
5 *321:11 *322:13 0.000465243
6 *321:11 *328:9 6.05161e-06
7 io_oeb[10] *321:11 0.000354328
8 io_oeb[8] *321:11 1.28585e-05
9 io_out[10] *321:11 4.936e-05
10 io_out[8] *321:11 4.38243e-05
11 *372:DIODE *321:11 6.05161e-06
12 *2037:A *321:11 0.000246817
13 *2040:B1 *321:11 0.00055389
14 *2:10 *321:11 4.33002e-05
15 *38:10 *321:11 1.05524e-05
16 *271:22 *321:11 3.72174e-05
17 *275:9 *321:11 0.000180793
*RES
1 *2130:X *321:11 48.8536
2 *321:11 *2111:A 9.3
*END
*D_NET *322 0.0101637
*CONN
*I *2031:S0 I *D sky130_fd_sc_hd__mux4_1
*I *2131:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2031:S0 0.000928946
2 *2131:X 0.00169332
3 *322:13 0.00262226
4 io_oeb[9] *322:13 2.26973e-05
5 io_out[9] *322:13 2.26973e-05
6 *373:DIODE *322:13 1.92905e-05
7 *2031:S1 *2031:S0 0.00219947
8 *2031:S1 *322:13 1.64621e-05
9 *2112:A *322:13 1.21258e-05
10 *303:7 *322:13 0.0021612
11 *321:11 *322:13 0.000465243
*RES
1 *2131:X *322:13 46.2286
2 *322:13 *2031:S0 29.9607
*END
*D_NET *323 0.00747055
*CONN
*I *2139:A I *D sky130_fd_sc_hd__buf_2
*I *2107:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2139:A 0.0015236
2 *2107:X 0
3 *323:8 0.00373527
4 *323:5 0.00221167
*RES
1 *2107:X *323:5 13.8
2 *323:5 *323:8 45
3 *323:8 *2139:A 34.4786
*END
*D_NET *324 0.0259306
*CONN
*I *343:DIODE I *D sky130_fd_sc_hd__diode_2
*I *2093:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *345:DIODE I *D sky130_fd_sc_hd__diode_2
*I *338:DIODE I *D sky130_fd_sc_hd__diode_2
*I *340:DIODE I *D sky130_fd_sc_hd__diode_2
*I *341:DIODE I *D sky130_fd_sc_hd__diode_2
*I *336:DIODE I *D sky130_fd_sc_hd__diode_2
*I *337:DIODE I *D sky130_fd_sc_hd__diode_2
*I *342:DIODE I *D sky130_fd_sc_hd__diode_2
*I *339:DIODE I *D sky130_fd_sc_hd__diode_2
*I *2089:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *2092:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *2086:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *2091:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *2090:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *2087:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *2088:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *2094:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *2095:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *344:DIODE I *D sky130_fd_sc_hd__diode_2
*I *2108:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *343:DIODE 0.000109807
2 *2093:CLK 0
3 *345:DIODE 2.3671e-05
4 *338:DIODE 0
5 *340:DIODE 0
6 *341:DIODE 5.22884e-05
7 *336:DIODE 0
8 *337:DIODE 0
9 *342:DIODE 0
10 *339:DIODE 0.000193046
11 *2089:CLK 0
12 *2092:CLK 6.09365e-05
13 *2086:CLK 0.000126307
14 *2091:CLK 0
15 *2090:CLK 9.32252e-05
16 *2087:CLK 0.00010166
17 *2088:CLK 0
18 *2094:CLK 0.000142914
19 *2095:CLK 0
20 *344:DIODE 0.000384523
21 *2108:X 0
22 *324:165 0.000398628
23 *324:159 0.000368298
24 *324:158 0.000300748
25 *324:154 0.000260639
26 *324:128 0.000364436
27 *324:106 0.000194885
28 *324:104 0.000388318
29 *324:100 0.00072655
30 *324:94 0.00107733
31 *324:78 0.00113603
32 *324:75 0.000430057
33 *324:69 0.000829486
34 *324:63 0.00205138
35 *324:58 0.00189397
36 *324:31 0.000686674
37 *324:21 0.000679742
38 *324:13 0.000933972
39 *324:7 0.000634597
40 *324:4 0.000553521
41 *343:DIODE *2052:A_N 8.53409e-05
42 *324:7 *2052:A_N 4.58976e-05
43 *324:7 *2108:A 0.000742165
44 io_oeb[19] *2094:CLK 4.185e-05
45 io_oeb[26] *2086:CLK 0.000123295
46 io_out[23] *2086:CLK 3.14048e-05
47 *357:DIODE *324:69 0
48 *1963:A *339:DIODE 6.22419e-05
49 *1969:B *324:94 7.4607e-05
50 *1970:B *324:104 3.69047e-06
51 *1977:A *324:78 0.000126439
52 *1979:C *324:69 9.77423e-05
53 *1979:C *324:75 0.000135818
54 *1980:A *324:69 2.12005e-05
55 *1980:A *324:75 0.000532228
56 *1982:A *324:78 0.000107389
57 *1982:A *324:154 0
58 *1982:A *324:158 0
59 *1990:B1 *2094:CLK 7.48091e-05
60 *1990:B1 *324:21 3.47641e-06
61 *1994:A *324:58 0
62 *1994:A *324:63 0
63 *1995:A_N *344:DIODE 5.33005e-05
64 *1995:C *344:DIODE 5.33005e-05
65 *1996:A *324:13 0.00022266
66 *1996:A *324:21 0.000133497
67 *1996:A *324:31 1.57523e-05
68 *1996:A *324:58 0
69 *2049:A1 *324:7 8.86512e-05
70 *2049:A2 *324:7 0.000139028
71 *2049:B1 *324:7 6.86792e-05
72 *2049:B2 *324:13 0.000136958
73 *2052:B *324:7 9.83388e-05
74 *2054:A2 *324:13 7.43483e-05
75 *2054:B1 *324:7 0.000181732
76 *2056:B2 *324:21 2.03618e-05
77 *2056:B2 *324:58 1.08359e-05
78 *2057:B2 *324:21 8.6229e-06
79 *2057:B2 *324:58 0.000123589
80 *2058:A2 *324:58 0.000152333
81 *2058:B1 *324:58 7.4826e-05
82 *2059:B1 *324:7 2.2411e-05
83 *2061:A *324:158 0
84 *2061:A *324:165 0.000200413
85 *2068:B1 *324:94 7.94529e-05
86 *2070:B1 *339:DIODE 1.92789e-05
87 *2072:B1 *2092:CLK 1.65169e-05
88 *2072:B1 *324:128 2.51343e-06
89 *2073:B1 *324:94 9.33677e-05
90 *2073:B1 *324:100 0.000219711
91 *2074:B *339:DIODE 6.05161e-06
92 *2074:B *324:165 6.71854e-05
93 *2089:D *324:100 1.76204e-05
94 *2092:D *324:128 1.64343e-05
95 *2093:D *324:7 5.52302e-05
96 *2094:D *324:63 5.71472e-05
97 *2095:D *324:31 8.23182e-05
98 *2121:A *324:75 8.76257e-05
99 *11:12 *324:13 0.000149554
100 *19:27 *324:158 1.38127e-05
101 *20:11 *324:75 0.000265453
102 *20:11 *324:94 0
103 *133:13 *324:78 7.6644e-05
104 *133:13 *324:104 0.000116522
105 *157:11 *345:DIODE 5.52302e-05
106 *157:14 *324:58 0
107 *170:8 *324:94 0
108 *172:18 *324:94 1.09611e-05
109 *181:8 *324:104 0.000384255
110 *181:49 *324:158 0
111 *181:64 *324:104 0.00015185
112 *181:64 *324:128 0.000261439
113 *214:17 *324:94 0.000197668
114 *216:10 *2086:CLK 6.09572e-05
115 *216:40 *324:94 0.000233865
116 *219:24 *2092:CLK 9.41642e-05
117 *219:24 *324:128 0.000219711
118 *221:16 *2086:CLK 4.58194e-05
119 *222:12 *324:78 2.14378e-05
120 *222:22 *324:78 8.24047e-05
121 *222:22 *324:154 0.00012401
122 *222:22 *324:158 4.38243e-05
123 *223:11 *324:158 0
124 *223:11 *324:159 6.70624e-05
125 *223:11 *324:165 0
126 *232:19 *344:DIODE 0.000284766
127 *232:19 *2094:CLK 3.47641e-06
128 *232:19 *324:31 0.000140414
129 *232:19 *324:63 5.52238e-05
130 *277:13 *2094:CLK 1.78394e-05
131 *277:43 *324:58 0
132 *278:45 *2094:CLK 0.000137983
133 *278:45 *324:58 0.000283519
134 *281:32 *324:13 0.000207274
135 *281:32 *324:21 0.000321468
136 *282:22 *2094:CLK 8.98342e-05
137 *282:45 *344:DIODE 0.000109447
138 *282:76 *2094:CLK 9.98928e-05
139 *285:14 *324:104 0.00036183
140 *285:14 *324:128 0.000267685
141 *286:35 *324:94 0
142 *287:10 *2087:CLK 0.00019993
143 *287:10 *2090:CLK 0.000174663
144 *287:37 *324:94 8.85712e-05
145 *287:37 *324:100 6.24934e-05
146 *288:11 *324:94 9.41642e-05
147 *289:52 *324:78 4.61703e-05
*RES
1 *2108:X *324:4 9.3
2 *324:4 *324:7 12.4643
3 *324:7 *324:13 14.3571
4 *324:13 *324:21 14.0893
5 *324:21 *324:31 5.125
6 *324:31 *344:DIODE 16.55
7 *324:31 *2095:CLK 9.3
8 *324:21 *2094:CLK 22.2107
9 *324:13 *324:58 14.6429
10 *324:58 *324:63 25.7679
11 *324:63 *324:69 20.9821
12 *324:69 *324:75 10.8036
13 *324:75 *324:78 5.51786
14 *324:78 *2088:CLK 13.8
15 *324:78 *324:94 18.3696
16 *324:94 *324:100 7.92857
17 *324:100 *324:104 14.7143
18 *324:104 *324:106 4.5
19 *324:106 *2087:CLK 16.3536
20 *324:106 *2090:CLK 16.05
21 *324:104 *2091:CLK 9.3
22 *324:100 *324:128 10.1429
23 *324:128 *2086:CLK 21.3179
24 *324:128 *2092:CLK 10.675
25 *324:94 *2089:CLK 9.3
26 *324:75 *324:154 1.64286
27 *324:154 *324:158 7.05357
28 *324:158 *324:159 2.17857
29 *324:159 *324:165 12.9107
30 *324:165 *339:DIODE 11.8893
31 *324:165 *342:DIODE 9.3
32 *324:159 *337:DIODE 9.3
33 *324:158 *336:DIODE 9.3
34 *324:154 *341:DIODE 14.3357
35 *324:69 *340:DIODE 9.3
36 *324:63 *338:DIODE 9.3
37 *324:58 *345:DIODE 14.3357
38 *324:7 *2093:CLK 9.3
39 *324:4 *343:DIODE 11.4786
*END
*D_NET *325 0.00514014
*CONN
*I *2037:B_N I *D sky130_fd_sc_hd__or2b_1
*I *2039:A_N I *D sky130_fd_sc_hd__and2b_1
*I *2132:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2037:B_N 0.000761001
2 *2039:A_N 0.00113709
3 *2132:X 0
4 *325:4 0.00189809
5 *2039:A_N *328:36 0.00010116
6 *2039:A_N *328:40 0
7 *2039:A_N *328:102 0
8 *2039:A_N *328:111 0
9 *2039:A_N *328:120 0
10 io_out[12] *2037:B_N 0
11 *374:DIODE *2037:B_N 0.000318101
12 *375:DIODE *2039:A_N 0.000154027
13 *2007:B *2039:A_N 8.62438e-05
14 *2038:A_N *2037:B_N 0.000105163
15 *2039:B *2039:A_N 0
16 *2040:A2 *2039:A_N 0
17 *2044:A1 *2039:A_N 0
18 *2044:B1 *2039:A_N 0.00012484
19 *4:15 *2039:A_N 4.58976e-05
20 *149:18 *2039:A_N 0.000183713
21 *256:29 *2039:A_N 9.80576e-05
22 *270:31 *2039:A_N 0.000126759
*RES
1 *2132:X *325:4 9.3
2 *325:4 *2039:A_N 38.1393
3 *325:4 *2037:B_N 32.7821
*END
*D_NET *326 0.0158868
*CONN
*I *2076:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *2077:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *2078:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *2082:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *2083:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *2085:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *2084:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *2079:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *2080:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *2081:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *2109:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *2076:CLK 0
2 *2077:CLK 0
3 *2078:CLK 6.53954e-05
4 *2082:CLK 0
5 *2083:CLK 0.000407859
6 *2085:CLK 5.76476e-05
7 *2084:CLK 0.000173928
8 *2079:CLK 0
9 *2080:CLK 3.99307e-05
10 *2081:CLK 0.000103444
11 *2109:X 0.00015234
12 *326:90 0.000739826
13 *326:76 0.000349987
14 *326:55 0.0002464
15 *326:46 0.00066771
16 *326:44 0.00123034
17 *326:28 0.00207768
18 *326:20 0.00198649
19 *326:14 0.00134816
20 *326:10 0.000954278
21 *326:10 *328:54 3.14163e-05
22 io_oeb[29] *2084:CLK 0.000113776
23 io_out[30] *326:28 0.000180355
24 *1928:C1 *2081:CLK 2.89114e-05
25 *1930:B2 *326:90 7.02611e-05
26 *1931:A2 *2083:CLK 0
27 *1931:B1 *2083:CLK 3.97677e-05
28 *1931:B1 *2085:CLK 9.0145e-05
29 *1931:B2 *2083:CLK 5.33005e-05
30 *1931:B2 *2085:CLK 0.000178847
31 *1932:A1 *2081:CLK 3.57844e-05
32 *1932:A1 *326:28 5.97719e-05
33 *1932:A2 *326:76 5.97623e-05
34 *1932:A2 *326:90 0.000237772
35 *1933:A1 *326:90 1.90936e-05
36 *1933:B2 *326:90 0.000135028
37 *1936:A *2081:CLK 0.000216304
38 *1936:A *326:28 0.00012401
39 *1947:A_N *2083:CLK 0.000157618
40 *1947:A_N *326:44 0.000142952
41 *1947:A_N *326:90 0.000147034
42 *1948:A *326:44 0.00018077
43 *1950:B *2083:CLK 7.1959e-05
44 *1955:B *2083:CLK 5.28442e-06
45 *2074:A *326:14 0.000666687
46 *2076:D *326:10 0.000126439
47 *2077:D *326:14 3.57163e-05
48 *2078:D *2078:CLK 0.000186669
49 *2078:D *326:20 0.000103267
50 *2080:D *326:46 4.87669e-06
51 *2081:D *2081:CLK 5.33005e-05
52 *2083:D *326:76 0.000237528
53 *2083:D *326:90 0.000193501
54 *2085:D *2083:CLK 1.98839e-05
55 *126:11 *2084:CLK 6.76667e-05
56 *126:11 *326:55 0.000128154
57 *194:26 *326:44 0.000201731
58 *194:26 *326:46 0.000116648
59 *194:34 *2081:CLK 0.000144038
60 *205:47 *2083:CLK 1.94879e-05
61 *210:13 *2083:CLK 0.000221815
62 *292:8 *326:76 0.000128875
63 *292:26 *326:44 0.000132967
64 *293:10 *326:90 5.55405e-05
65 *295:31 *2083:CLK 6.06291e-05
*RES
1 *2109:X *326:10 20.8893
2 *326:10 *326:14 20.3214
3 *326:14 *326:20 10.5
4 *326:20 *326:28 28.1607
5 *326:28 *2081:CLK 17.1929
6 *326:28 *326:44 14.1071
7 *326:44 *326:46 6.28571
8 *326:46 *2080:CLK 10.0321
9 *326:46 *326:55 6.14286
10 *326:55 *2079:CLK 13.8
11 *326:55 *2084:CLK 17.55
12 *326:44 *326:76 7.66071
13 *326:76 *326:90 20.9286
14 *326:90 *2085:CLK 11.0679
15 *326:90 *2083:CLK 25.9786
16 *326:76 *2082:CLK 13.8
17 *326:20 *2078:CLK 15.5679
18 *326:14 *2077:CLK 13.8
19 *326:10 *2076:CLK 9.3
*END
*D_NET *327 0.0108356
*CONN
*I *2099:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *2108:A I *D sky130_fd_sc_hd__clkbuf_2
*I *2096:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *2097:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *2098:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *2110:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2099:CLK 0
2 *2108:A 0.00123062
3 *2096:CLK 1.90108e-05
4 *2097:CLK 0.000115522
5 *2098:CLK 0.000121566
6 *2110:X 0.000303469
7 *327:56 0.00148754
8 *327:20 0.000586929
9 *327:17 0.0012021
10 *327:9 0.000917821
11 *2108:A *2052:A_N 0.000273269
12 io_oeb[18] *2108:A 6.58968e-05
13 io_oeb[19] *327:20 0
14 io_oeb[19] *327:56 2.04825e-05
15 io_out[18] *2108:A 0
16 io_out[19] *2108:A 3.48143e-05
17 io_out[21] *327:9 0.000203943
18 *1986:B *327:9 0.000106967
19 *1987:C *327:9 8.43535e-06
20 *1987:C *327:17 0.000237394
21 *1995:B *2098:CLK 6.84431e-05
22 *1995:B *327:20 7.99093e-06
23 *1997:B *2097:CLK 4.60034e-05
24 *1998:A *2098:CLK 5.52238e-05
25 *2049:B2 *2108:A 5.80706e-06
26 *2054:A1 *2108:A 9.67416e-05
27 *2054:A2 *2108:A 0.00019243
28 *2059:B1 *2108:A 2.89114e-05
29 *2059:C1 *2096:CLK 9.81889e-06
30 *2059:C1 *2108:A 0.000151071
31 *2059:C1 *327:17 0.000501716
32 *2059:C1 *327:56 0.000260879
33 *2093:D *2108:A 1.21258e-05
34 *2094:D *327:20 0
35 *2095:D *2098:CLK 0.000214178
36 *2095:D *327:20 0.00014499
37 *2096:D *2096:CLK 4.08749e-06
38 *2096:D *327:20 0
39 *2096:D *327:56 8.44812e-05
40 *2097:D *2097:CLK 0.000127203
41 *2098:D *2098:CLK 3.23206e-05
42 *2099:D *327:9 6.87574e-05
43 *2099:D *327:17 0.000127163
44 *13:22 *327:20 0
45 *13:22 *327:56 0
46 *93:11 *327:9 9.47613e-05
47 *93:11 *327:17 5.91336e-05
48 *168:11 *2108:A 5.47047e-05
49 *280:10 *327:17 0.000123981
50 *280:10 *327:20 0.00021674
51 *280:15 *2097:CLK 0.000185163
52 *280:33 *2097:CLK 4.58976e-05
53 *310:13 *327:9 0.000136951
54 *324:7 *2108:A 0.000742165
*RES
1 *2110:X *327:9 15.925
2 *327:9 *327:17 15.0714
3 *327:17 *327:20 11.3036
4 *327:20 *2098:CLK 17.1929
5 *327:20 *2097:CLK 17.5277
6 *327:17 *327:56 13.625
7 *327:56 *2096:CLK 9.72857
8 *327:56 *2108:A 43.1393
9 *327:9 *2099:CLK 9.3
*END
*D_NET *328 0.0300738
*CONN
*I *2104:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *2103:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *2101:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *2106:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *2102:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *2105:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *352:DIODE I *D sky130_fd_sc_hd__diode_2
*I *349:DIODE I *D sky130_fd_sc_hd__diode_2
*I *350:DIODE I *D sky130_fd_sc_hd__diode_2
*I *347:DIODE I *D sky130_fd_sc_hd__diode_2
*I *346:DIODE I *D sky130_fd_sc_hd__diode_2
*I *348:DIODE I *D sky130_fd_sc_hd__diode_2
*I *335:DIODE I *D sky130_fd_sc_hd__diode_2
*I *2075:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *2109:A I *D sky130_fd_sc_hd__clkbuf_2
*I *353:DIODE I *D sky130_fd_sc_hd__diode_2
*I *354:DIODE I *D sky130_fd_sc_hd__diode_2
*I *2110:A I *D sky130_fd_sc_hd__clkbuf_1
*I *351:DIODE I *D sky130_fd_sc_hd__diode_2
*I *2100:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *2111:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *2104:CLK 0.000154306
2 *2103:CLK 0.000240417
3 *2101:CLK 3.72884e-05
4 *2106:CLK 3.39029e-05
5 *2102:CLK 0.000239699
6 *2105:CLK 0
7 *352:DIODE 0.000213539
8 *349:DIODE 0
9 *350:DIODE 0
10 *347:DIODE 0.000101964
11 *346:DIODE 6.59538e-05
12 *348:DIODE 0.000373465
13 *335:DIODE 7.12995e-05
14 *2075:CLK 3.82418e-05
15 *2109:A 0
16 *353:DIODE 0
17 *354:DIODE 0
18 *2110:A 0.00153708
19 *351:DIODE 0.000475057
20 *2100:CLK 1.47323e-05
21 *2111:X 0.000187719
22 *328:182 0.000509261
23 *328:173 0.000524421
24 *328:163 0.000560712
25 *328:136 0.000404303
26 *328:131 0.000326365
27 *328:120 0.000679867
28 *328:111 0.000647558
29 *328:102 0.000607512
30 *328:62 0.00283922
31 *328:54 0.001482
32 *328:53 0.00127682
33 *328:42 0.00119955
34 *328:40 0.00149901
35 *328:36 0.00206684
36 *328:32 0.000884179
37 *328:20 0.000885362
38 *328:18 0.000461809
39 *328:17 0.000241471
40 *328:9 0.000202452
41 *347:DIODE *2036:A 5.16779e-05
42 io_oeb[21] *2110:A 0.000538105
43 io_oeb[23] *2110:A 8.06559e-05
44 io_out[21] *2110:A 7.77652e-05
45 *358:DIODE *2110:A 0
46 *361:DIODE *2110:A 0.000139913
47 *375:DIODE *328:36 0.000276211
48 *1979:C *2110:A 0
49 *2002:A *2110:A 0
50 *2008:A *2103:CLK 5.33005e-05
51 *2008:A *328:173 4.4984e-05
52 *2011:C *351:DIODE 0
53 *2021:A *351:DIODE 0.000103558
54 *2021:A *328:18 0.000215719
55 *2021:A *328:20 0.00028894
56 *2021:A *328:32 5.32888e-05
57 *2021:A *328:36 0.000114577
58 *2021:A *328:163 7.80167e-05
59 *2021:B *328:36 9.10302e-05
60 *2021:C *328:36 0.000114837
61 *2026:B *328:120 0.000434527
62 *2027:B *328:120 3.39092e-05
63 *2030:A *328:173 1.59312e-05
64 *2031:A0 *335:DIODE 0
65 *2031:A2 *328:53 0.000926847
66 *2037:A *351:DIODE 0
67 *2039:A_N *328:36 0.00010116
68 *2039:A_N *328:40 0
69 *2039:A_N *328:102 0
70 *2039:A_N *328:111 0
71 *2039:A_N *328:120 0
72 *2039:B *328:120 0.000244876
73 *2042:A2 *2100:CLK 5.49489e-05
74 *2042:A2 *328:9 0.000136958
75 *2043:B2 *328:120 7.13095e-05
76 *2043:B2 *328:131 2.19778e-05
77 *2044:B1 *328:120 0
78 *2046:A *328:40 0.000109722
79 *2046:A *328:42 3.67142e-05
80 *2046:A *328:53 0.000137647
81 *2046:B *328:40 0.000496073
82 *2046:B *328:102 0
83 *2046:B *328:111 0
84 *2046:B *328:120 0
85 *2060:B *328:62 0
86 *2062:A *2110:A 7.53108e-05
87 *2076:D *328:53 0.000118339
88 *2076:D *328:62 0
89 *2100:D *2103:CLK 0.000129913
90 *2100:D *328:163 0.00032326
91 *2103:D *2103:CLK 5.52302e-05
92 *2105:D *328:32 3.29354e-05
93 *2106:D *2106:CLK 2.59355e-05
94 *2106:D *328:173 0.000108447
95 *2:10 *351:DIODE 0
96 *3:10 *351:DIODE 0.000194167
97 *4:15 *328:36 0.00014285
98 *18:20 *2110:A 0
99 *19:27 *2110:A 0
100 *133:13 *2110:A 2.1575e-05
101 *144:11 *2102:CLK 0.000225927
102 *147:18 *351:DIODE 0
103 *147:18 *328:20 0
104 *149:18 *328:18 0.000105607
105 *149:18 *328:20 7.85777e-05
106 *149:18 *328:163 1.73191e-05
107 *219:8 *2110:A 0.000104974
108 *219:24 *2110:A 0.000279043
109 *221:16 *2110:A 0
110 *223:11 *2110:A 3.431e-05
111 *229:8 *328:131 0
112 *249:11 *351:DIODE 4.59164e-05
113 *255:20 *2104:CLK 9.64029e-05
114 *255:20 *328:173 1.38247e-05
115 *255:20 *328:182 9.84932e-05
116 *256:17 *328:36 0.000142905
117 *256:22 *346:DIODE 9.90431e-05
118 *256:22 *328:120 0.000359967
119 *267:9 *328:120 0
120 *271:38 *2100:CLK 2.84026e-05
121 *271:38 *328:9 8.40933e-05
122 *273:40 *2103:CLK 1.39726e-05
123 *273:40 *328:163 0.000294836
124 *275:50 *328:120 0.000103267
125 *299:7 *328:53 0.000134314
126 *310:13 *2110:A 0.000218636
127 *321:11 *351:DIODE 0
128 *321:11 *328:9 6.05161e-06
129 *326:10 *328:54 3.14163e-05
*RES
1 *2111:X *328:9 13.05
2 *328:9 *2100:CLK 9.83571
3 *328:9 *328:17 4.5
4 *328:17 *328:18 2.85714
5 *328:18 *328:20 3.76786
6 *328:20 *351:DIODE 23.6393
7 *328:20 *328:32 8.23214
8 *328:32 *328:36 18.5893
9 *328:36 *328:40 23.6964
10 *328:40 *328:42 0.946429
11 *328:42 *328:53 30.875
12 *328:53 *328:54 3
13 *328:54 *328:62 27.125
14 *328:62 *2110:A 45.8179
15 *328:62 *354:DIODE 9.3
16 *328:54 *353:DIODE 9.3
17 *328:53 *2109:A 9.3
18 *328:42 *2075:CLK 10.0321
19 *328:40 *335:DIODE 19.3357
20 *328:36 *328:102 1.64286
21 *328:102 *348:DIODE 18.0321
22 *328:102 *328:111 2.55357
23 *328:111 *346:DIODE 14.7464
24 *328:111 *328:120 12.2679
25 *328:120 *347:DIODE 15.1571
26 *328:120 *328:131 7.05357
27 *328:131 *350:DIODE 9.3
28 *328:131 *328:136 2.17857
29 *328:136 *349:DIODE 9.3
30 *328:136 *352:DIODE 11.8893
31 *328:32 *2105:CLK 9.3
32 *328:18 *2102:CLK 17.6393
33 *328:17 *328:163 9.48214
34 *328:163 *2106:CLK 9.83571
35 *328:163 *328:173 10.875
36 *328:173 *2101:CLK 14.3357
37 *328:173 *328:182 2.55357
38 *328:182 *2103:CLK 18.0321
39 *328:182 *2104:CLK 16.8893
*END
*D_NET *329 0.00108683
*CONN
*I *2036:A I *D sky130_fd_sc_hd__inv_2
*I *2133:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2036:A 0.000377739
2 *2133:X 0.000377739
3 *347:DIODE *2036:A 5.16779e-05
4 *2027:B *2036:A 5.37741e-06
5 *2043:B2 *2036:A 0.000274294
6 *229:8 *2036:A 0
*RES
1 *2133:X *2036:A 35.0107
*END
*D_NET *330 0.00370657
*CONN
*I *2041:A I *D sky130_fd_sc_hd__inv_2
*I *2134:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2041:A 0.00081594
2 *2134:X 0.00081594
3 io_out[15] *2041:A 7.83366e-05
4 *2009:B *2041:A 9.46491e-05
5 *2017:B *2041:A 0.000489441
6 *2024:A *2041:A 2.59355e-05
7 *2101:D *2041:A 5.52302e-05
8 *2104:D *2041:A 0.000268622
9 *6:11 *2041:A 3.18676e-05
10 *7:13 *2041:A 8.46272e-05
11 *144:11 *2041:A 0.00026353
12 *151:8 *2041:A 8.47702e-05
13 *249:11 *2041:A 6.86792e-05
14 *253:7 *2041:A 9.41642e-05
15 *255:20 *2041:A 0.000434841
*RES
1 *2134:X *2041:A 47.7607
*END
*D_NET *331 0.00129399
*CONN
*I *2034:A I *D sky130_fd_sc_hd__inv_2
*I *2135:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2034:A 0.000472384
2 *2135:X 0.000472384
3 io_out[14] *2034:A 0.000274288
4 *2135:A *2034:A 6.75007e-05
5 *229:8 *2034:A 0
6 *265:13 *2034:A 7.43578e-06
*RES
1 *2135:X *2034:A 36.7786
*END
*D_NET *332 0.000664732
*CONN
*I *2033:A I *D sky130_fd_sc_hd__inv_2
*I *2136:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2033:A 0.000273418
2 *2136:X 0.000273418
3 io_oeb[15] *2033:A 0
4 *379:DIODE *2033:A 5.33433e-05
5 *2136:A *2033:A 6.45537e-05
*RES
1 *2136:X *2033:A 32.6536
*END
*D_NET *333 0.00245913
*CONN
*I *2052:A_N I *D sky130_fd_sc_hd__and2b_1
*I *2137:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2052:A_N 0.000860634
2 *2137:X 0.000860634
3 io_oeb[17] *2052:A_N 0.000144773
4 *343:DIODE *2052:A_N 8.53409e-05
5 *2108:A *2052:A_N 0.000273269
6 *2135:A *2052:A_N 0.000188586
7 *324:7 *2052:A_N 4.58976e-05
*RES
1 *2137:X *2052:A_N 43.0286
*END
*D_NET *334 0.0033335
*CONN
*I *2053:A_N I *D sky130_fd_sc_hd__and2b_1
*I *2051:B_N I *D sky130_fd_sc_hd__or2b_1
*I *2138:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2053:A_N 0.000361891
2 *2051:B_N 0.000789666
3 *2138:X 0
4 *334:5 0.00115156
5 io_oeb[17] *2053:A_N 0
6 io_oeb[18] *2051:B_N 4.38349e-05
7 *355:DIODE *2051:B_N 0.000115927
8 *1985:A *2051:B_N 1.74352e-05
9 *1985:B *2051:B_N 0.000183713
10 *2052:B *2053:A_N 0
11 *2054:B1 *2051:B_N 0.000347181
12 *2056:B1 *2051:B_N 0.000184558
13 *2093:D *2053:A_N 5.52302e-05
14 *10:8 *2053:A_N 0
15 *230:26 *2051:B_N 8.25114e-05
*RES
1 *2138:X *334:5 13.8
2 *334:5 *2051:B_N 29.2464
3 *334:5 *2053:A_N 19.6214
*END