blob: 1ddba59bb0649a87f2197851731b1139f9580117 [file] [log] [blame]
VERSION 5.8 ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
DESIGN tiny_user_project ;
UNITS DISTANCE MICRONS 1000 ;
DIEAREA ( 0 0 ) ( 120000 150000 ) ;
ROW ROW_0 unithd 5520 10880 N DO 236 BY 1 STEP 460 0 ;
ROW ROW_1 unithd 5520 13600 FS DO 236 BY 1 STEP 460 0 ;
ROW ROW_2 unithd 5520 16320 N DO 236 BY 1 STEP 460 0 ;
ROW ROW_3 unithd 5520 19040 FS DO 236 BY 1 STEP 460 0 ;
ROW ROW_4 unithd 5520 21760 N DO 236 BY 1 STEP 460 0 ;
ROW ROW_5 unithd 5520 24480 FS DO 236 BY 1 STEP 460 0 ;
ROW ROW_6 unithd 5520 27200 N DO 236 BY 1 STEP 460 0 ;
ROW ROW_7 unithd 5520 29920 FS DO 236 BY 1 STEP 460 0 ;
ROW ROW_8 unithd 5520 32640 N DO 236 BY 1 STEP 460 0 ;
ROW ROW_9 unithd 5520 35360 FS DO 236 BY 1 STEP 460 0 ;
ROW ROW_10 unithd 5520 38080 N DO 236 BY 1 STEP 460 0 ;
ROW ROW_11 unithd 5520 40800 FS DO 236 BY 1 STEP 460 0 ;
ROW ROW_12 unithd 5520 43520 N DO 236 BY 1 STEP 460 0 ;
ROW ROW_13 unithd 5520 46240 FS DO 236 BY 1 STEP 460 0 ;
ROW ROW_14 unithd 5520 48960 N DO 236 BY 1 STEP 460 0 ;
ROW ROW_15 unithd 5520 51680 FS DO 236 BY 1 STEP 460 0 ;
ROW ROW_16 unithd 5520 54400 N DO 236 BY 1 STEP 460 0 ;
ROW ROW_17 unithd 5520 57120 FS DO 236 BY 1 STEP 460 0 ;
ROW ROW_18 unithd 5520 59840 N DO 236 BY 1 STEP 460 0 ;
ROW ROW_19 unithd 5520 62560 FS DO 236 BY 1 STEP 460 0 ;
ROW ROW_20 unithd 5520 65280 N DO 236 BY 1 STEP 460 0 ;
ROW ROW_21 unithd 5520 68000 FS DO 236 BY 1 STEP 460 0 ;
ROW ROW_22 unithd 5520 70720 N DO 236 BY 1 STEP 460 0 ;
ROW ROW_23 unithd 5520 73440 FS DO 236 BY 1 STEP 460 0 ;
ROW ROW_24 unithd 5520 76160 N DO 236 BY 1 STEP 460 0 ;
ROW ROW_25 unithd 5520 78880 FS DO 236 BY 1 STEP 460 0 ;
ROW ROW_26 unithd 5520 81600 N DO 236 BY 1 STEP 460 0 ;
ROW ROW_27 unithd 5520 84320 FS DO 236 BY 1 STEP 460 0 ;
ROW ROW_28 unithd 5520 87040 N DO 236 BY 1 STEP 460 0 ;
ROW ROW_29 unithd 5520 89760 FS DO 236 BY 1 STEP 460 0 ;
ROW ROW_30 unithd 5520 92480 N DO 236 BY 1 STEP 460 0 ;
ROW ROW_31 unithd 5520 95200 FS DO 236 BY 1 STEP 460 0 ;
ROW ROW_32 unithd 5520 97920 N DO 236 BY 1 STEP 460 0 ;
ROW ROW_33 unithd 5520 100640 FS DO 236 BY 1 STEP 460 0 ;
ROW ROW_34 unithd 5520 103360 N DO 236 BY 1 STEP 460 0 ;
ROW ROW_35 unithd 5520 106080 FS DO 236 BY 1 STEP 460 0 ;
ROW ROW_36 unithd 5520 108800 N DO 236 BY 1 STEP 460 0 ;
ROW ROW_37 unithd 5520 111520 FS DO 236 BY 1 STEP 460 0 ;
ROW ROW_38 unithd 5520 114240 N DO 236 BY 1 STEP 460 0 ;
ROW ROW_39 unithd 5520 116960 FS DO 236 BY 1 STEP 460 0 ;
ROW ROW_40 unithd 5520 119680 N DO 236 BY 1 STEP 460 0 ;
ROW ROW_41 unithd 5520 122400 FS DO 236 BY 1 STEP 460 0 ;
ROW ROW_42 unithd 5520 125120 N DO 236 BY 1 STEP 460 0 ;
ROW ROW_43 unithd 5520 127840 FS DO 236 BY 1 STEP 460 0 ;
ROW ROW_44 unithd 5520 130560 N DO 236 BY 1 STEP 460 0 ;
ROW ROW_45 unithd 5520 133280 FS DO 236 BY 1 STEP 460 0 ;
ROW ROW_46 unithd 5520 136000 N DO 236 BY 1 STEP 460 0 ;
TRACKS X 230 DO 261 STEP 460 LAYER li1 ;
TRACKS Y 170 DO 441 STEP 340 LAYER li1 ;
TRACKS X 170 DO 353 STEP 340 LAYER met1 ;
TRACKS Y 170 DO 441 STEP 340 LAYER met1 ;
TRACKS X 230 DO 261 STEP 460 LAYER met2 ;
TRACKS Y 230 DO 326 STEP 460 LAYER met2 ;
TRACKS X 340 DO 176 STEP 680 LAYER met3 ;
TRACKS Y 340 DO 220 STEP 680 LAYER met3 ;
TRACKS X 460 DO 130 STEP 920 LAYER met4 ;
TRACKS Y 460 DO 163 STEP 920 LAYER met4 ;
TRACKS X 1700 DO 35 STEP 3400 LAYER met5 ;
TRACKS Y 1700 DO 44 STEP 3400 LAYER met5 ;
GCELLGRID X 0 DO 17 STEP 6900 ;
GCELLGRID Y 0 DO 21 STEP 6900 ;
VIAS 3 ;
- via2_3_1600_480_1_5_320_320 + VIARULE M1M2_PR + CUTSIZE 150 150 + LAYERS met1 via met2 + CUTSPACING 170 170 + ENCLOSURE 85 165 55 85 + ROWCOL 1 5 ;
- via3_4_1600_480_1_4_400_400 + VIARULE M2M3_PR + CUTSIZE 200 200 + LAYERS met2 via2 met3 + CUTSPACING 200 200 + ENCLOSURE 40 85 65 65 + ROWCOL 1 4 ;
- via4_5_1600_480_1_4_400_400 + VIARULE M3M4_PR + CUTSIZE 200 200 + LAYERS met3 via3 met4 + CUTSPACING 200 200 + ENCLOSURE 90 60 100 65 + ROWCOL 1 4 ;
END VIAS
COMPONENTS 1561 ;
- FILLER_0_109 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 55660 10880 ) N ;
- FILLER_0_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 10880 ) N ;
- FILLER_0_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 10880 ) N ;
- FILLER_0_137 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 68540 10880 ) N ;
- FILLER_0_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 10880 ) N ;
- FILLER_0_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 10880 ) N ;
- FILLER_0_165 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 81420 10880 ) N ;
- FILLER_0_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 10880 ) N ;
- FILLER_0_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 10880 ) N ;
- FILLER_0_193 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 94300 10880 ) N ;
- FILLER_0_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 10880 ) N ;
- FILLER_0_20 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 14720 10880 ) N ;
- FILLER_0_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 10880 ) N ;
- FILLER_0_221 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 107180 10880 ) N ;
- FILLER_0_225 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 109020 10880 ) N ;
- FILLER_0_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 10880 ) N ;
- FILLER_0_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 10880 ) N ;
- FILLER_0_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 10880 ) N ;
- FILLER_0_53 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 29900 10880 ) N ;
- FILLER_0_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 10880 ) N ;
- FILLER_0_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 10880 ) N ;
- FILLER_0_8 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 9200 10880 ) N ;
- FILLER_0_81 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 42780 10880 ) N ;
- FILLER_0_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 10880 ) N ;
- FILLER_0_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 10880 ) N ;
- FILLER_10_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 38080 ) N ;
- FILLER_10_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 38080 ) N ;
- FILLER_10_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 38080 ) N ;
- FILLER_10_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 38080 ) N ;
- FILLER_10_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 38080 ) N ;
- FILLER_10_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 38080 ) N ;
- FILLER_10_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 38080 ) N ;
- FILLER_10_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 38080 ) N ;
- FILLER_10_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 38080 ) N ;
- FILLER_10_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 38080 ) N ;
- FILLER_10_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 38080 ) N ;
- FILLER_10_20 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 14720 38080 ) N ;
- FILLER_10_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 38080 ) N ;
- FILLER_10_221 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 38080 ) N ;
- FILLER_10_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 38080 ) N ;
- FILLER_10_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 38080 ) N ;
- FILLER_10_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 38080 ) N ;
- FILLER_10_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 38080 ) N ;
- FILLER_10_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 38080 ) N ;
- FILLER_10_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 38080 ) N ;
- FILLER_10_8 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 9200 38080 ) N ;
- FILLER_10_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 38080 ) N ;
- FILLER_10_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 38080 ) N ;
- FILLER_10_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 38080 ) N ;
- FILLER_11_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 40800 ) FS ;
- FILLER_11_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 40800 ) FS ;
- FILLER_11_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 40800 ) FS ;
- FILLER_11_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 40800 ) FS ;
- FILLER_11_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 40800 ) FS ;
- FILLER_11_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 40800 ) FS ;
- FILLER_11_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 40800 ) FS ;
- FILLER_11_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 40800 ) FS ;
- FILLER_11_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 40800 ) FS ;
- FILLER_11_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 40800 ) FS ;
- FILLER_11_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 40800 ) FS ;
- FILLER_11_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 40800 ) FS ;
- FILLER_11_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 40800 ) FS ;
- FILLER_11_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 40800 ) FS ;
- FILLER_11_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 40800 ) FS ;
- FILLER_11_225 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 109020 40800 ) FS ;
- FILLER_11_231 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 111780 40800 ) FS ;
- FILLER_11_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 40800 ) FS ;
- FILLER_11_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 40800 ) FS ;
- FILLER_11_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 40800 ) FS ;
- FILLER_11_51 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 28980 40800 ) FS ;
- FILLER_11_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 40800 ) FS ;
- FILLER_11_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 40800 ) FS ;
- FILLER_11_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 40800 ) FS ;
- FILLER_11_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 40800 ) FS ;
- FILLER_11_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 40800 ) FS ;
- FILLER_12_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 43520 ) N ;
- FILLER_12_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 43520 ) N ;
- FILLER_12_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 43520 ) N ;
- FILLER_12_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 43520 ) N ;
- FILLER_12_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 43520 ) N ;
- FILLER_12_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 43520 ) N ;
- FILLER_12_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 43520 ) N ;
- FILLER_12_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 43520 ) N ;
- FILLER_12_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 43520 ) N ;
- FILLER_12_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 43520 ) N ;
- FILLER_12_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 43520 ) N ;
- FILLER_12_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 43520 ) N ;
- FILLER_12_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 43520 ) N ;
- FILLER_12_221 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 107180 43520 ) N ;
- FILLER_12_227 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 109940 43520 ) N ;
- FILLER_12_231 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 111780 43520 ) N ;
- FILLER_12_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 43520 ) N ;
- FILLER_12_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 43520 ) N ;
- FILLER_12_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 43520 ) N ;
- FILLER_12_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 43520 ) N ;
- FILLER_12_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 43520 ) N ;
- FILLER_12_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 43520 ) N ;
- FILLER_12_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 43520 ) N ;
- FILLER_12_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 43520 ) N ;
- FILLER_12_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 43520 ) N ;
- FILLER_12_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 43520 ) N ;
- FILLER_13_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 46240 ) FS ;
- FILLER_13_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 46240 ) FS ;
- FILLER_13_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 46240 ) FS ;
- FILLER_13_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 46240 ) FS ;
- FILLER_13_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 46240 ) FS ;
- FILLER_13_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 46240 ) FS ;
- FILLER_13_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 46240 ) FS ;
- FILLER_13_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 46240 ) FS ;
- FILLER_13_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 46240 ) FS ;
- FILLER_13_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 46240 ) FS ;
- FILLER_13_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 46240 ) FS ;
- FILLER_13_20 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 14720 46240 ) FS ;
- FILLER_13_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 46240 ) FS ;
- FILLER_13_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 46240 ) FS ;
- FILLER_13_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 46240 ) FS ;
- FILLER_13_225 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 109020 46240 ) FS ;
- FILLER_13_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 46240 ) FS ;
- FILLER_13_32 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20240 46240 ) FS ;
- FILLER_13_44 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25760 46240 ) FS ;
- FILLER_13_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 46240 ) FS ;
- FILLER_13_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 46240 ) FS ;
- FILLER_13_8 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 9200 46240 ) FS ;
- FILLER_13_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 46240 ) FS ;
- FILLER_13_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 46240 ) FS ;
- FILLER_14_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 48960 ) N ;
- FILLER_14_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 48960 ) N ;
- FILLER_14_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 48960 ) N ;
- FILLER_14_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 48960 ) N ;
- FILLER_14_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 48960 ) N ;
- FILLER_14_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 48960 ) N ;
- FILLER_14_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 48960 ) N ;
- FILLER_14_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 48960 ) N ;
- FILLER_14_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 48960 ) N ;
- FILLER_14_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 48960 ) N ;
- FILLER_14_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 48960 ) N ;
- FILLER_14_20 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 14720 48960 ) N ;
- FILLER_14_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 48960 ) N ;
- FILLER_14_221 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 107180 48960 ) N ;
- FILLER_14_227 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 109940 48960 ) N ;
- FILLER_14_231 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 111780 48960 ) N ;
- FILLER_14_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 48960 ) N ;
- FILLER_14_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 48960 ) N ;
- FILLER_14_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 48960 ) N ;
- FILLER_14_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 48960 ) N ;
- FILLER_14_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 48960 ) N ;
- FILLER_14_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 48960 ) N ;
- FILLER_14_8 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 9200 48960 ) N ;
- FILLER_14_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 48960 ) N ;
- FILLER_14_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 48960 ) N ;
- FILLER_14_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 48960 ) N ;
- FILLER_15_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 51680 ) FS ;
- FILLER_15_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 51680 ) FS ;
- FILLER_15_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 51680 ) FS ;
- FILLER_15_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 51680 ) FS ;
- FILLER_15_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 51680 ) FS ;
- FILLER_15_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 51680 ) FS ;
- FILLER_15_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 51680 ) FS ;
- FILLER_15_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 51680 ) FS ;
- FILLER_15_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 51680 ) FS ;
- FILLER_15_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 51680 ) FS ;
- FILLER_15_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 51680 ) FS ;
- FILLER_15_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 51680 ) FS ;
- FILLER_15_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 51680 ) FS ;
- FILLER_15_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 51680 ) FS ;
- FILLER_15_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 51680 ) FS ;
- FILLER_15_225 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 109020 51680 ) FS ;
- FILLER_15_231 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 111780 51680 ) FS ;
- FILLER_15_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 51680 ) FS ;
- FILLER_15_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 51680 ) FS ;
- FILLER_15_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 51680 ) FS ;
- FILLER_15_51 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 28980 51680 ) FS ;
- FILLER_15_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 51680 ) FS ;
- FILLER_15_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 51680 ) FS ;
- FILLER_15_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 51680 ) FS ;
- FILLER_15_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 51680 ) FS ;
- FILLER_15_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 51680 ) FS ;
- FILLER_16_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 54400 ) N ;
- FILLER_16_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 54400 ) N ;
- FILLER_16_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 54400 ) N ;
- FILLER_16_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 54400 ) N ;
- FILLER_16_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 54400 ) N ;
- FILLER_16_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 54400 ) N ;
- FILLER_16_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 54400 ) N ;
- FILLER_16_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 54400 ) N ;
- FILLER_16_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 54400 ) N ;
- FILLER_16_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 54400 ) N ;
- FILLER_16_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 54400 ) N ;
- FILLER_16_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 54400 ) N ;
- FILLER_16_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 54400 ) N ;
- FILLER_16_221 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 54400 ) N ;
- FILLER_16_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 54400 ) N ;
- FILLER_16_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 54400 ) N ;
- FILLER_16_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 54400 ) N ;
- FILLER_16_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 54400 ) N ;
- FILLER_16_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 54400 ) N ;
- FILLER_16_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 54400 ) N ;
- FILLER_16_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 54400 ) N ;
- FILLER_16_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 54400 ) N ;
- FILLER_16_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 54400 ) N ;
- FILLER_16_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 54400 ) N ;
- FILLER_17_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 57120 ) FS ;
- FILLER_17_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 57120 ) FS ;
- FILLER_17_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 57120 ) FS ;
- FILLER_17_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 57120 ) FS ;
- FILLER_17_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 57120 ) FS ;
- FILLER_17_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 57120 ) FS ;
- FILLER_17_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 57120 ) FS ;
- FILLER_17_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 57120 ) FS ;
- FILLER_17_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 57120 ) FS ;
- FILLER_17_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 57120 ) FS ;
- FILLER_17_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 57120 ) FS ;
- FILLER_17_20 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 14720 57120 ) FS ;
- FILLER_17_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 57120 ) FS ;
- FILLER_17_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 57120 ) FS ;
- FILLER_17_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 57120 ) FS ;
- FILLER_17_225 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 109020 57120 ) FS ;
- FILLER_17_231 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 111780 57120 ) FS ;
- FILLER_17_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 57120 ) FS ;
- FILLER_17_32 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20240 57120 ) FS ;
- FILLER_17_44 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25760 57120 ) FS ;
- FILLER_17_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 57120 ) FS ;
- FILLER_17_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 57120 ) FS ;
- FILLER_17_8 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 9200 57120 ) FS ;
- FILLER_17_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 57120 ) FS ;
- FILLER_17_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 57120 ) FS ;
- FILLER_18_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 59840 ) N ;
- FILLER_18_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 59840 ) N ;
- FILLER_18_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 59840 ) N ;
- FILLER_18_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 59840 ) N ;
- FILLER_18_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 59840 ) N ;
- FILLER_18_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 59840 ) N ;
- FILLER_18_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 59840 ) N ;
- FILLER_18_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 59840 ) N ;
- FILLER_18_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 59840 ) N ;
- FILLER_18_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 59840 ) N ;
- FILLER_18_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 59840 ) N ;
- FILLER_18_20 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 14720 59840 ) N ;
- FILLER_18_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 59840 ) N ;
- FILLER_18_221 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 107180 59840 ) N ;
- FILLER_18_227 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 109940 59840 ) N ;
- FILLER_18_231 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 111780 59840 ) N ;
- FILLER_18_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 59840 ) N ;
- FILLER_18_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 59840 ) N ;
- FILLER_18_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 59840 ) N ;
- FILLER_18_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 59840 ) N ;
- FILLER_18_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 59840 ) N ;
- FILLER_18_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 59840 ) N ;
- FILLER_18_8 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 9200 59840 ) N ;
- FILLER_18_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 59840 ) N ;
- FILLER_18_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 59840 ) N ;
- FILLER_18_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 59840 ) N ;
- FILLER_19_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 62560 ) FS ;
- FILLER_19_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 62560 ) FS ;
- FILLER_19_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 62560 ) FS ;
- FILLER_19_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 62560 ) FS ;
- FILLER_19_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 62560 ) FS ;
- FILLER_19_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 62560 ) FS ;
- FILLER_19_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 62560 ) FS ;
- FILLER_19_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 62560 ) FS ;
- FILLER_19_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 62560 ) FS ;
- FILLER_19_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 62560 ) FS ;
- FILLER_19_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 62560 ) FS ;
- FILLER_19_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 62560 ) FS ;
- FILLER_19_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 62560 ) FS ;
- FILLER_19_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 62560 ) FS ;
- FILLER_19_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 62560 ) FS ;
- FILLER_19_225 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 109020 62560 ) FS ;
- FILLER_19_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 62560 ) FS ;
- FILLER_19_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 62560 ) FS ;
- FILLER_19_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 62560 ) FS ;
- FILLER_19_51 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 28980 62560 ) FS ;
- FILLER_19_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 62560 ) FS ;
- FILLER_19_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 62560 ) FS ;
- FILLER_19_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 62560 ) FS ;
- FILLER_19_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 62560 ) FS ;
- FILLER_19_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 62560 ) FS ;
- FILLER_1_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 13600 ) FS ;
- FILLER_1_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 13600 ) FS ;
- FILLER_1_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 13600 ) FS ;
- FILLER_1_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 13600 ) FS ;
- FILLER_1_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 13600 ) FS ;
- FILLER_1_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 13600 ) FS ;
- FILLER_1_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 13600 ) FS ;
- FILLER_1_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 13600 ) FS ;
- FILLER_1_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 13600 ) FS ;
- FILLER_1_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 13600 ) FS ;
- FILLER_1_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 13600 ) FS ;
- FILLER_1_20 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 14720 13600 ) FS ;
- FILLER_1_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 13600 ) FS ;
- FILLER_1_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 13600 ) FS ;
- FILLER_1_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 13600 ) FS ;
- FILLER_1_225 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 109020 13600 ) FS ;
- FILLER_1_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 13600 ) FS ;
- FILLER_1_32 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20240 13600 ) FS ;
- FILLER_1_44 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25760 13600 ) FS ;
- FILLER_1_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 13600 ) FS ;
- FILLER_1_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 13600 ) FS ;
- FILLER_1_8 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 9200 13600 ) FS ;
- FILLER_1_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 13600 ) FS ;
- FILLER_1_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 13600 ) FS ;
- FILLER_20_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 65280 ) N ;
- FILLER_20_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 65280 ) N ;
- FILLER_20_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 65280 ) N ;
- FILLER_20_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 65280 ) N ;
- FILLER_20_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 65280 ) N ;
- FILLER_20_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 65280 ) N ;
- FILLER_20_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 65280 ) N ;
- FILLER_20_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 65280 ) N ;
- FILLER_20_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 65280 ) N ;
- FILLER_20_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 65280 ) N ;
- FILLER_20_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 65280 ) N ;
- FILLER_20_20 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 14720 65280 ) N ;
- FILLER_20_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 65280 ) N ;
- FILLER_20_221 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 107180 65280 ) N ;
- FILLER_20_227 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 109940 65280 ) N ;
- FILLER_20_231 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 111780 65280 ) N ;
- FILLER_20_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 65280 ) N ;
- FILLER_20_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 65280 ) N ;
- FILLER_20_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 65280 ) N ;
- FILLER_20_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 65280 ) N ;
- FILLER_20_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 65280 ) N ;
- FILLER_20_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 65280 ) N ;
- FILLER_20_8 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 9200 65280 ) N ;
- FILLER_20_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 65280 ) N ;
- FILLER_20_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 65280 ) N ;
- FILLER_20_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 65280 ) N ;
- FILLER_21_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 68000 ) FS ;
- FILLER_21_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 68000 ) FS ;
- FILLER_21_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 68000 ) FS ;
- FILLER_21_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 68000 ) FS ;
- FILLER_21_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 68000 ) FS ;
- FILLER_21_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 68000 ) FS ;
- FILLER_21_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 68000 ) FS ;
- FILLER_21_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 68000 ) FS ;
- FILLER_21_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 68000 ) FS ;
- FILLER_21_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 68000 ) FS ;
- FILLER_21_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 68000 ) FS ;
- FILLER_21_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 68000 ) FS ;
- FILLER_21_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 68000 ) FS ;
- FILLER_21_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 68000 ) FS ;
- FILLER_21_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 68000 ) FS ;
- FILLER_21_225 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 109020 68000 ) FS ;
- FILLER_21_231 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 111780 68000 ) FS ;
- FILLER_21_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 68000 ) FS ;
- FILLER_21_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 68000 ) FS ;
- FILLER_21_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 68000 ) FS ;
- FILLER_21_51 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 28980 68000 ) FS ;
- FILLER_21_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 68000 ) FS ;
- FILLER_21_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 68000 ) FS ;
- FILLER_21_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 68000 ) FS ;
- FILLER_21_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 68000 ) FS ;
- FILLER_21_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 68000 ) FS ;
- FILLER_22_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 70720 ) N ;
- FILLER_22_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 70720 ) N ;
- FILLER_22_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 70720 ) N ;
- FILLER_22_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 70720 ) N ;
- FILLER_22_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 70720 ) N ;
- FILLER_22_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 70720 ) N ;
- FILLER_22_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 70720 ) N ;
- FILLER_22_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 70720 ) N ;
- FILLER_22_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 70720 ) N ;
- FILLER_22_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 70720 ) N ;
- FILLER_22_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 70720 ) N ;
- FILLER_22_20 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 14720 70720 ) N ;
- FILLER_22_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 70720 ) N ;
- FILLER_22_221 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 70720 ) N ;
- FILLER_22_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 70720 ) N ;
- FILLER_22_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 70720 ) N ;
- FILLER_22_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 70720 ) N ;
- FILLER_22_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 70720 ) N ;
- FILLER_22_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 70720 ) N ;
- FILLER_22_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 70720 ) N ;
- FILLER_22_8 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 9200 70720 ) N ;
- FILLER_22_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 70720 ) N ;
- FILLER_22_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 70720 ) N ;
- FILLER_22_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 70720 ) N ;
- FILLER_23_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 73440 ) FS ;
- FILLER_23_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 73440 ) FS ;
- FILLER_23_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 73440 ) FS ;
- FILLER_23_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 73440 ) FS ;
- FILLER_23_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 73440 ) FS ;
- FILLER_23_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 73440 ) FS ;
- FILLER_23_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 73440 ) FS ;
- FILLER_23_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 73440 ) FS ;
- FILLER_23_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 73440 ) FS ;
- FILLER_23_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 73440 ) FS ;
- FILLER_23_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 73440 ) FS ;
- FILLER_23_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 73440 ) FS ;
- FILLER_23_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 73440 ) FS ;
- FILLER_23_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 73440 ) FS ;
- FILLER_23_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 73440 ) FS ;
- FILLER_23_225 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 109020 73440 ) FS ;
- FILLER_23_231 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 111780 73440 ) FS ;
- FILLER_23_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 73440 ) FS ;
- FILLER_23_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 73440 ) FS ;
- FILLER_23_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 73440 ) FS ;
- FILLER_23_51 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 28980 73440 ) FS ;
- FILLER_23_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 73440 ) FS ;
- FILLER_23_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 73440 ) FS ;
- FILLER_23_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 73440 ) FS ;
- FILLER_23_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 73440 ) FS ;
- FILLER_23_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 73440 ) FS ;
- FILLER_24_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 76160 ) N ;
- FILLER_24_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 76160 ) N ;
- FILLER_24_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 76160 ) N ;
- FILLER_24_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 76160 ) N ;
- FILLER_24_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 76160 ) N ;
- FILLER_24_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 76160 ) N ;
- FILLER_24_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 76160 ) N ;
- FILLER_24_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 76160 ) N ;
- FILLER_24_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 76160 ) N ;
- FILLER_24_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 76160 ) N ;
- FILLER_24_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 76160 ) N ;
- FILLER_24_20 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 14720 76160 ) N ;
- FILLER_24_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 76160 ) N ;
- FILLER_24_221 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 107180 76160 ) N ;
- FILLER_24_227 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 109940 76160 ) N ;
- FILLER_24_231 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 111780 76160 ) N ;
- FILLER_24_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 76160 ) N ;
- FILLER_24_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 76160 ) N ;
- FILLER_24_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 76160 ) N ;
- FILLER_24_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 76160 ) N ;
- FILLER_24_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 76160 ) N ;
- FILLER_24_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 76160 ) N ;
- FILLER_24_8 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 9200 76160 ) N ;
- FILLER_24_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 76160 ) N ;
- FILLER_24_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 76160 ) N ;
- FILLER_24_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 76160 ) N ;
- FILLER_25_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 78880 ) FS ;
- FILLER_25_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 78880 ) FS ;
- FILLER_25_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 78880 ) FS ;
- FILLER_25_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 78880 ) FS ;
- FILLER_25_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 78880 ) FS ;
- FILLER_25_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 78880 ) FS ;
- FILLER_25_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 78880 ) FS ;
- FILLER_25_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 78880 ) FS ;
- FILLER_25_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 78880 ) FS ;
- FILLER_25_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 78880 ) FS ;
- FILLER_25_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 78880 ) FS ;
- FILLER_25_20 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 14720 78880 ) FS ;
- FILLER_25_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 78880 ) FS ;
- FILLER_25_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 78880 ) FS ;
- FILLER_25_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 78880 ) FS ;
- FILLER_25_225 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 109020 78880 ) FS ;
- FILLER_25_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 78880 ) FS ;
- FILLER_25_32 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20240 78880 ) FS ;
- FILLER_25_44 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25760 78880 ) FS ;
- FILLER_25_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 78880 ) FS ;
- FILLER_25_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 78880 ) FS ;
- FILLER_25_8 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 9200 78880 ) FS ;
- FILLER_25_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 78880 ) FS ;
- FILLER_25_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 78880 ) FS ;
- FILLER_26_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 81600 ) N ;
- FILLER_26_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 81600 ) N ;
- FILLER_26_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 81600 ) N ;
- FILLER_26_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 81600 ) N ;
- FILLER_26_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 81600 ) N ;
- FILLER_26_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 81600 ) N ;
- FILLER_26_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 81600 ) N ;
- FILLER_26_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 81600 ) N ;
- FILLER_26_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 81600 ) N ;
- FILLER_26_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 81600 ) N ;
- FILLER_26_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 81600 ) N ;
- FILLER_26_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 81600 ) N ;
- FILLER_26_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 81600 ) N ;
- FILLER_26_221 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 107180 81600 ) N ;
- FILLER_26_227 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 109940 81600 ) N ;
- FILLER_26_231 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 111780 81600 ) N ;
- FILLER_26_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 81600 ) N ;
- FILLER_26_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 81600 ) N ;
- FILLER_26_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 81600 ) N ;
- FILLER_26_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 81600 ) N ;
- FILLER_26_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 81600 ) N ;
- FILLER_26_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 81600 ) N ;
- FILLER_26_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 81600 ) N ;
- FILLER_26_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 81600 ) N ;
- FILLER_26_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 81600 ) N ;
- FILLER_26_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 81600 ) N ;
- FILLER_27_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 84320 ) FS ;
- FILLER_27_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 84320 ) FS ;
- FILLER_27_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 84320 ) FS ;
- FILLER_27_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 84320 ) FS ;
- FILLER_27_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 84320 ) FS ;
- FILLER_27_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 84320 ) FS ;
- FILLER_27_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 84320 ) FS ;
- FILLER_27_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 84320 ) FS ;
- FILLER_27_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 84320 ) FS ;
- FILLER_27_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 84320 ) FS ;
- FILLER_27_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 84320 ) FS ;
- FILLER_27_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 84320 ) FS ;
- FILLER_27_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 84320 ) FS ;
- FILLER_27_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 84320 ) FS ;
- FILLER_27_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 84320 ) FS ;
- FILLER_27_225 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 109020 84320 ) FS ;
- FILLER_27_231 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 111780 84320 ) FS ;
- FILLER_27_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 84320 ) FS ;
- FILLER_27_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 84320 ) FS ;
- FILLER_27_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 84320 ) FS ;
- FILLER_27_51 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 28980 84320 ) FS ;
- FILLER_27_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 84320 ) FS ;
- FILLER_27_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 84320 ) FS ;
- FILLER_27_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 84320 ) FS ;
- FILLER_27_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 84320 ) FS ;
- FILLER_27_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 84320 ) FS ;
- FILLER_28_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 87040 ) N ;
- FILLER_28_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 87040 ) N ;
- FILLER_28_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 87040 ) N ;
- FILLER_28_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 87040 ) N ;
- FILLER_28_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 87040 ) N ;
- FILLER_28_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 87040 ) N ;
- FILLER_28_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 87040 ) N ;
- FILLER_28_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 87040 ) N ;
- FILLER_28_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 87040 ) N ;
- FILLER_28_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 87040 ) N ;
- FILLER_28_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 87040 ) N ;
- FILLER_28_20 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 14720 87040 ) N ;
- FILLER_28_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 87040 ) N ;
- FILLER_28_221 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 87040 ) N ;
- FILLER_28_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 87040 ) N ;
- FILLER_28_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 87040 ) N ;
- FILLER_28_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 87040 ) N ;
- FILLER_28_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 87040 ) N ;
- FILLER_28_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 87040 ) N ;
- FILLER_28_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 87040 ) N ;
- FILLER_28_8 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 9200 87040 ) N ;
- FILLER_28_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 87040 ) N ;
- FILLER_28_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 87040 ) N ;
- FILLER_28_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 87040 ) N ;
- FILLER_29_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 89760 ) FS ;
- FILLER_29_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 89760 ) FS ;
- FILLER_29_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 89760 ) FS ;
- FILLER_29_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 89760 ) FS ;
- FILLER_29_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 89760 ) FS ;
- FILLER_29_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 89760 ) FS ;
- FILLER_29_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 89760 ) FS ;
- FILLER_29_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 89760 ) FS ;
- FILLER_29_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 89760 ) FS ;
- FILLER_29_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 89760 ) FS ;
- FILLER_29_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 89760 ) FS ;
- FILLER_29_20 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 14720 89760 ) FS ;
- FILLER_29_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 89760 ) FS ;
- FILLER_29_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 89760 ) FS ;
- FILLER_29_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 89760 ) FS ;
- FILLER_29_225 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 109020 89760 ) FS ;
- FILLER_29_231 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 111780 89760 ) FS ;
- FILLER_29_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 89760 ) FS ;
- FILLER_29_32 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20240 89760 ) FS ;
- FILLER_29_44 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25760 89760 ) FS ;
- FILLER_29_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 89760 ) FS ;
- FILLER_29_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 89760 ) FS ;
- FILLER_29_8 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 9200 89760 ) FS ;
- FILLER_29_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 89760 ) FS ;
- FILLER_29_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 89760 ) FS ;
- FILLER_2_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 16320 ) N ;
- FILLER_2_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 16320 ) N ;
- FILLER_2_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 16320 ) N ;
- FILLER_2_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 16320 ) N ;
- FILLER_2_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 16320 ) N ;
- FILLER_2_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 16320 ) N ;
- FILLER_2_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 16320 ) N ;
- FILLER_2_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 16320 ) N ;
- FILLER_2_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 16320 ) N ;
- FILLER_2_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 16320 ) N ;
- FILLER_2_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 16320 ) N ;
- FILLER_2_20 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 14720 16320 ) N ;
- FILLER_2_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 16320 ) N ;
- FILLER_2_221 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 107180 16320 ) N ;
- FILLER_2_227 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 109940 16320 ) N ;
- FILLER_2_231 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 111780 16320 ) N ;
- FILLER_2_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 16320 ) N ;
- FILLER_2_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 16320 ) N ;
- FILLER_2_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 16320 ) N ;
- FILLER_2_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 16320 ) N ;
- FILLER_2_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 16320 ) N ;
- FILLER_2_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 16320 ) N ;
- FILLER_2_8 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 9200 16320 ) N ;
- FILLER_2_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 16320 ) N ;
- FILLER_2_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 16320 ) N ;
- FILLER_2_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 16320 ) N ;
- FILLER_30_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 92480 ) N ;
- FILLER_30_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 92480 ) N ;
- FILLER_30_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 92480 ) N ;
- FILLER_30_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 92480 ) N ;
- FILLER_30_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 92480 ) N ;
- FILLER_30_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 92480 ) N ;
- FILLER_30_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 92480 ) N ;
- FILLER_30_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 92480 ) N ;
- FILLER_30_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 92480 ) N ;
- FILLER_30_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 92480 ) N ;
- FILLER_30_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 92480 ) N ;
- FILLER_30_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 92480 ) N ;
- FILLER_30_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 92480 ) N ;
- FILLER_30_221 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 107180 92480 ) N ;
- FILLER_30_227 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 109940 92480 ) N ;
- FILLER_30_231 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 111780 92480 ) N ;
- FILLER_30_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 92480 ) N ;
- FILLER_30_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 92480 ) N ;
- FILLER_30_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 92480 ) N ;
- FILLER_30_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 92480 ) N ;
- FILLER_30_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 92480 ) N ;
- FILLER_30_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 92480 ) N ;
- FILLER_30_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 92480 ) N ;
- FILLER_30_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 92480 ) N ;
- FILLER_30_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 92480 ) N ;
- FILLER_30_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 92480 ) N ;
- FILLER_31_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 95200 ) FS ;
- FILLER_31_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 95200 ) FS ;
- FILLER_31_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 95200 ) FS ;
- FILLER_31_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 95200 ) FS ;
- FILLER_31_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 95200 ) FS ;
- FILLER_31_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 95200 ) FS ;
- FILLER_31_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 95200 ) FS ;
- FILLER_31_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 95200 ) FS ;
- FILLER_31_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 95200 ) FS ;
- FILLER_31_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 95200 ) FS ;
- FILLER_31_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 95200 ) FS ;
- FILLER_31_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 95200 ) FS ;
- FILLER_31_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 95200 ) FS ;
- FILLER_31_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 95200 ) FS ;
- FILLER_31_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 95200 ) FS ;
- FILLER_31_225 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 109020 95200 ) FS ;
- FILLER_31_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 95200 ) FS ;
- FILLER_31_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 95200 ) FS ;
- FILLER_31_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 95200 ) FS ;
- FILLER_31_51 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 28980 95200 ) FS ;
- FILLER_31_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 95200 ) FS ;
- FILLER_31_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 95200 ) FS ;
- FILLER_31_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 95200 ) FS ;
- FILLER_31_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 95200 ) FS ;
- FILLER_31_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 95200 ) FS ;
- FILLER_32_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 97920 ) N ;
- FILLER_32_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 97920 ) N ;
- FILLER_32_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 97920 ) N ;
- FILLER_32_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 97920 ) N ;
- FILLER_32_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 97920 ) N ;
- FILLER_32_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 97920 ) N ;
- FILLER_32_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 97920 ) N ;
- FILLER_32_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 97920 ) N ;
- FILLER_32_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 97920 ) N ;
- FILLER_32_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 97920 ) N ;
- FILLER_32_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 97920 ) N ;
- FILLER_32_20 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 14720 97920 ) N ;
- FILLER_32_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 97920 ) N ;
- FILLER_32_221 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 107180 97920 ) N ;
- FILLER_32_227 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 109940 97920 ) N ;
- FILLER_32_231 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 111780 97920 ) N ;
- FILLER_32_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 97920 ) N ;
- FILLER_32_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 97920 ) N ;
- FILLER_32_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 97920 ) N ;
- FILLER_32_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 97920 ) N ;
- FILLER_32_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 97920 ) N ;
- FILLER_32_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 97920 ) N ;
- FILLER_32_8 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 9200 97920 ) N ;
- FILLER_32_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 97920 ) N ;
- FILLER_32_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 97920 ) N ;
- FILLER_32_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 97920 ) N ;
- FILLER_33_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 100640 ) FS ;
- FILLER_33_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 100640 ) FS ;
- FILLER_33_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 100640 ) FS ;
- FILLER_33_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 100640 ) FS ;
- FILLER_33_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 100640 ) FS ;
- FILLER_33_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 100640 ) FS ;
- FILLER_33_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 100640 ) FS ;
- FILLER_33_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 100640 ) FS ;
- FILLER_33_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 100640 ) FS ;
- FILLER_33_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 100640 ) FS ;
- FILLER_33_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 100640 ) FS ;
- FILLER_33_20 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 14720 100640 ) FS ;
- FILLER_33_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 100640 ) FS ;
- FILLER_33_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 100640 ) FS ;
- FILLER_33_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 100640 ) FS ;
- FILLER_33_225 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 109020 100640 ) FS ;
- FILLER_33_231 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 111780 100640 ) FS ;
- FILLER_33_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 100640 ) FS ;
- FILLER_33_32 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20240 100640 ) FS ;
- FILLER_33_44 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25760 100640 ) FS ;
- FILLER_33_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 100640 ) FS ;
- FILLER_33_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 100640 ) FS ;
- FILLER_33_8 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 9200 100640 ) FS ;
- FILLER_33_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 100640 ) FS ;
- FILLER_33_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 100640 ) FS ;
- FILLER_34_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 103360 ) N ;
- FILLER_34_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 103360 ) N ;
- FILLER_34_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 103360 ) N ;
- FILLER_34_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 103360 ) N ;
- FILLER_34_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 103360 ) N ;
- FILLER_34_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 103360 ) N ;
- FILLER_34_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 103360 ) N ;
- FILLER_34_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 103360 ) N ;
- FILLER_34_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 103360 ) N ;
- FILLER_34_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 103360 ) N ;
- FILLER_34_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 103360 ) N ;
- FILLER_34_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 103360 ) N ;
- FILLER_34_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 103360 ) N ;
- FILLER_34_221 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 103360 ) N ;
- FILLER_34_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 103360 ) N ;
- FILLER_34_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 103360 ) N ;
- FILLER_34_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 103360 ) N ;
- FILLER_34_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 103360 ) N ;
- FILLER_34_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 103360 ) N ;
- FILLER_34_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 103360 ) N ;
- FILLER_34_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 103360 ) N ;
- FILLER_34_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 103360 ) N ;
- FILLER_34_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 103360 ) N ;
- FILLER_34_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 103360 ) N ;
- FILLER_35_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 106080 ) FS ;
- FILLER_35_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 106080 ) FS ;
- FILLER_35_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 106080 ) FS ;
- FILLER_35_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 106080 ) FS ;
- FILLER_35_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 106080 ) FS ;
- FILLER_35_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 106080 ) FS ;
- FILLER_35_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 106080 ) FS ;
- FILLER_35_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 106080 ) FS ;
- FILLER_35_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 106080 ) FS ;
- FILLER_35_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 106080 ) FS ;
- FILLER_35_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 106080 ) FS ;
- FILLER_35_20 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 14720 106080 ) FS ;
- FILLER_35_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 106080 ) FS ;
- FILLER_35_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 106080 ) FS ;
- FILLER_35_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 106080 ) FS ;
- FILLER_35_225 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 109020 106080 ) FS ;
- FILLER_35_231 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 111780 106080 ) FS ;
- FILLER_35_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 106080 ) FS ;
- FILLER_35_32 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20240 106080 ) FS ;
- FILLER_35_44 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25760 106080 ) FS ;
- FILLER_35_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 106080 ) FS ;
- FILLER_35_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 106080 ) FS ;
- FILLER_35_8 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 9200 106080 ) FS ;
- FILLER_35_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 106080 ) FS ;
- FILLER_35_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 106080 ) FS ;
- FILLER_36_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 108800 ) N ;
- FILLER_36_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 108800 ) N ;
- FILLER_36_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 108800 ) N ;
- FILLER_36_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 108800 ) N ;
- FILLER_36_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 108800 ) N ;
- FILLER_36_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 108800 ) N ;
- FILLER_36_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 108800 ) N ;
- FILLER_36_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 108800 ) N ;
- FILLER_36_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 108800 ) N ;
- FILLER_36_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 108800 ) N ;
- FILLER_36_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 108800 ) N ;
- FILLER_36_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 108800 ) N ;
- FILLER_36_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 108800 ) N ;
- FILLER_36_221 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 107180 108800 ) N ;
- FILLER_36_227 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 109940 108800 ) N ;
- FILLER_36_231 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 111780 108800 ) N ;
- FILLER_36_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 108800 ) N ;
- FILLER_36_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 108800 ) N ;
- FILLER_36_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 108800 ) N ;
- FILLER_36_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 108800 ) N ;
- FILLER_36_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 108800 ) N ;
- FILLER_36_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 108800 ) N ;
- FILLER_36_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 108800 ) N ;
- FILLER_36_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 108800 ) N ;
- FILLER_36_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 108800 ) N ;
- FILLER_36_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 108800 ) N ;
- FILLER_37_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 111520 ) FS ;
- FILLER_37_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 111520 ) FS ;
- FILLER_37_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 111520 ) FS ;
- FILLER_37_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 111520 ) FS ;
- FILLER_37_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 111520 ) FS ;
- FILLER_37_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 111520 ) FS ;
- FILLER_37_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 111520 ) FS ;
- FILLER_37_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 111520 ) FS ;
- FILLER_37_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 111520 ) FS ;
- FILLER_37_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 111520 ) FS ;
- FILLER_37_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 111520 ) FS ;
- FILLER_37_20 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 14720 111520 ) FS ;
- FILLER_37_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 111520 ) FS ;
- FILLER_37_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 111520 ) FS ;
- FILLER_37_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 111520 ) FS ;
- FILLER_37_225 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 109020 111520 ) FS ;
- FILLER_37_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 111520 ) FS ;
- FILLER_37_32 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20240 111520 ) FS ;
- FILLER_37_44 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25760 111520 ) FS ;
- FILLER_37_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 111520 ) FS ;
- FILLER_37_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 111520 ) FS ;
- FILLER_37_8 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 9200 111520 ) FS ;
- FILLER_37_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 111520 ) FS ;
- FILLER_37_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 111520 ) FS ;
- FILLER_38_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 114240 ) N ;
- FILLER_38_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 114240 ) N ;
- FILLER_38_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 114240 ) N ;
- FILLER_38_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 114240 ) N ;
- FILLER_38_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 114240 ) N ;
- FILLER_38_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 114240 ) N ;
- FILLER_38_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 114240 ) N ;
- FILLER_38_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 114240 ) N ;
- FILLER_38_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 114240 ) N ;
- FILLER_38_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 114240 ) N ;
- FILLER_38_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 114240 ) N ;
- FILLER_38_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 114240 ) N ;
- FILLER_38_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 114240 ) N ;
- FILLER_38_221 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 107180 114240 ) N ;
- FILLER_38_227 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 109940 114240 ) N ;
- FILLER_38_231 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 111780 114240 ) N ;
- FILLER_38_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 114240 ) N ;
- FILLER_38_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 114240 ) N ;
- FILLER_38_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 114240 ) N ;
- FILLER_38_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 114240 ) N ;
- FILLER_38_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 114240 ) N ;
- FILLER_38_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 114240 ) N ;
- FILLER_38_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 114240 ) N ;
- FILLER_38_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 114240 ) N ;
- FILLER_38_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 114240 ) N ;
- FILLER_38_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 114240 ) N ;
- FILLER_39_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 116960 ) FS ;
- FILLER_39_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 116960 ) FS ;
- FILLER_39_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 116960 ) FS ;
- FILLER_39_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 116960 ) FS ;
- FILLER_39_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 116960 ) FS ;
- FILLER_39_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 116960 ) FS ;
- FILLER_39_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 116960 ) FS ;
- FILLER_39_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 116960 ) FS ;
- FILLER_39_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 116960 ) FS ;
- FILLER_39_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 116960 ) FS ;
- FILLER_39_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 116960 ) FS ;
- FILLER_39_20 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 14720 116960 ) FS ;
- FILLER_39_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 116960 ) FS ;
- FILLER_39_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 116960 ) FS ;
- FILLER_39_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 116960 ) FS ;
- FILLER_39_225 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 109020 116960 ) FS ;
- FILLER_39_231 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 111780 116960 ) FS ;
- FILLER_39_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 116960 ) FS ;
- FILLER_39_32 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20240 116960 ) FS ;
- FILLER_39_44 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25760 116960 ) FS ;
- FILLER_39_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 116960 ) FS ;
- FILLER_39_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 116960 ) FS ;
- FILLER_39_8 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 9200 116960 ) FS ;
- FILLER_39_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 116960 ) FS ;
- FILLER_39_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 116960 ) FS ;
- FILLER_3_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 19040 ) FS ;
- FILLER_3_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 19040 ) FS ;
- FILLER_3_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 19040 ) FS ;
- FILLER_3_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 19040 ) FS ;
- FILLER_3_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 19040 ) FS ;
- FILLER_3_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 19040 ) FS ;
- FILLER_3_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 19040 ) FS ;
- FILLER_3_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 19040 ) FS ;
- FILLER_3_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 19040 ) FS ;
- FILLER_3_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 19040 ) FS ;
- FILLER_3_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 19040 ) FS ;
- FILLER_3_20 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 14720 19040 ) FS ;
- FILLER_3_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 19040 ) FS ;
- FILLER_3_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 19040 ) FS ;
- FILLER_3_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 19040 ) FS ;
- FILLER_3_225 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 109020 19040 ) FS ;
- FILLER_3_231 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 111780 19040 ) FS ;
- FILLER_3_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 19040 ) FS ;
- FILLER_3_32 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20240 19040 ) FS ;
- FILLER_3_44 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25760 19040 ) FS ;
- FILLER_3_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 19040 ) FS ;
- FILLER_3_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 19040 ) FS ;
- FILLER_3_8 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 9200 19040 ) FS ;
- FILLER_3_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 19040 ) FS ;
- FILLER_3_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 19040 ) FS ;
- FILLER_40_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 119680 ) N ;
- FILLER_40_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 119680 ) N ;
- FILLER_40_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 119680 ) N ;
- FILLER_40_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 119680 ) N ;
- FILLER_40_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 119680 ) N ;
- FILLER_40_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 119680 ) N ;
- FILLER_40_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 119680 ) N ;
- FILLER_40_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 119680 ) N ;
- FILLER_40_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 119680 ) N ;
- FILLER_40_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 119680 ) N ;
- FILLER_40_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 119680 ) N ;
- FILLER_40_20 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 14720 119680 ) N ;
- FILLER_40_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 119680 ) N ;
- FILLER_40_221 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 119680 ) N ;
- FILLER_40_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 119680 ) N ;
- FILLER_40_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 119680 ) N ;
- FILLER_40_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 119680 ) N ;
- FILLER_40_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 119680 ) N ;
- FILLER_40_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 119680 ) N ;
- FILLER_40_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 119680 ) N ;
- FILLER_40_8 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 9200 119680 ) N ;
- FILLER_40_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 119680 ) N ;
- FILLER_40_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 119680 ) N ;
- FILLER_40_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 119680 ) N ;
- FILLER_41_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 122400 ) FS ;
- FILLER_41_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 122400 ) FS ;
- FILLER_41_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 122400 ) FS ;
- FILLER_41_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 122400 ) FS ;
- FILLER_41_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 122400 ) FS ;
- FILLER_41_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 122400 ) FS ;
- FILLER_41_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 122400 ) FS ;
- FILLER_41_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 122400 ) FS ;
- FILLER_41_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 122400 ) FS ;
- FILLER_41_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 122400 ) FS ;
- FILLER_41_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 122400 ) FS ;
- FILLER_41_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 122400 ) FS ;
- FILLER_41_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 122400 ) FS ;
- FILLER_41_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 122400 ) FS ;
- FILLER_41_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 122400 ) FS ;
- FILLER_41_225 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 109020 122400 ) FS ;
- FILLER_41_231 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 111780 122400 ) FS ;
- FILLER_41_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 122400 ) FS ;
- FILLER_41_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 122400 ) FS ;
- FILLER_41_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 122400 ) FS ;
- FILLER_41_51 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 28980 122400 ) FS ;
- FILLER_41_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 122400 ) FS ;
- FILLER_41_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 122400 ) FS ;
- FILLER_41_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 122400 ) FS ;
- FILLER_41_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 122400 ) FS ;
- FILLER_41_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 122400 ) FS ;
- FILLER_42_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 125120 ) N ;
- FILLER_42_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 125120 ) N ;
- FILLER_42_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 125120 ) N ;
- FILLER_42_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 125120 ) N ;
- FILLER_42_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 125120 ) N ;
- FILLER_42_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 125120 ) N ;
- FILLER_42_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 125120 ) N ;
- FILLER_42_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 125120 ) N ;
- FILLER_42_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 125120 ) N ;
- FILLER_42_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 125120 ) N ;
- FILLER_42_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 125120 ) N ;
- FILLER_42_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 125120 ) N ;
- FILLER_42_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 125120 ) N ;
- FILLER_42_221 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 107180 125120 ) N ;
- FILLER_42_227 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 109940 125120 ) N ;
- FILLER_42_231 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 111780 125120 ) N ;
- FILLER_42_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 125120 ) N ;
- FILLER_42_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 125120 ) N ;
- FILLER_42_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 125120 ) N ;
- FILLER_42_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 125120 ) N ;
- FILLER_42_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 125120 ) N ;
- FILLER_42_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 125120 ) N ;
- FILLER_42_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 125120 ) N ;
- FILLER_42_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 125120 ) N ;
- FILLER_42_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 125120 ) N ;
- FILLER_42_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 125120 ) N ;
- FILLER_43_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 127840 ) FS ;
- FILLER_43_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 127840 ) FS ;
- FILLER_43_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 127840 ) FS ;
- FILLER_43_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 127840 ) FS ;
- FILLER_43_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 127840 ) FS ;
- FILLER_43_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 127840 ) FS ;
- FILLER_43_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 127840 ) FS ;
- FILLER_43_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 127840 ) FS ;
- FILLER_43_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 127840 ) FS ;
- FILLER_43_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 127840 ) FS ;
- FILLER_43_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 127840 ) FS ;
- FILLER_43_20 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 14720 127840 ) FS ;
- FILLER_43_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 127840 ) FS ;
- FILLER_43_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 127840 ) FS ;
- FILLER_43_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 127840 ) FS ;
- FILLER_43_225 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 109020 127840 ) FS ;
- FILLER_43_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 127840 ) FS ;
- FILLER_43_32 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20240 127840 ) FS ;
- FILLER_43_44 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25760 127840 ) FS ;
- FILLER_43_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 127840 ) FS ;
- FILLER_43_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 127840 ) FS ;
- FILLER_43_8 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 9200 127840 ) FS ;
- FILLER_43_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 127840 ) FS ;
- FILLER_43_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 127840 ) FS ;
- FILLER_44_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 130560 ) N ;
- FILLER_44_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 130560 ) N ;
- FILLER_44_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 130560 ) N ;
- FILLER_44_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 130560 ) N ;
- FILLER_44_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 130560 ) N ;
- FILLER_44_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 130560 ) N ;
- FILLER_44_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 130560 ) N ;
- FILLER_44_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 130560 ) N ;
- FILLER_44_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 130560 ) N ;
- FILLER_44_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 130560 ) N ;
- FILLER_44_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 130560 ) N ;
- FILLER_44_20 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 14720 130560 ) N ;
- FILLER_44_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 130560 ) N ;
- FILLER_44_221 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 107180 130560 ) N ;
- FILLER_44_227 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 109940 130560 ) N ;
- FILLER_44_231 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 111780 130560 ) N ;
- FILLER_44_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 130560 ) N ;
- FILLER_44_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 130560 ) N ;
- FILLER_44_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 130560 ) N ;
- FILLER_44_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 130560 ) N ;
- FILLER_44_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 130560 ) N ;
- FILLER_44_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 130560 ) N ;
- FILLER_44_8 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 9200 130560 ) N ;
- FILLER_44_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 130560 ) N ;
- FILLER_44_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 130560 ) N ;
- FILLER_44_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 130560 ) N ;
- FILLER_45_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 133280 ) FS ;
- FILLER_45_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 133280 ) FS ;
- FILLER_45_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 133280 ) FS ;
- FILLER_45_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 133280 ) FS ;
- FILLER_45_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 133280 ) FS ;
- FILLER_45_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 133280 ) FS ;
- FILLER_45_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 133280 ) FS ;
- FILLER_45_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 133280 ) FS ;
- FILLER_45_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 133280 ) FS ;
- FILLER_45_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 133280 ) FS ;
- FILLER_45_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 133280 ) FS ;
- FILLER_45_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 133280 ) FS ;
- FILLER_45_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 133280 ) FS ;
- FILLER_45_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 133280 ) FS ;
- FILLER_45_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 133280 ) FS ;
- FILLER_45_225 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 109020 133280 ) FS ;
- FILLER_45_231 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 111780 133280 ) FS ;
- FILLER_45_27 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 133280 ) FS ;
- FILLER_45_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 133280 ) FS ;
- FILLER_45_39 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 133280 ) FS ;
- FILLER_45_51 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 28980 133280 ) FS ;
- FILLER_45_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 133280 ) FS ;
- FILLER_45_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 133280 ) FS ;
- FILLER_45_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 133280 ) FS ;
- FILLER_45_8 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 9200 133280 ) FS ;
- FILLER_45_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 133280 ) FS ;
- FILLER_45_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 133280 ) FS ;
- FILLER_46_110 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 56120 136000 ) N ;
- FILLER_46_113 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 57500 136000 ) N ;
- FILLER_46_122 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61640 136000 ) N ;
- FILLER_46_134 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 67160 136000 ) N ;
- FILLER_46_14 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 11960 136000 ) N ;
- FILLER_46_141 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 70380 136000 ) N ;
- FILLER_46_146 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 72680 136000 ) N ;
- FILLER_46_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 136000 ) N ;
- FILLER_46_165 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 81420 136000 ) N ;
- FILLER_46_169 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 83260 136000 ) N ;
- FILLER_46_174 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 85560 136000 ) N ;
- FILLER_46_181 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 88780 136000 ) N ;
- FILLER_46_189 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 92460 136000 ) N ;
- FILLER_46_194 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 94760 136000 ) N ;
- FILLER_46_197 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 96140 136000 ) N ;
- FILLER_46_203 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 98900 136000 ) N ;
- FILLER_46_21 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 15180 136000 ) N ;
- FILLER_46_215 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 104420 136000 ) N ;
- FILLER_46_221 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 107180 136000 ) N ;
- FILLER_46_225 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 109020 136000 ) N ;
- FILLER_46_230 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 111320 136000 ) N ;
- FILLER_46_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 136000 ) N ;
- FILLER_46_29 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 18860 136000 ) N ;
- FILLER_46_3 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 6900 136000 ) N ;
- FILLER_46_34 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 21160 136000 ) N ;
- FILLER_46_41 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 24380 136000 ) N ;
- FILLER_46_49 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 28060 136000 ) N ;
- FILLER_46_54 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 30360 136000 ) N ;
- FILLER_46_57 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 31740 136000 ) N ;
- FILLER_46_68 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 36800 136000 ) N ;
- FILLER_46_76 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 40480 136000 ) N ;
- FILLER_46_82 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 43240 136000 ) N ;
- FILLER_46_85 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 44620 136000 ) N ;
- FILLER_46_91 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 47380 136000 ) N ;
- FILLER_46_95 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 49220 136000 ) N ;
- FILLER_4_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 21760 ) N ;
- FILLER_4_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 21760 ) N ;
- FILLER_4_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 21760 ) N ;
- FILLER_4_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 21760 ) N ;
- FILLER_4_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 21760 ) N ;
- FILLER_4_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 21760 ) N ;
- FILLER_4_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 21760 ) N ;
- FILLER_4_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 21760 ) N ;
- FILLER_4_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 21760 ) N ;
- FILLER_4_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 21760 ) N ;
- FILLER_4_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 21760 ) N ;
- FILLER_4_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 21760 ) N ;
- FILLER_4_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 21760 ) N ;
- FILLER_4_221 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 21760 ) N ;
- FILLER_4_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 21760 ) N ;
- FILLER_4_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 21760 ) N ;
- FILLER_4_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 21760 ) N ;
- FILLER_4_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 21760 ) N ;
- FILLER_4_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 21760 ) N ;
- FILLER_4_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 21760 ) N ;
- FILLER_4_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 21760 ) N ;
- FILLER_4_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 21760 ) N ;
- FILLER_4_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 21760 ) N ;
- FILLER_4_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 21760 ) N ;
- FILLER_5_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 24480 ) FS ;
- FILLER_5_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 24480 ) FS ;
- FILLER_5_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 24480 ) FS ;
- FILLER_5_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 24480 ) FS ;
- FILLER_5_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 24480 ) FS ;
- FILLER_5_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 24480 ) FS ;
- FILLER_5_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 24480 ) FS ;
- FILLER_5_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 24480 ) FS ;
- FILLER_5_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 24480 ) FS ;
- FILLER_5_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 24480 ) FS ;
- FILLER_5_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 24480 ) FS ;
- FILLER_5_20 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 14720 24480 ) FS ;
- FILLER_5_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 24480 ) FS ;
- FILLER_5_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 24480 ) FS ;
- FILLER_5_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 24480 ) FS ;
- FILLER_5_225 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 109020 24480 ) FS ;
- FILLER_5_231 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 111780 24480 ) FS ;
- FILLER_5_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 24480 ) FS ;
- FILLER_5_32 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20240 24480 ) FS ;
- FILLER_5_44 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25760 24480 ) FS ;
- FILLER_5_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 24480 ) FS ;
- FILLER_5_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 24480 ) FS ;
- FILLER_5_8 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 9200 24480 ) FS ;
- FILLER_5_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 24480 ) FS ;
- FILLER_5_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 24480 ) FS ;
- FILLER_6_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 27200 ) N ;
- FILLER_6_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 27200 ) N ;
- FILLER_6_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 27200 ) N ;
- FILLER_6_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 27200 ) N ;
- FILLER_6_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 27200 ) N ;
- FILLER_6_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 27200 ) N ;
- FILLER_6_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 27200 ) N ;
- FILLER_6_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 27200 ) N ;
- FILLER_6_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 27200 ) N ;
- FILLER_6_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 27200 ) N ;
- FILLER_6_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 27200 ) N ;
- FILLER_6_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 27200 ) N ;
- FILLER_6_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 27200 ) N ;
- FILLER_6_221 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 107180 27200 ) N ;
- FILLER_6_227 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 109940 27200 ) N ;
- FILLER_6_231 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 111780 27200 ) N ;
- FILLER_6_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 27200 ) N ;
- FILLER_6_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 27200 ) N ;
- FILLER_6_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 27200 ) N ;
- FILLER_6_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 27200 ) N ;
- FILLER_6_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 27200 ) N ;
- FILLER_6_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 27200 ) N ;
- FILLER_6_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 27200 ) N ;
- FILLER_6_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 27200 ) N ;
- FILLER_6_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 27200 ) N ;
- FILLER_6_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 27200 ) N ;
- FILLER_7_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 29920 ) FS ;
- FILLER_7_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 29920 ) FS ;
- FILLER_7_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 29920 ) FS ;
- FILLER_7_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 29920 ) FS ;
- FILLER_7_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 29920 ) FS ;
- FILLER_7_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 29920 ) FS ;
- FILLER_7_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 29920 ) FS ;
- FILLER_7_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 29920 ) FS ;
- FILLER_7_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 29920 ) FS ;
- FILLER_7_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 29920 ) FS ;
- FILLER_7_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 29920 ) FS ;
- FILLER_7_20 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 14720 29920 ) FS ;
- FILLER_7_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 29920 ) FS ;
- FILLER_7_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 29920 ) FS ;
- FILLER_7_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 29920 ) FS ;
- FILLER_7_225 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 109020 29920 ) FS ;
- FILLER_7_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 29920 ) FS ;
- FILLER_7_32 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20240 29920 ) FS ;
- FILLER_7_44 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25760 29920 ) FS ;
- FILLER_7_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 29920 ) FS ;
- FILLER_7_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 29920 ) FS ;
- FILLER_7_8 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 9200 29920 ) FS ;
- FILLER_7_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 29920 ) FS ;
- FILLER_7_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 29920 ) FS ;
- FILLER_8_109 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 32640 ) N ;
- FILLER_8_121 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 32640 ) N ;
- FILLER_8_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 32640 ) N ;
- FILLER_8_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 32640 ) N ;
- FILLER_8_141 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 32640 ) N ;
- FILLER_8_15 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 32640 ) N ;
- FILLER_8_153 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 32640 ) N ;
- FILLER_8_165 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 32640 ) N ;
- FILLER_8_177 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 32640 ) N ;
- FILLER_8_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 32640 ) N ;
- FILLER_8_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 32640 ) N ;
- FILLER_8_197 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 32640 ) N ;
- FILLER_8_209 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 32640 ) N ;
- FILLER_8_221 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 107180 32640 ) N ;
- FILLER_8_227 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 109940 32640 ) N ;
- FILLER_8_231 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 111780 32640 ) N ;
- FILLER_8_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 32640 ) N ;
- FILLER_8_29 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 32640 ) N ;
- FILLER_8_3 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 32640 ) N ;
- FILLER_8_41 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 32640 ) N ;
- FILLER_8_53 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 32640 ) N ;
- FILLER_8_65 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 32640 ) N ;
- FILLER_8_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 32640 ) N ;
- FILLER_8_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 32640 ) N ;
- FILLER_8_85 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 32640 ) N ;
- FILLER_8_97 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 32640 ) N ;
- FILLER_9_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 35360 ) FS ;
- FILLER_9_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 35360 ) FS ;
- FILLER_9_113 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 35360 ) FS ;
- FILLER_9_125 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 35360 ) FS ;
- FILLER_9_137 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 35360 ) FS ;
- FILLER_9_149 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 35360 ) FS ;
- FILLER_9_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 35360 ) FS ;
- FILLER_9_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 35360 ) FS ;
- FILLER_9_169 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 35360 ) FS ;
- FILLER_9_181 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 35360 ) FS ;
- FILLER_9_193 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 35360 ) FS ;
- FILLER_9_20 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 14720 35360 ) FS ;
- FILLER_9_205 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 35360 ) FS ;
- FILLER_9_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 35360 ) FS ;
- FILLER_9_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 35360 ) FS ;
- FILLER_9_225 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 109020 35360 ) FS ;
- FILLER_9_231 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 111780 35360 ) FS ;
- FILLER_9_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 35360 ) FS ;
- FILLER_9_32 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20240 35360 ) FS ;
- FILLER_9_44 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25760 35360 ) FS ;
- FILLER_9_57 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 35360 ) FS ;
- FILLER_9_69 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 35360 ) FS ;
- FILLER_9_8 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 9200 35360 ) FS ;
- FILLER_9_81 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 35360 ) FS ;
- FILLER_9_93 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 35360 ) FS ;
- PHY_0 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 10880 ) N ;
- PHY_1 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 112700 10880 ) FN ;
- PHY_10 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 24480 ) FS ;
- PHY_11 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 112700 24480 ) S ;
- PHY_12 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 27200 ) N ;
- PHY_13 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 112700 27200 ) FN ;
- PHY_14 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 29920 ) FS ;
- PHY_15 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 112700 29920 ) S ;
- PHY_16 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 32640 ) N ;
- PHY_17 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 112700 32640 ) FN ;
- PHY_18 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 35360 ) FS ;
- PHY_19 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 112700 35360 ) S ;
- PHY_2 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 13600 ) FS ;
- PHY_20 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 38080 ) N ;
- PHY_21 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 112700 38080 ) FN ;
- PHY_22 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 40800 ) FS ;
- PHY_23 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 112700 40800 ) S ;
- PHY_24 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 43520 ) N ;
- PHY_25 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 112700 43520 ) FN ;
- PHY_26 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 46240 ) FS ;
- PHY_27 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 112700 46240 ) S ;
- PHY_28 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 48960 ) N ;
- PHY_29 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 112700 48960 ) FN ;
- PHY_3 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 112700 13600 ) S ;
- PHY_30 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 51680 ) FS ;
- PHY_31 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 112700 51680 ) S ;
- PHY_32 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 54400 ) N ;
- PHY_33 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 112700 54400 ) FN ;
- PHY_34 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 57120 ) FS ;
- PHY_35 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 112700 57120 ) S ;
- PHY_36 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 59840 ) N ;
- PHY_37 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 112700 59840 ) FN ;
- PHY_38 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 62560 ) FS ;
- PHY_39 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 112700 62560 ) S ;
- PHY_4 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 16320 ) N ;
- PHY_40 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 65280 ) N ;
- PHY_41 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 112700 65280 ) FN ;
- PHY_42 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 68000 ) FS ;
- PHY_43 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 112700 68000 ) S ;
- PHY_44 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 70720 ) N ;
- PHY_45 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 112700 70720 ) FN ;
- PHY_46 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 73440 ) FS ;
- PHY_47 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 112700 73440 ) S ;
- PHY_48 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 76160 ) N ;
- PHY_49 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 112700 76160 ) FN ;
- PHY_5 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 112700 16320 ) FN ;
- PHY_50 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 78880 ) FS ;
- PHY_51 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 112700 78880 ) S ;
- PHY_52 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 81600 ) N ;
- PHY_53 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 112700 81600 ) FN ;
- PHY_54 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 84320 ) FS ;
- PHY_55 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 112700 84320 ) S ;
- PHY_56 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 87040 ) N ;
- PHY_57 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 112700 87040 ) FN ;
- PHY_58 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 89760 ) FS ;
- PHY_59 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 112700 89760 ) S ;
- PHY_6 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 19040 ) FS ;
- PHY_60 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 92480 ) N ;
- PHY_61 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 112700 92480 ) FN ;
- PHY_62 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 95200 ) FS ;
- PHY_63 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 112700 95200 ) S ;
- PHY_64 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 97920 ) N ;
- PHY_65 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 112700 97920 ) FN ;
- PHY_66 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 100640 ) FS ;
- PHY_67 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 112700 100640 ) S ;
- PHY_68 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 103360 ) N ;
- PHY_69 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 112700 103360 ) FN ;
- PHY_7 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 112700 19040 ) S ;
- PHY_70 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 106080 ) FS ;
- PHY_71 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 112700 106080 ) S ;
- PHY_72 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 108800 ) N ;
- PHY_73 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 112700 108800 ) FN ;
- PHY_74 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 111520 ) FS ;
- PHY_75 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 112700 111520 ) S ;
- PHY_76 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 114240 ) N ;
- PHY_77 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 112700 114240 ) FN ;
- PHY_78 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 116960 ) FS ;
- PHY_79 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 112700 116960 ) S ;
- PHY_8 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 21760 ) N ;
- PHY_80 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 119680 ) N ;
- PHY_81 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 112700 119680 ) FN ;
- PHY_82 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 122400 ) FS ;
- PHY_83 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 112700 122400 ) S ;
- PHY_84 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 125120 ) N ;
- PHY_85 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 112700 125120 ) FN ;
- PHY_86 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 127840 ) FS ;
- PHY_87 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 112700 127840 ) S ;
- PHY_88 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 130560 ) N ;
- PHY_89 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 112700 130560 ) FN ;
- PHY_9 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 112700 21760 ) FN ;
- PHY_90 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 133280 ) FS ;
- PHY_91 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 112700 133280 ) S ;
- PHY_92 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 136000 ) N ;
- PHY_93 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 112700 136000 ) FN ;
- TAP_100 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 10880 ) N ;
- TAP_101 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 10880 ) N ;
- TAP_102 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 13600 ) FS ;
- TAP_103 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 13600 ) FS ;
- TAP_104 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 13600 ) FS ;
- TAP_105 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 13600 ) FS ;
- TAP_106 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 16320 ) N ;
- TAP_107 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 16320 ) N ;
- TAP_108 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 16320 ) N ;
- TAP_109 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 16320 ) N ;
- TAP_110 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 19040 ) FS ;
- TAP_111 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 19040 ) FS ;
- TAP_112 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 19040 ) FS ;
- TAP_113 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 19040 ) FS ;
- TAP_114 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 21760 ) N ;
- TAP_115 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 21760 ) N ;
- TAP_116 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 21760 ) N ;
- TAP_117 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 21760 ) N ;
- TAP_118 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 24480 ) FS ;
- TAP_119 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 24480 ) FS ;
- TAP_120 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 24480 ) FS ;
- TAP_121 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 24480 ) FS ;
- TAP_122 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 27200 ) N ;
- TAP_123 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 27200 ) N ;
- TAP_124 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 27200 ) N ;
- TAP_125 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 27200 ) N ;
- TAP_126 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 29920 ) FS ;
- TAP_127 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 29920 ) FS ;
- TAP_128 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 29920 ) FS ;
- TAP_129 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 29920 ) FS ;
- TAP_130 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 32640 ) N ;
- TAP_131 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 32640 ) N ;
- TAP_132 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 32640 ) N ;
- TAP_133 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 32640 ) N ;
- TAP_134 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 35360 ) FS ;
- TAP_135 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 35360 ) FS ;
- TAP_136 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 35360 ) FS ;
- TAP_137 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 35360 ) FS ;
- TAP_138 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 38080 ) N ;
- TAP_139 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 38080 ) N ;
- TAP_140 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 38080 ) N ;
- TAP_141 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 38080 ) N ;
- TAP_142 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 40800 ) FS ;
- TAP_143 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 40800 ) FS ;
- TAP_144 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 40800 ) FS ;
- TAP_145 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 40800 ) FS ;
- TAP_146 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 43520 ) N ;
- TAP_147 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 43520 ) N ;
- TAP_148 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 43520 ) N ;
- TAP_149 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 43520 ) N ;
- TAP_150 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 46240 ) FS ;
- TAP_151 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 46240 ) FS ;
- TAP_152 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 46240 ) FS ;
- TAP_153 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 46240 ) FS ;
- TAP_154 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 48960 ) N ;
- TAP_155 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 48960 ) N ;
- TAP_156 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 48960 ) N ;
- TAP_157 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 48960 ) N ;
- TAP_158 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 51680 ) FS ;
- TAP_159 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 51680 ) FS ;
- TAP_160 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 51680 ) FS ;
- TAP_161 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 51680 ) FS ;
- TAP_162 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 54400 ) N ;
- TAP_163 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 54400 ) N ;
- TAP_164 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 54400 ) N ;
- TAP_165 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 54400 ) N ;
- TAP_166 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 57120 ) FS ;
- TAP_167 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 57120 ) FS ;
- TAP_168 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 57120 ) FS ;
- TAP_169 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 57120 ) FS ;
- TAP_170 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 59840 ) N ;
- TAP_171 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 59840 ) N ;
- TAP_172 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 59840 ) N ;
- TAP_173 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 59840 ) N ;
- TAP_174 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 62560 ) FS ;
- TAP_175 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 62560 ) FS ;
- TAP_176 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 62560 ) FS ;
- TAP_177 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 62560 ) FS ;
- TAP_178 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 65280 ) N ;
- TAP_179 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 65280 ) N ;
- TAP_180 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 65280 ) N ;
- TAP_181 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 65280 ) N ;
- TAP_182 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 68000 ) FS ;
- TAP_183 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 68000 ) FS ;
- TAP_184 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 68000 ) FS ;
- TAP_185 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 68000 ) FS ;
- TAP_186 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 70720 ) N ;
- TAP_187 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 70720 ) N ;
- TAP_188 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 70720 ) N ;
- TAP_189 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 70720 ) N ;
- TAP_190 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 73440 ) FS ;
- TAP_191 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 73440 ) FS ;
- TAP_192 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 73440 ) FS ;
- TAP_193 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 73440 ) FS ;
- TAP_194 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 76160 ) N ;
- TAP_195 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 76160 ) N ;
- TAP_196 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 76160 ) N ;
- TAP_197 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 76160 ) N ;
- TAP_198 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 78880 ) FS ;
- TAP_199 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 78880 ) FS ;
- TAP_200 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 78880 ) FS ;
- TAP_201 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 78880 ) FS ;
- TAP_202 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 81600 ) N ;
- TAP_203 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 81600 ) N ;
- TAP_204 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 81600 ) N ;
- TAP_205 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 81600 ) N ;
- TAP_206 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 84320 ) FS ;
- TAP_207 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 84320 ) FS ;
- TAP_208 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 84320 ) FS ;
- TAP_209 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 84320 ) FS ;
- TAP_210 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 87040 ) N ;
- TAP_211 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 87040 ) N ;
- TAP_212 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 87040 ) N ;
- TAP_213 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 87040 ) N ;
- TAP_214 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 89760 ) FS ;
- TAP_215 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 89760 ) FS ;
- TAP_216 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 89760 ) FS ;
- TAP_217 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 89760 ) FS ;
- TAP_218 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 92480 ) N ;
- TAP_219 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 92480 ) N ;
- TAP_220 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 92480 ) N ;
- TAP_221 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 92480 ) N ;
- TAP_222 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 95200 ) FS ;
- TAP_223 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 95200 ) FS ;
- TAP_224 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 95200 ) FS ;
- TAP_225 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 95200 ) FS ;
- TAP_226 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 97920 ) N ;
- TAP_227 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 97920 ) N ;
- TAP_228 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 97920 ) N ;
- TAP_229 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 97920 ) N ;
- TAP_230 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 100640 ) FS ;
- TAP_231 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 100640 ) FS ;
- TAP_232 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 100640 ) FS ;
- TAP_233 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 100640 ) FS ;
- TAP_234 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 103360 ) N ;
- TAP_235 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 103360 ) N ;
- TAP_236 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 103360 ) N ;
- TAP_237 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 103360 ) N ;
- TAP_238 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 106080 ) FS ;
- TAP_239 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 106080 ) FS ;
- TAP_240 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 106080 ) FS ;
- TAP_241 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 106080 ) FS ;
- TAP_242 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 108800 ) N ;
- TAP_243 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 108800 ) N ;
- TAP_244 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 108800 ) N ;
- TAP_245 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 108800 ) N ;
- TAP_246 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 111520 ) FS ;
- TAP_247 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 111520 ) FS ;
- TAP_248 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 111520 ) FS ;
- TAP_249 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 111520 ) FS ;
- TAP_250 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 114240 ) N ;
- TAP_251 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 114240 ) N ;
- TAP_252 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 114240 ) N ;
- TAP_253 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 114240 ) N ;
- TAP_254 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 116960 ) FS ;
- TAP_255 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 116960 ) FS ;
- TAP_256 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 116960 ) FS ;
- TAP_257 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 116960 ) FS ;
- TAP_258 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 119680 ) N ;
- TAP_259 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 119680 ) N ;
- TAP_260 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 119680 ) N ;
- TAP_261 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 119680 ) N ;
- TAP_262 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 122400 ) FS ;
- TAP_263 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 122400 ) FS ;
- TAP_264 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 122400 ) FS ;
- TAP_265 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 122400 ) FS ;
- TAP_266 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 125120 ) N ;
- TAP_267 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 125120 ) N ;
- TAP_268 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 125120 ) N ;
- TAP_269 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 125120 ) N ;
- TAP_270 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 127840 ) FS ;
- TAP_271 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 127840 ) FS ;
- TAP_272 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 127840 ) FS ;
- TAP_273 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 127840 ) FS ;
- TAP_274 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 130560 ) N ;
- TAP_275 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 130560 ) N ;
- TAP_276 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 130560 ) N ;
- TAP_277 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 130560 ) N ;
- TAP_278 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 133280 ) FS ;
- TAP_279 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 133280 ) FS ;
- TAP_280 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 133280 ) FS ;
- TAP_281 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 133280 ) FS ;
- TAP_282 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 136000 ) N ;
- TAP_283 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 136000 ) N ;
- TAP_284 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 136000 ) N ;
- TAP_285 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 136000 ) N ;
- TAP_286 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 136000 ) N ;
- TAP_287 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 136000 ) N ;
- TAP_288 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 136000 ) N ;
- TAP_289 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 136000 ) N ;
- TAP_94 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 10880 ) N ;
- TAP_95 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 10880 ) N ;
- TAP_96 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 10880 ) N ;
- TAP_97 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 10880 ) N ;
- TAP_98 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 10880 ) N ;
- TAP_99 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 10880 ) N ;
- tiny_user_project_1 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 110400 16320 ) N ;
- tiny_user_project_10 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 110400 89760 ) FS ;
- tiny_user_project_11 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 110400 97920 ) N ;
- tiny_user_project_12 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 110400 106080 ) FS ;
- tiny_user_project_13 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 110400 114240 ) N ;
- tiny_user_project_14 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 110400 122400 ) FS ;
- tiny_user_project_15 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 110400 130560 ) N ;
- tiny_user_project_16 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 109940 136000 ) FN ;
- tiny_user_project_17 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 97520 136000 ) FN ;
- tiny_user_project_18 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 87400 136000 ) FN ;
- tiny_user_project_19 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 74520 136000 ) FN ;
- tiny_user_project_2 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 110400 24480 ) FS ;
- tiny_user_project_20 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 60260 136000 ) FN ;
- tiny_user_project_21 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 47840 136000 ) FN ;
- tiny_user_project_22 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 35420 136000 ) FN ;
- tiny_user_project_23 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 23000 136000 ) FN ;
- tiny_user_project_24 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 10580 136000 ) FN ;
- tiny_user_project_25 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 13800 136000 ) FN ;
- tiny_user_project_26 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 7820 130560 ) FN ;
- tiny_user_project_27 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 7820 119680 ) FN ;
- tiny_user_project_28 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 7820 111520 ) S ;
- tiny_user_project_29 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 7820 100640 ) S ;
- tiny_user_project_3 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 110400 32640 ) N ;
- tiny_user_project_30 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 7820 89760 ) S ;
- tiny_user_project_31 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 7820 78880 ) S ;
- tiny_user_project_32 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 7820 70720 ) FN ;
- tiny_user_project_33 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 7820 59840 ) FN ;
- tiny_user_project_34 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 7820 48960 ) FN ;
- tiny_user_project_35 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 7820 38080 ) FN ;
- tiny_user_project_36 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 7820 29920 ) S ;
- tiny_user_project_37 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 7820 19040 ) S ;
- tiny_user_project_38 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 7820 10880 ) FN ;
- tiny_user_project_39 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 110400 19040 ) FS ;
- tiny_user_project_4 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 110400 40800 ) FS ;
- tiny_user_project_40 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 110400 27200 ) N ;
- tiny_user_project_41 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 110400 35360 ) FS ;
- tiny_user_project_42 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 110400 43520 ) N ;
- tiny_user_project_43 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 110400 51680 ) FS ;
- tiny_user_project_44 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 110400 59840 ) N ;
- tiny_user_project_45 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 110400 68000 ) FS ;
- tiny_user_project_46 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 110400 76160 ) N ;
- tiny_user_project_47 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 110400 84320 ) FS ;
- tiny_user_project_48 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 110400 92480 ) N ;
- tiny_user_project_49 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 110400 100640 ) FS ;
- tiny_user_project_5 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 110400 48960 ) N ;
- tiny_user_project_50 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 110400 108800 ) N ;
- tiny_user_project_51 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 110400 116960 ) FS ;
- tiny_user_project_52 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 110400 125120 ) N ;
- tiny_user_project_53 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 110400 133280 ) FS ;
- tiny_user_project_54 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 105800 136000 ) FN ;
- tiny_user_project_55 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 93380 136000 ) FN ;
- tiny_user_project_56 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 84180 136000 ) FN ;
- tiny_user_project_57 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 71300 136000 ) FN ;
- tiny_user_project_58 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 54740 136000 ) N ;
- tiny_user_project_59 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 41860 136000 ) N ;
- tiny_user_project_6 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 110400 57120 ) FS ;
- tiny_user_project_60 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 28980 136000 ) N ;
- tiny_user_project_61 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 19780 136000 ) FN ;
- tiny_user_project_62 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 7820 133280 ) S ;
- tiny_user_project_63 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 11040 133280 ) S ;
- tiny_user_project_64 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 7820 127840 ) S ;
- tiny_user_project_65 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 7820 116960 ) S ;
- tiny_user_project_66 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 7820 106080 ) S ;
- tiny_user_project_67 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 7820 97920 ) FN ;
- tiny_user_project_68 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 7820 87040 ) FN ;
- tiny_user_project_69 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 7820 76160 ) FN ;
- tiny_user_project_7 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 110400 65280 ) N ;
- tiny_user_project_70 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 7820 65280 ) FN ;
- tiny_user_project_71 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 7820 57120 ) S ;
- tiny_user_project_72 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 7820 46240 ) S ;
- tiny_user_project_73 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 7820 35360 ) S ;
- tiny_user_project_74 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 7820 24480 ) S ;
- tiny_user_project_75 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 7820 16320 ) FN ;
- tiny_user_project_76 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 7820 13600 ) S ;
- tiny_user_project_8 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 110400 73440 ) FS ;
- tiny_user_project_9 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 110400 81600 ) N ;
END COMPONENTS
PINS 116 ;
- io_in[0] + NET io_in[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 118000 14620 ) N ;
- io_in[10] + NET io_in[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 118000 96220 ) N ;
- io_in[11] + NET io_in[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 118000 104380 ) N ;
- io_in[12] + NET io_in[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 118000 112540 ) N ;
- io_in[13] + NET io_in[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 118000 120700 ) N ;
- io_in[14] + NET io_in[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 118000 128860 ) N ;
- io_in[15] + NET io_in[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 113850 148000 ) N ;
- io_in[16] + NET io_in[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 101430 148000 ) N ;
- io_in[17] + NET io_in[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 89010 148000 ) N ;
- io_in[18] + NET io_in[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 76590 148000 ) N ;
- io_in[19] + NET io_in[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 64170 148000 ) N ;
- io_in[1] + NET io_in[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 118000 22780 ) N ;
- io_in[20] + NET io_in[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 51750 148000 ) N ;
- io_in[21] + NET io_in[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 39330 148000 ) N ;
- io_in[22] + NET io_in[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 26910 148000 ) N ;
- io_in[23] + NET io_in[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 14490 148000 ) N ;
- io_in[24] + NET io_in[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 144500 ) N ;
- io_in[25] + NET io_in[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 134300 ) N ;
- io_in[26] + NET io_in[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 124100 ) N ;
- io_in[27] + NET io_in[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 113900 ) N ;
- io_in[28] + NET io_in[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 103700 ) N ;
- io_in[29] + NET io_in[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 93500 ) N ;
- io_in[2] + NET io_in[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 118000 30940 ) N ;
- io_in[30] + NET io_in[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 83300 ) N ;
- io_in[31] + NET io_in[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 73100 ) N ;
- io_in[32] + NET io_in[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 62900 ) N ;
- io_in[33] + NET io_in[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 52700 ) N ;
- io_in[34] + NET io_in[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 42500 ) N ;
- io_in[35] + NET io_in[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 32300 ) N ;
- io_in[36] + NET io_in[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 22100 ) N ;
- io_in[37] + NET io_in[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 11900 ) N ;
- io_in[3] + NET io_in[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 118000 39100 ) N ;
- io_in[4] + NET io_in[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 118000 47260 ) N ;
- io_in[5] + NET io_in[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 118000 55420 ) N ;
- io_in[6] + NET io_in[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 118000 63580 ) N ;
- io_in[7] + NET io_in[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 118000 71740 ) N ;
- io_in[8] + NET io_in[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 118000 79900 ) N ;
- io_in[9] + NET io_in[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 118000 88060 ) N ;
- io_oeb[0] + NET net39 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 118000 20060 ) N ;
- io_oeb[10] + NET net49 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 118000 101660 ) N ;
- io_oeb[11] + NET net50 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 118000 109820 ) N ;
- io_oeb[12] + NET net51 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 118000 117980 ) N ;
- io_oeb[13] + NET net52 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 118000 126140 ) N ;
- io_oeb[14] + NET net53 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 118000 134300 ) N ;
- io_oeb[15] + NET net54 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 105570 148000 ) N ;
- io_oeb[16] + NET net55 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 93150 148000 ) N ;
- io_oeb[17] + NET net56 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 80730 148000 ) N ;
- io_oeb[18] + NET net57 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 68310 148000 ) N ;
- io_oeb[19] + NET net58 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 55890 148000 ) N ;
- io_oeb[1] + NET net40 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 118000 28220 ) N ;
- io_oeb[20] + NET net59 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 43470 148000 ) N ;
- io_oeb[21] + NET net60 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 31050 148000 ) N ;
- io_oeb[22] + NET net61 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 18630 148000 ) N ;
- io_oeb[23] + NET net62 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 6210 148000 ) N ;
- io_oeb[24] + NET net63 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 137700 ) N ;
- io_oeb[25] + NET net64 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 127500 ) N ;
- io_oeb[26] + NET net65 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 117300 ) N ;
- io_oeb[27] + NET net66 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 107100 ) N ;
- io_oeb[28] + NET net67 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 96900 ) N ;
- io_oeb[29] + NET net68 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 86700 ) N ;
- io_oeb[2] + NET net41 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 118000 36380 ) N ;
- io_oeb[30] + NET net69 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 76500 ) N ;
- io_oeb[31] + NET net70 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 66300 ) N ;
- io_oeb[32] + NET net71 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 56100 ) N ;
- io_oeb[33] + NET net72 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 45900 ) N ;
- io_oeb[34] + NET net73 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 35700 ) N ;
- io_oeb[35] + NET net74 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 25500 ) N ;
- io_oeb[36] + NET net75 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 15300 ) N ;
- io_oeb[37] + NET net76 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 5100 ) N ;
- io_oeb[3] + NET net42 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 118000 44540 ) N ;
- io_oeb[4] + NET net43 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 118000 52700 ) N ;
- io_oeb[5] + NET net44 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 118000 60860 ) N ;
- io_oeb[6] + NET net45 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 118000 69020 ) N ;
- io_oeb[7] + NET net46 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 118000 77180 ) N ;
- io_oeb[8] + NET net47 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 118000 85340 ) N ;
- io_oeb[9] + NET net48 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 118000 93500 ) N ;
- io_out[0] + NET net1 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 118000 17340 ) N ;
- io_out[10] + NET net11 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 118000 98940 ) N ;
- io_out[11] + NET net12 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 118000 107100 ) N ;
- io_out[12] + NET net13 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 118000 115260 ) N ;
- io_out[13] + NET net14 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 118000 123420 ) N ;
- io_out[14] + NET net15 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 118000 131580 ) N ;
- io_out[15] + NET net16 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 109710 148000 ) N ;
- io_out[16] + NET net17 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 97290 148000 ) N ;
- io_out[17] + NET net18 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 84870 148000 ) N ;
- io_out[18] + NET net19 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 72450 148000 ) N ;
- io_out[19] + NET net20 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 60030 148000 ) N ;
- io_out[1] + NET net2 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 118000 25500 ) N ;
- io_out[20] + NET net21 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 47610 148000 ) N ;
- io_out[21] + NET net22 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 35190 148000 ) N ;
- io_out[22] + NET net23 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 22770 148000 ) N ;
- io_out[23] + NET net24 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 10350 148000 ) N ;
- io_out[24] + NET net25 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 141100 ) N ;
- io_out[25] + NET net26 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 130900 ) N ;
- io_out[26] + NET net27 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 120700 ) N ;
- io_out[27] + NET net28 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 110500 ) N ;
- io_out[28] + NET net29 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 100300 ) N ;
- io_out[29] + NET net30 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 90100 ) N ;
- io_out[2] + NET net3 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 118000 33660 ) N ;
- io_out[30] + NET net31 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 79900 ) N ;
- io_out[31] + NET net32 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 69700 ) N ;
- io_out[32] + NET net33 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 59500 ) N ;
- io_out[33] + NET net34 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 49300 ) N ;
- io_out[34] + NET net35 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 39100 ) N ;
- io_out[35] + NET net36 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 28900 ) N ;
- io_out[36] + NET net37 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 18700 ) N ;
- io_out[37] + NET net38 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 8500 ) N ;
- io_out[3] + NET net4 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 118000 41820 ) N ;
- io_out[4] + NET net5 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 118000 49980 ) N ;
- io_out[5] + NET net6 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 118000 58140 ) N ;
- io_out[6] + NET net7 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 118000 66300 ) N ;
- io_out[7] + NET net8 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 118000 74460 ) N ;
- io_out[8] + NET net9 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 118000 82620 ) N ;
- io_out[9] + NET net10 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 118000 90780 ) N ;
- vccd1 + NET vccd1 + SPECIAL + DIRECTION INOUT + USE POWER
+ PORT
+ LAYER met4 ( -800 -64160 ) ( 800 64160 )
+ LAYER met4 ( -27940 -64160 ) ( -26340 64160 )
+ LAYER met4 ( -55080 -64160 ) ( -53480 64160 )
+ LAYER met4 ( -82220 -64160 ) ( -80620 64160 )
+ FIXED ( 100510 74800 ) N ;
- vssd1 + NET vssd1 + SPECIAL + DIRECTION INOUT + USE GROUND
+ PORT
+ LAYER met4 ( -800 -64160 ) ( 800 64160 )
+ LAYER met4 ( -27940 -64160 ) ( -26340 64160 )
+ LAYER met4 ( -55080 -64160 ) ( -53480 64160 )
+ LAYER met4 ( -82220 -64160 ) ( -80620 64160 )
+ FIXED ( 114080 74800 ) N ;
END PINS
SPECIALNETS 2 ;
- vccd1 ( PIN vccd1 ) ( * VPB ) ( * VPWR ) + USE POWER
+ ROUTED met1 480 + SHAPE FOLLOWPIN ( 5520 138720 ) ( 114080 138720 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 133280 ) ( 114080 133280 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 127840 ) ( 114080 127840 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 122400 ) ( 114080 122400 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 116960 ) ( 114080 116960 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 111520 ) ( 114080 111520 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 106080 ) ( 114080 106080 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 100640 ) ( 114080 100640 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 95200 ) ( 114080 95200 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 89760 ) ( 114080 89760 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 84320 ) ( 114080 84320 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 78880 ) ( 114080 78880 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 73440 ) ( 114080 73440 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 68000 ) ( 114080 68000 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 62560 ) ( 114080 62560 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 57120 ) ( 114080 57120 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 51680 ) ( 114080 51680 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 46240 ) ( 114080 46240 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 40800 ) ( 114080 40800 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 35360 ) ( 114080 35360 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 29920 ) ( 114080 29920 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 24480 ) ( 114080 24480 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 19040 ) ( 114080 19040 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 13600 ) ( 114080 13600 )
NEW met4 1600 + SHAPE STRIPE ( 100510 10640 ) ( 100510 138960 )
NEW met4 1600 + SHAPE STRIPE ( 73370 10640 ) ( 73370 138960 )
NEW met4 1600 + SHAPE STRIPE ( 46230 10640 ) ( 46230 138960 )
NEW met4 1600 + SHAPE STRIPE ( 19090 10640 ) ( 19090 138960 )
NEW met3 330 + SHAPE STRIPE ( 99720 138720 ) ( 101300 138720 )
NEW met3 0 + SHAPE STRIPE ( 100510 138720 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 99740 138720 ) ( 101280 138720 )
NEW met2 0 + SHAPE STRIPE ( 100510 138720 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 100510 138720 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 99720 133280 ) ( 101300 133280 )
NEW met3 0 + SHAPE STRIPE ( 100510 133280 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 99740 133280 ) ( 101280 133280 )
NEW met2 0 + SHAPE STRIPE ( 100510 133280 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 100510 133280 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 99720 127840 ) ( 101300 127840 )
NEW met3 0 + SHAPE STRIPE ( 100510 127840 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 99740 127840 ) ( 101280 127840 )
NEW met2 0 + SHAPE STRIPE ( 100510 127840 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 100510 127840 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 99720 122400 ) ( 101300 122400 )
NEW met3 0 + SHAPE STRIPE ( 100510 122400 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 99740 122400 ) ( 101280 122400 )
NEW met2 0 + SHAPE STRIPE ( 100510 122400 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 100510 122400 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 99720 116960 ) ( 101300 116960 )
NEW met3 0 + SHAPE STRIPE ( 100510 116960 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 99740 116960 ) ( 101280 116960 )
NEW met2 0 + SHAPE STRIPE ( 100510 116960 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 100510 116960 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 99720 111520 ) ( 101300 111520 )
NEW met3 0 + SHAPE STRIPE ( 100510 111520 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 99740 111520 ) ( 101280 111520 )
NEW met2 0 + SHAPE STRIPE ( 100510 111520 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 100510 111520 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 99720 106080 ) ( 101300 106080 )
NEW met3 0 + SHAPE STRIPE ( 100510 106080 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 99740 106080 ) ( 101280 106080 )
NEW met2 0 + SHAPE STRIPE ( 100510 106080 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 100510 106080 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 99720 100640 ) ( 101300 100640 )
NEW met3 0 + SHAPE STRIPE ( 100510 100640 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 99740 100640 ) ( 101280 100640 )
NEW met2 0 + SHAPE STRIPE ( 100510 100640 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 100510 100640 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 99720 95200 ) ( 101300 95200 )
NEW met3 0 + SHAPE STRIPE ( 100510 95200 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 99740 95200 ) ( 101280 95200 )
NEW met2 0 + SHAPE STRIPE ( 100510 95200 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 100510 95200 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 99720 89760 ) ( 101300 89760 )
NEW met3 0 + SHAPE STRIPE ( 100510 89760 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 99740 89760 ) ( 101280 89760 )
NEW met2 0 + SHAPE STRIPE ( 100510 89760 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 100510 89760 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 99720 84320 ) ( 101300 84320 )
NEW met3 0 + SHAPE STRIPE ( 100510 84320 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 99740 84320 ) ( 101280 84320 )
NEW met2 0 + SHAPE STRIPE ( 100510 84320 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 100510 84320 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 99720 78880 ) ( 101300 78880 )
NEW met3 0 + SHAPE STRIPE ( 100510 78880 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 99740 78880 ) ( 101280 78880 )
NEW met2 0 + SHAPE STRIPE ( 100510 78880 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 100510 78880 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 99720 73440 ) ( 101300 73440 )
NEW met3 0 + SHAPE STRIPE ( 100510 73440 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 99740 73440 ) ( 101280 73440 )
NEW met2 0 + SHAPE STRIPE ( 100510 73440 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 100510 73440 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 99720 68000 ) ( 101300 68000 )
NEW met3 0 + SHAPE STRIPE ( 100510 68000 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 99740 68000 ) ( 101280 68000 )
NEW met2 0 + SHAPE STRIPE ( 100510 68000 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 100510 68000 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 99720 62560 ) ( 101300 62560 )
NEW met3 0 + SHAPE STRIPE ( 100510 62560 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 99740 62560 ) ( 101280 62560 )
NEW met2 0 + SHAPE STRIPE ( 100510 62560 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 100510 62560 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 99720 57120 ) ( 101300 57120 )
NEW met3 0 + SHAPE STRIPE ( 100510 57120 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 99740 57120 ) ( 101280 57120 )
NEW met2 0 + SHAPE STRIPE ( 100510 57120 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 100510 57120 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 99720 51680 ) ( 101300 51680 )
NEW met3 0 + SHAPE STRIPE ( 100510 51680 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 99740 51680 ) ( 101280 51680 )
NEW met2 0 + SHAPE STRIPE ( 100510 51680 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 100510 51680 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 99720 46240 ) ( 101300 46240 )
NEW met3 0 + SHAPE STRIPE ( 100510 46240 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 99740 46240 ) ( 101280 46240 )
NEW met2 0 + SHAPE STRIPE ( 100510 46240 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 100510 46240 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 99720 40800 ) ( 101300 40800 )
NEW met3 0 + SHAPE STRIPE ( 100510 40800 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 99740 40800 ) ( 101280 40800 )
NEW met2 0 + SHAPE STRIPE ( 100510 40800 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 100510 40800 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 99720 35360 ) ( 101300 35360 )
NEW met3 0 + SHAPE STRIPE ( 100510 35360 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 99740 35360 ) ( 101280 35360 )
NEW met2 0 + SHAPE STRIPE ( 100510 35360 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 100510 35360 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 99720 29920 ) ( 101300 29920 )
NEW met3 0 + SHAPE STRIPE ( 100510 29920 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 99740 29920 ) ( 101280 29920 )
NEW met2 0 + SHAPE STRIPE ( 100510 29920 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 100510 29920 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 99720 24480 ) ( 101300 24480 )
NEW met3 0 + SHAPE STRIPE ( 100510 24480 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 99740 24480 ) ( 101280 24480 )
NEW met2 0 + SHAPE STRIPE ( 100510 24480 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 100510 24480 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 99720 19040 ) ( 101300 19040 )
NEW met3 0 + SHAPE STRIPE ( 100510 19040 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 99740 19040 ) ( 101280 19040 )
NEW met2 0 + SHAPE STRIPE ( 100510 19040 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 100510 19040 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 99720 13600 ) ( 101300 13600 )
NEW met3 0 + SHAPE STRIPE ( 100510 13600 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 99740 13600 ) ( 101280 13600 )
NEW met2 0 + SHAPE STRIPE ( 100510 13600 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 100510 13600 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 72580 138720 ) ( 74160 138720 )
NEW met3 0 + SHAPE STRIPE ( 73370 138720 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 72600 138720 ) ( 74140 138720 )
NEW met2 0 + SHAPE STRIPE ( 73370 138720 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 73370 138720 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 72580 133280 ) ( 74160 133280 )
NEW met3 0 + SHAPE STRIPE ( 73370 133280 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 72600 133280 ) ( 74140 133280 )
NEW met2 0 + SHAPE STRIPE ( 73370 133280 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 73370 133280 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 72580 127840 ) ( 74160 127840 )
NEW met3 0 + SHAPE STRIPE ( 73370 127840 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 72600 127840 ) ( 74140 127840 )
NEW met2 0 + SHAPE STRIPE ( 73370 127840 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 73370 127840 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 72580 122400 ) ( 74160 122400 )
NEW met3 0 + SHAPE STRIPE ( 73370 122400 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 72600 122400 ) ( 74140 122400 )
NEW met2 0 + SHAPE STRIPE ( 73370 122400 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 73370 122400 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 72580 116960 ) ( 74160 116960 )
NEW met3 0 + SHAPE STRIPE ( 73370 116960 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 72600 116960 ) ( 74140 116960 )
NEW met2 0 + SHAPE STRIPE ( 73370 116960 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 73370 116960 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 72580 111520 ) ( 74160 111520 )
NEW met3 0 + SHAPE STRIPE ( 73370 111520 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 72600 111520 ) ( 74140 111520 )
NEW met2 0 + SHAPE STRIPE ( 73370 111520 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 73370 111520 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 72580 106080 ) ( 74160 106080 )
NEW met3 0 + SHAPE STRIPE ( 73370 106080 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 72600 106080 ) ( 74140 106080 )
NEW met2 0 + SHAPE STRIPE ( 73370 106080 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 73370 106080 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 72580 100640 ) ( 74160 100640 )
NEW met3 0 + SHAPE STRIPE ( 73370 100640 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 72600 100640 ) ( 74140 100640 )
NEW met2 0 + SHAPE STRIPE ( 73370 100640 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 73370 100640 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 72580 95200 ) ( 74160 95200 )
NEW met3 0 + SHAPE STRIPE ( 73370 95200 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 72600 95200 ) ( 74140 95200 )
NEW met2 0 + SHAPE STRIPE ( 73370 95200 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 73370 95200 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 72580 89760 ) ( 74160 89760 )
NEW met3 0 + SHAPE STRIPE ( 73370 89760 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 72600 89760 ) ( 74140 89760 )
NEW met2 0 + SHAPE STRIPE ( 73370 89760 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 73370 89760 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 72580 84320 ) ( 74160 84320 )
NEW met3 0 + SHAPE STRIPE ( 73370 84320 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 72600 84320 ) ( 74140 84320 )
NEW met2 0 + SHAPE STRIPE ( 73370 84320 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 73370 84320 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 72580 78880 ) ( 74160 78880 )
NEW met3 0 + SHAPE STRIPE ( 73370 78880 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 72600 78880 ) ( 74140 78880 )
NEW met2 0 + SHAPE STRIPE ( 73370 78880 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 73370 78880 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 72580 73440 ) ( 74160 73440 )
NEW met3 0 + SHAPE STRIPE ( 73370 73440 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 72600 73440 ) ( 74140 73440 )
NEW met2 0 + SHAPE STRIPE ( 73370 73440 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 73370 73440 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 72580 68000 ) ( 74160 68000 )
NEW met3 0 + SHAPE STRIPE ( 73370 68000 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 72600 68000 ) ( 74140 68000 )
NEW met2 0 + SHAPE STRIPE ( 73370 68000 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 73370 68000 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 72580 62560 ) ( 74160 62560 )
NEW met3 0 + SHAPE STRIPE ( 73370 62560 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 72600 62560 ) ( 74140 62560 )
NEW met2 0 + SHAPE STRIPE ( 73370 62560 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 73370 62560 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 72580 57120 ) ( 74160 57120 )
NEW met3 0 + SHAPE STRIPE ( 73370 57120 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 72600 57120 ) ( 74140 57120 )
NEW met2 0 + SHAPE STRIPE ( 73370 57120 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 73370 57120 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 72580 51680 ) ( 74160 51680 )
NEW met3 0 + SHAPE STRIPE ( 73370 51680 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 72600 51680 ) ( 74140 51680 )
NEW met2 0 + SHAPE STRIPE ( 73370 51680 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 73370 51680 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 72580 46240 ) ( 74160 46240 )
NEW met3 0 + SHAPE STRIPE ( 73370 46240 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 72600 46240 ) ( 74140 46240 )
NEW met2 0 + SHAPE STRIPE ( 73370 46240 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 73370 46240 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 72580 40800 ) ( 74160 40800 )
NEW met3 0 + SHAPE STRIPE ( 73370 40800 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 72600 40800 ) ( 74140 40800 )
NEW met2 0 + SHAPE STRIPE ( 73370 40800 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 73370 40800 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 72580 35360 ) ( 74160 35360 )
NEW met3 0 + SHAPE STRIPE ( 73370 35360 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 72600 35360 ) ( 74140 35360 )
NEW met2 0 + SHAPE STRIPE ( 73370 35360 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 73370 35360 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 72580 29920 ) ( 74160 29920 )
NEW met3 0 + SHAPE STRIPE ( 73370 29920 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 72600 29920 ) ( 74140 29920 )
NEW met2 0 + SHAPE STRIPE ( 73370 29920 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 73370 29920 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 72580 24480 ) ( 74160 24480 )
NEW met3 0 + SHAPE STRIPE ( 73370 24480 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 72600 24480 ) ( 74140 24480 )
NEW met2 0 + SHAPE STRIPE ( 73370 24480 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 73370 24480 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 72580 19040 ) ( 74160 19040 )
NEW met3 0 + SHAPE STRIPE ( 73370 19040 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 72600 19040 ) ( 74140 19040 )
NEW met2 0 + SHAPE STRIPE ( 73370 19040 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 73370 19040 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 72580 13600 ) ( 74160 13600 )
NEW met3 0 + SHAPE STRIPE ( 73370 13600 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 72600 13600 ) ( 74140 13600 )
NEW met2 0 + SHAPE STRIPE ( 73370 13600 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 73370 13600 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 45440 138720 ) ( 47020 138720 )
NEW met3 0 + SHAPE STRIPE ( 46230 138720 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 45460 138720 ) ( 47000 138720 )
NEW met2 0 + SHAPE STRIPE ( 46230 138720 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 46230 138720 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 45440 133280 ) ( 47020 133280 )
NEW met3 0 + SHAPE STRIPE ( 46230 133280 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 45460 133280 ) ( 47000 133280 )
NEW met2 0 + SHAPE STRIPE ( 46230 133280 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 46230 133280 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 45440 127840 ) ( 47020 127840 )
NEW met3 0 + SHAPE STRIPE ( 46230 127840 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 45460 127840 ) ( 47000 127840 )
NEW met2 0 + SHAPE STRIPE ( 46230 127840 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 46230 127840 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 45440 122400 ) ( 47020 122400 )
NEW met3 0 + SHAPE STRIPE ( 46230 122400 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 45460 122400 ) ( 47000 122400 )
NEW met2 0 + SHAPE STRIPE ( 46230 122400 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 46230 122400 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 45440 116960 ) ( 47020 116960 )
NEW met3 0 + SHAPE STRIPE ( 46230 116960 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 45460 116960 ) ( 47000 116960 )
NEW met2 0 + SHAPE STRIPE ( 46230 116960 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 46230 116960 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 45440 111520 ) ( 47020 111520 )
NEW met3 0 + SHAPE STRIPE ( 46230 111520 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 45460 111520 ) ( 47000 111520 )
NEW met2 0 + SHAPE STRIPE ( 46230 111520 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 46230 111520 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 45440 106080 ) ( 47020 106080 )
NEW met3 0 + SHAPE STRIPE ( 46230 106080 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 45460 106080 ) ( 47000 106080 )
NEW met2 0 + SHAPE STRIPE ( 46230 106080 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 46230 106080 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 45440 100640 ) ( 47020 100640 )
NEW met3 0 + SHAPE STRIPE ( 46230 100640 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 45460 100640 ) ( 47000 100640 )
NEW met2 0 + SHAPE STRIPE ( 46230 100640 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 46230 100640 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 45440 95200 ) ( 47020 95200 )
NEW met3 0 + SHAPE STRIPE ( 46230 95200 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 45460 95200 ) ( 47000 95200 )
NEW met2 0 + SHAPE STRIPE ( 46230 95200 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 46230 95200 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 45440 89760 ) ( 47020 89760 )
NEW met3 0 + SHAPE STRIPE ( 46230 89760 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 45460 89760 ) ( 47000 89760 )
NEW met2 0 + SHAPE STRIPE ( 46230 89760 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 46230 89760 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 45440 84320 ) ( 47020 84320 )
NEW met3 0 + SHAPE STRIPE ( 46230 84320 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 45460 84320 ) ( 47000 84320 )
NEW met2 0 + SHAPE STRIPE ( 46230 84320 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 46230 84320 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 45440 78880 ) ( 47020 78880 )
NEW met3 0 + SHAPE STRIPE ( 46230 78880 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 45460 78880 ) ( 47000 78880 )
NEW met2 0 + SHAPE STRIPE ( 46230 78880 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 46230 78880 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 45440 73440 ) ( 47020 73440 )
NEW met3 0 + SHAPE STRIPE ( 46230 73440 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 45460 73440 ) ( 47000 73440 )
NEW met2 0 + SHAPE STRIPE ( 46230 73440 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 46230 73440 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 45440 68000 ) ( 47020 68000 )
NEW met3 0 + SHAPE STRIPE ( 46230 68000 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 45460 68000 ) ( 47000 68000 )
NEW met2 0 + SHAPE STRIPE ( 46230 68000 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 46230 68000 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 45440 62560 ) ( 47020 62560 )
NEW met3 0 + SHAPE STRIPE ( 46230 62560 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 45460 62560 ) ( 47000 62560 )
NEW met2 0 + SHAPE STRIPE ( 46230 62560 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 46230 62560 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 45440 57120 ) ( 47020 57120 )
NEW met3 0 + SHAPE STRIPE ( 46230 57120 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 45460 57120 ) ( 47000 57120 )
NEW met2 0 + SHAPE STRIPE ( 46230 57120 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 46230 57120 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 45440 51680 ) ( 47020 51680 )
NEW met3 0 + SHAPE STRIPE ( 46230 51680 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 45460 51680 ) ( 47000 51680 )
NEW met2 0 + SHAPE STRIPE ( 46230 51680 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 46230 51680 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 45440 46240 ) ( 47020 46240 )
NEW met3 0 + SHAPE STRIPE ( 46230 46240 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 45460 46240 ) ( 47000 46240 )
NEW met2 0 + SHAPE STRIPE ( 46230 46240 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 46230 46240 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 45440 40800 ) ( 47020 40800 )
NEW met3 0 + SHAPE STRIPE ( 46230 40800 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 45460 40800 ) ( 47000 40800 )
NEW met2 0 + SHAPE STRIPE ( 46230 40800 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 46230 40800 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 45440 35360 ) ( 47020 35360 )
NEW met3 0 + SHAPE STRIPE ( 46230 35360 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 45460 35360 ) ( 47000 35360 )
NEW met2 0 + SHAPE STRIPE ( 46230 35360 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 46230 35360 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 45440 29920 ) ( 47020 29920 )
NEW met3 0 + SHAPE STRIPE ( 46230 29920 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 45460 29920 ) ( 47000 29920 )
NEW met2 0 + SHAPE STRIPE ( 46230 29920 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 46230 29920 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 45440 24480 ) ( 47020 24480 )
NEW met3 0 + SHAPE STRIPE ( 46230 24480 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 45460 24480 ) ( 47000 24480 )
NEW met2 0 + SHAPE STRIPE ( 46230 24480 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 46230 24480 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 45440 19040 ) ( 47020 19040 )
NEW met3 0 + SHAPE STRIPE ( 46230 19040 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 45460 19040 ) ( 47000 19040 )
NEW met2 0 + SHAPE STRIPE ( 46230 19040 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 46230 19040 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 45440 13600 ) ( 47020 13600 )
NEW met3 0 + SHAPE STRIPE ( 46230 13600 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 45460 13600 ) ( 47000 13600 )
NEW met2 0 + SHAPE STRIPE ( 46230 13600 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 46230 13600 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 18300 138720 ) ( 19880 138720 )
NEW met3 0 + SHAPE STRIPE ( 19090 138720 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 18320 138720 ) ( 19860 138720 )
NEW met2 0 + SHAPE STRIPE ( 19090 138720 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 19090 138720 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 18300 133280 ) ( 19880 133280 )
NEW met3 0 + SHAPE STRIPE ( 19090 133280 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 18320 133280 ) ( 19860 133280 )
NEW met2 0 + SHAPE STRIPE ( 19090 133280 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 19090 133280 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 18300 127840 ) ( 19880 127840 )
NEW met3 0 + SHAPE STRIPE ( 19090 127840 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 18320 127840 ) ( 19860 127840 )
NEW met2 0 + SHAPE STRIPE ( 19090 127840 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 19090 127840 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 18300 122400 ) ( 19880 122400 )
NEW met3 0 + SHAPE STRIPE ( 19090 122400 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 18320 122400 ) ( 19860 122400 )
NEW met2 0 + SHAPE STRIPE ( 19090 122400 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 19090 122400 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 18300 116960 ) ( 19880 116960 )
NEW met3 0 + SHAPE STRIPE ( 19090 116960 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 18320 116960 ) ( 19860 116960 )
NEW met2 0 + SHAPE STRIPE ( 19090 116960 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 19090 116960 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 18300 111520 ) ( 19880 111520 )
NEW met3 0 + SHAPE STRIPE ( 19090 111520 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 18320 111520 ) ( 19860 111520 )
NEW met2 0 + SHAPE STRIPE ( 19090 111520 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 19090 111520 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 18300 106080 ) ( 19880 106080 )
NEW met3 0 + SHAPE STRIPE ( 19090 106080 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 18320 106080 ) ( 19860 106080 )
NEW met2 0 + SHAPE STRIPE ( 19090 106080 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 19090 106080 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 18300 100640 ) ( 19880 100640 )
NEW met3 0 + SHAPE STRIPE ( 19090 100640 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 18320 100640 ) ( 19860 100640 )
NEW met2 0 + SHAPE STRIPE ( 19090 100640 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 19090 100640 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 18300 95200 ) ( 19880 95200 )
NEW met3 0 + SHAPE STRIPE ( 19090 95200 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 18320 95200 ) ( 19860 95200 )
NEW met2 0 + SHAPE STRIPE ( 19090 95200 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 19090 95200 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 18300 89760 ) ( 19880 89760 )
NEW met3 0 + SHAPE STRIPE ( 19090 89760 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 18320 89760 ) ( 19860 89760 )
NEW met2 0 + SHAPE STRIPE ( 19090 89760 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 19090 89760 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 18300 84320 ) ( 19880 84320 )
NEW met3 0 + SHAPE STRIPE ( 19090 84320 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 18320 84320 ) ( 19860 84320 )
NEW met2 0 + SHAPE STRIPE ( 19090 84320 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 19090 84320 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 18300 78880 ) ( 19880 78880 )
NEW met3 0 + SHAPE STRIPE ( 19090 78880 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 18320 78880 ) ( 19860 78880 )
NEW met2 0 + SHAPE STRIPE ( 19090 78880 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 19090 78880 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 18300 73440 ) ( 19880 73440 )
NEW met3 0 + SHAPE STRIPE ( 19090 73440 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 18320 73440 ) ( 19860 73440 )
NEW met2 0 + SHAPE STRIPE ( 19090 73440 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 19090 73440 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 18300 68000 ) ( 19880 68000 )
NEW met3 0 + SHAPE STRIPE ( 19090 68000 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 18320 68000 ) ( 19860 68000 )
NEW met2 0 + SHAPE STRIPE ( 19090 68000 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 19090 68000 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 18300 62560 ) ( 19880 62560 )
NEW met3 0 + SHAPE STRIPE ( 19090 62560 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 18320 62560 ) ( 19860 62560 )
NEW met2 0 + SHAPE STRIPE ( 19090 62560 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 19090 62560 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 18300 57120 ) ( 19880 57120 )
NEW met3 0 + SHAPE STRIPE ( 19090 57120 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 18320 57120 ) ( 19860 57120 )
NEW met2 0 + SHAPE STRIPE ( 19090 57120 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 19090 57120 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 18300 51680 ) ( 19880 51680 )
NEW met3 0 + SHAPE STRIPE ( 19090 51680 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 18320 51680 ) ( 19860 51680 )
NEW met2 0 + SHAPE STRIPE ( 19090 51680 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 19090 51680 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 18300 46240 ) ( 19880 46240 )
NEW met3 0 + SHAPE STRIPE ( 19090 46240 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 18320 46240 ) ( 19860 46240 )
NEW met2 0 + SHAPE STRIPE ( 19090 46240 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 19090 46240 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 18300 40800 ) ( 19880 40800 )
NEW met3 0 + SHAPE STRIPE ( 19090 40800 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 18320 40800 ) ( 19860 40800 )
NEW met2 0 + SHAPE STRIPE ( 19090 40800 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 19090 40800 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 18300 35360 ) ( 19880 35360 )
NEW met3 0 + SHAPE STRIPE ( 19090 35360 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 18320 35360 ) ( 19860 35360 )
NEW met2 0 + SHAPE STRIPE ( 19090 35360 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 19090 35360 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 18300 29920 ) ( 19880 29920 )
NEW met3 0 + SHAPE STRIPE ( 19090 29920 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 18320 29920 ) ( 19860 29920 )
NEW met2 0 + SHAPE STRIPE ( 19090 29920 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 19090 29920 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 18300 24480 ) ( 19880 24480 )
NEW met3 0 + SHAPE STRIPE ( 19090 24480 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 18320 24480 ) ( 19860 24480 )
NEW met2 0 + SHAPE STRIPE ( 19090 24480 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 19090 24480 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 18300 19040 ) ( 19880 19040 )
NEW met3 0 + SHAPE STRIPE ( 19090 19040 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 18320 19040 ) ( 19860 19040 )
NEW met2 0 + SHAPE STRIPE ( 19090 19040 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 19090 19040 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 18300 13600 ) ( 19880 13600 )
NEW met3 0 + SHAPE STRIPE ( 19090 13600 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 18320 13600 ) ( 19860 13600 )
NEW met2 0 + SHAPE STRIPE ( 19090 13600 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 19090 13600 ) via2_3_1600_480_1_5_320_320 ;
- vssd1 ( PIN vssd1 ) ( * VNB ) ( * VGND ) + USE GROUND
+ ROUTED met1 480 + SHAPE FOLLOWPIN ( 5520 136000 ) ( 114880 136000 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 130560 ) ( 114880 130560 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 125120 ) ( 114880 125120 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 119680 ) ( 114880 119680 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 114240 ) ( 114880 114240 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 108800 ) ( 114880 108800 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 103360 ) ( 114880 103360 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 97920 ) ( 114880 97920 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 92480 ) ( 114880 92480 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 87040 ) ( 114880 87040 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 81600 ) ( 114880 81600 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 76160 ) ( 114880 76160 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 70720 ) ( 114880 70720 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 65280 ) ( 114880 65280 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 59840 ) ( 114880 59840 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 54400 ) ( 114880 54400 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 48960 ) ( 114880 48960 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 43520 ) ( 114880 43520 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 38080 ) ( 114880 38080 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 32640 ) ( 114880 32640 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 27200 ) ( 114880 27200 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 21760 ) ( 114880 21760 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 16320 ) ( 114880 16320 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 10880 ) ( 114880 10880 )
NEW met4 1600 + SHAPE STRIPE ( 114080 10640 ) ( 114080 138960 )
NEW met4 1600 + SHAPE STRIPE ( 86940 10640 ) ( 86940 138960 )
NEW met4 1600 + SHAPE STRIPE ( 59800 10640 ) ( 59800 138960 )
NEW met4 1600 + SHAPE STRIPE ( 32660 10640 ) ( 32660 138960 )
NEW met3 330 + SHAPE STRIPE ( 113290 136000 ) ( 114870 136000 )
NEW met3 0 + SHAPE STRIPE ( 114080 136000 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 113310 136000 ) ( 114850 136000 )
NEW met2 0 + SHAPE STRIPE ( 114080 136000 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 114080 136000 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 113290 130560 ) ( 114870 130560 )
NEW met3 0 + SHAPE STRIPE ( 114080 130560 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 113310 130560 ) ( 114850 130560 )
NEW met2 0 + SHAPE STRIPE ( 114080 130560 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 114080 130560 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 113290 125120 ) ( 114870 125120 )
NEW met3 0 + SHAPE STRIPE ( 114080 125120 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 113310 125120 ) ( 114850 125120 )
NEW met2 0 + SHAPE STRIPE ( 114080 125120 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 114080 125120 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 113290 119680 ) ( 114870 119680 )
NEW met3 0 + SHAPE STRIPE ( 114080 119680 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 113310 119680 ) ( 114850 119680 )
NEW met2 0 + SHAPE STRIPE ( 114080 119680 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 114080 119680 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 113290 114240 ) ( 114870 114240 )
NEW met3 0 + SHAPE STRIPE ( 114080 114240 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 113310 114240 ) ( 114850 114240 )
NEW met2 0 + SHAPE STRIPE ( 114080 114240 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 114080 114240 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 113290 108800 ) ( 114870 108800 )
NEW met3 0 + SHAPE STRIPE ( 114080 108800 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 113310 108800 ) ( 114850 108800 )
NEW met2 0 + SHAPE STRIPE ( 114080 108800 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 114080 108800 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 113290 103360 ) ( 114870 103360 )
NEW met3 0 + SHAPE STRIPE ( 114080 103360 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 113310 103360 ) ( 114850 103360 )
NEW met2 0 + SHAPE STRIPE ( 114080 103360 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 114080 103360 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 113290 97920 ) ( 114870 97920 )
NEW met3 0 + SHAPE STRIPE ( 114080 97920 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 113310 97920 ) ( 114850 97920 )
NEW met2 0 + SHAPE STRIPE ( 114080 97920 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 114080 97920 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 113290 92480 ) ( 114870 92480 )
NEW met3 0 + SHAPE STRIPE ( 114080 92480 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 113310 92480 ) ( 114850 92480 )
NEW met2 0 + SHAPE STRIPE ( 114080 92480 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 114080 92480 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 113290 87040 ) ( 114870 87040 )
NEW met3 0 + SHAPE STRIPE ( 114080 87040 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 113310 87040 ) ( 114850 87040 )
NEW met2 0 + SHAPE STRIPE ( 114080 87040 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 114080 87040 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 113290 81600 ) ( 114870 81600 )
NEW met3 0 + SHAPE STRIPE ( 114080 81600 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 113310 81600 ) ( 114850 81600 )
NEW met2 0 + SHAPE STRIPE ( 114080 81600 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 114080 81600 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 113290 76160 ) ( 114870 76160 )
NEW met3 0 + SHAPE STRIPE ( 114080 76160 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 113310 76160 ) ( 114850 76160 )
NEW met2 0 + SHAPE STRIPE ( 114080 76160 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 114080 76160 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 113290 70720 ) ( 114870 70720 )
NEW met3 0 + SHAPE STRIPE ( 114080 70720 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 113310 70720 ) ( 114850 70720 )
NEW met2 0 + SHAPE STRIPE ( 114080 70720 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 114080 70720 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 113290 65280 ) ( 114870 65280 )
NEW met3 0 + SHAPE STRIPE ( 114080 65280 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 113310 65280 ) ( 114850 65280 )
NEW met2 0 + SHAPE STRIPE ( 114080 65280 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 114080 65280 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 113290 59840 ) ( 114870 59840 )
NEW met3 0 + SHAPE STRIPE ( 114080 59840 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 113310 59840 ) ( 114850 59840 )
NEW met2 0 + SHAPE STRIPE ( 114080 59840 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 114080 59840 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 113290 54400 ) ( 114870 54400 )
NEW met3 0 + SHAPE STRIPE ( 114080 54400 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 113310 54400 ) ( 114850 54400 )
NEW met2 0 + SHAPE STRIPE ( 114080 54400 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 114080 54400 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 113290 48960 ) ( 114870 48960 )
NEW met3 0 + SHAPE STRIPE ( 114080 48960 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 113310 48960 ) ( 114850 48960 )
NEW met2 0 + SHAPE STRIPE ( 114080 48960 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 114080 48960 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 113290 43520 ) ( 114870 43520 )
NEW met3 0 + SHAPE STRIPE ( 114080 43520 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 113310 43520 ) ( 114850 43520 )
NEW met2 0 + SHAPE STRIPE ( 114080 43520 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 114080 43520 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 113290 38080 ) ( 114870 38080 )
NEW met3 0 + SHAPE STRIPE ( 114080 38080 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 113310 38080 ) ( 114850 38080 )
NEW met2 0 + SHAPE STRIPE ( 114080 38080 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 114080 38080 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 113290 32640 ) ( 114870 32640 )
NEW met3 0 + SHAPE STRIPE ( 114080 32640 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 113310 32640 ) ( 114850 32640 )
NEW met2 0 + SHAPE STRIPE ( 114080 32640 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 114080 32640 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 113290 27200 ) ( 114870 27200 )
NEW met3 0 + SHAPE STRIPE ( 114080 27200 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 113310 27200 ) ( 114850 27200 )
NEW met2 0 + SHAPE STRIPE ( 114080 27200 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 114080 27200 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 113290 21760 ) ( 114870 21760 )
NEW met3 0 + SHAPE STRIPE ( 114080 21760 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 113310 21760 ) ( 114850 21760 )
NEW met2 0 + SHAPE STRIPE ( 114080 21760 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 114080 21760 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 113290 16320 ) ( 114870 16320 )
NEW met3 0 + SHAPE STRIPE ( 114080 16320 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 113310 16320 ) ( 114850 16320 )
NEW met2 0 + SHAPE STRIPE ( 114080 16320 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 114080 16320 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 113290 10880 ) ( 114870 10880 )
NEW met3 0 + SHAPE STRIPE ( 114080 10880 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 113310 10880 ) ( 114850 10880 )
NEW met2 0 + SHAPE STRIPE ( 114080 10880 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 114080 10880 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 86150 136000 ) ( 87730 136000 )
NEW met3 0 + SHAPE STRIPE ( 86940 136000 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 86170 136000 ) ( 87710 136000 )
NEW met2 0 + SHAPE STRIPE ( 86940 136000 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 86940 136000 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 86150 130560 ) ( 87730 130560 )
NEW met3 0 + SHAPE STRIPE ( 86940 130560 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 86170 130560 ) ( 87710 130560 )
NEW met2 0 + SHAPE STRIPE ( 86940 130560 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 86940 130560 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 86150 125120 ) ( 87730 125120 )
NEW met3 0 + SHAPE STRIPE ( 86940 125120 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 86170 125120 ) ( 87710 125120 )
NEW met2 0 + SHAPE STRIPE ( 86940 125120 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 86940 125120 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 86150 119680 ) ( 87730 119680 )
NEW met3 0 + SHAPE STRIPE ( 86940 119680 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 86170 119680 ) ( 87710 119680 )
NEW met2 0 + SHAPE STRIPE ( 86940 119680 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 86940 119680 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 86150 114240 ) ( 87730 114240 )
NEW met3 0 + SHAPE STRIPE ( 86940 114240 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 86170 114240 ) ( 87710 114240 )
NEW met2 0 + SHAPE STRIPE ( 86940 114240 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 86940 114240 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 86150 108800 ) ( 87730 108800 )
NEW met3 0 + SHAPE STRIPE ( 86940 108800 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 86170 108800 ) ( 87710 108800 )
NEW met2 0 + SHAPE STRIPE ( 86940 108800 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 86940 108800 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 86150 103360 ) ( 87730 103360 )
NEW met3 0 + SHAPE STRIPE ( 86940 103360 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 86170 103360 ) ( 87710 103360 )
NEW met2 0 + SHAPE STRIPE ( 86940 103360 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 86940 103360 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 86150 97920 ) ( 87730 97920 )
NEW met3 0 + SHAPE STRIPE ( 86940 97920 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 86170 97920 ) ( 87710 97920 )
NEW met2 0 + SHAPE STRIPE ( 86940 97920 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 86940 97920 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 86150 92480 ) ( 87730 92480 )
NEW met3 0 + SHAPE STRIPE ( 86940 92480 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 86170 92480 ) ( 87710 92480 )
NEW met2 0 + SHAPE STRIPE ( 86940 92480 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 86940 92480 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 86150 87040 ) ( 87730 87040 )
NEW met3 0 + SHAPE STRIPE ( 86940 87040 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 86170 87040 ) ( 87710 87040 )
NEW met2 0 + SHAPE STRIPE ( 86940 87040 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 86940 87040 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 86150 81600 ) ( 87730 81600 )
NEW met3 0 + SHAPE STRIPE ( 86940 81600 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 86170 81600 ) ( 87710 81600 )
NEW met2 0 + SHAPE STRIPE ( 86940 81600 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 86940 81600 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 86150 76160 ) ( 87730 76160 )
NEW met3 0 + SHAPE STRIPE ( 86940 76160 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 86170 76160 ) ( 87710 76160 )
NEW met2 0 + SHAPE STRIPE ( 86940 76160 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 86940 76160 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 86150 70720 ) ( 87730 70720 )
NEW met3 0 + SHAPE STRIPE ( 86940 70720 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 86170 70720 ) ( 87710 70720 )
NEW met2 0 + SHAPE STRIPE ( 86940 70720 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 86940 70720 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 86150 65280 ) ( 87730 65280 )
NEW met3 0 + SHAPE STRIPE ( 86940 65280 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 86170 65280 ) ( 87710 65280 )
NEW met2 0 + SHAPE STRIPE ( 86940 65280 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 86940 65280 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 86150 59840 ) ( 87730 59840 )
NEW met3 0 + SHAPE STRIPE ( 86940 59840 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 86170 59840 ) ( 87710 59840 )
NEW met2 0 + SHAPE STRIPE ( 86940 59840 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 86940 59840 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 86150 54400 ) ( 87730 54400 )
NEW met3 0 + SHAPE STRIPE ( 86940 54400 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 86170 54400 ) ( 87710 54400 )
NEW met2 0 + SHAPE STRIPE ( 86940 54400 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 86940 54400 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 86150 48960 ) ( 87730 48960 )
NEW met3 0 + SHAPE STRIPE ( 86940 48960 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 86170 48960 ) ( 87710 48960 )
NEW met2 0 + SHAPE STRIPE ( 86940 48960 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 86940 48960 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 86150 43520 ) ( 87730 43520 )
NEW met3 0 + SHAPE STRIPE ( 86940 43520 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 86170 43520 ) ( 87710 43520 )
NEW met2 0 + SHAPE STRIPE ( 86940 43520 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 86940 43520 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 86150 38080 ) ( 87730 38080 )
NEW met3 0 + SHAPE STRIPE ( 86940 38080 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 86170 38080 ) ( 87710 38080 )
NEW met2 0 + SHAPE STRIPE ( 86940 38080 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 86940 38080 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 86150 32640 ) ( 87730 32640 )
NEW met3 0 + SHAPE STRIPE ( 86940 32640 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 86170 32640 ) ( 87710 32640 )
NEW met2 0 + SHAPE STRIPE ( 86940 32640 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 86940 32640 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 86150 27200 ) ( 87730 27200 )
NEW met3 0 + SHAPE STRIPE ( 86940 27200 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 86170 27200 ) ( 87710 27200 )
NEW met2 0 + SHAPE STRIPE ( 86940 27200 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 86940 27200 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 86150 21760 ) ( 87730 21760 )
NEW met3 0 + SHAPE STRIPE ( 86940 21760 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 86170 21760 ) ( 87710 21760 )
NEW met2 0 + SHAPE STRIPE ( 86940 21760 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 86940 21760 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 86150 16320 ) ( 87730 16320 )
NEW met3 0 + SHAPE STRIPE ( 86940 16320 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 86170 16320 ) ( 87710 16320 )
NEW met2 0 + SHAPE STRIPE ( 86940 16320 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 86940 16320 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 86150 10880 ) ( 87730 10880 )
NEW met3 0 + SHAPE STRIPE ( 86940 10880 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 86170 10880 ) ( 87710 10880 )
NEW met2 0 + SHAPE STRIPE ( 86940 10880 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 86940 10880 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 59010 136000 ) ( 60590 136000 )
NEW met3 0 + SHAPE STRIPE ( 59800 136000 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 59030 136000 ) ( 60570 136000 )
NEW met2 0 + SHAPE STRIPE ( 59800 136000 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 59800 136000 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 59010 130560 ) ( 60590 130560 )
NEW met3 0 + SHAPE STRIPE ( 59800 130560 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 59030 130560 ) ( 60570 130560 )
NEW met2 0 + SHAPE STRIPE ( 59800 130560 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 59800 130560 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 59010 125120 ) ( 60590 125120 )
NEW met3 0 + SHAPE STRIPE ( 59800 125120 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 59030 125120 ) ( 60570 125120 )
NEW met2 0 + SHAPE STRIPE ( 59800 125120 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 59800 125120 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 59010 119680 ) ( 60590 119680 )
NEW met3 0 + SHAPE STRIPE ( 59800 119680 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 59030 119680 ) ( 60570 119680 )
NEW met2 0 + SHAPE STRIPE ( 59800 119680 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 59800 119680 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 59010 114240 ) ( 60590 114240 )
NEW met3 0 + SHAPE STRIPE ( 59800 114240 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 59030 114240 ) ( 60570 114240 )
NEW met2 0 + SHAPE STRIPE ( 59800 114240 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 59800 114240 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 59010 108800 ) ( 60590 108800 )
NEW met3 0 + SHAPE STRIPE ( 59800 108800 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 59030 108800 ) ( 60570 108800 )
NEW met2 0 + SHAPE STRIPE ( 59800 108800 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 59800 108800 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 59010 103360 ) ( 60590 103360 )
NEW met3 0 + SHAPE STRIPE ( 59800 103360 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 59030 103360 ) ( 60570 103360 )
NEW met2 0 + SHAPE STRIPE ( 59800 103360 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 59800 103360 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 59010 97920 ) ( 60590 97920 )
NEW met3 0 + SHAPE STRIPE ( 59800 97920 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 59030 97920 ) ( 60570 97920 )
NEW met2 0 + SHAPE STRIPE ( 59800 97920 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 59800 97920 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 59010 92480 ) ( 60590 92480 )
NEW met3 0 + SHAPE STRIPE ( 59800 92480 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 59030 92480 ) ( 60570 92480 )
NEW met2 0 + SHAPE STRIPE ( 59800 92480 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 59800 92480 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 59010 87040 ) ( 60590 87040 )
NEW met3 0 + SHAPE STRIPE ( 59800 87040 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 59030 87040 ) ( 60570 87040 )
NEW met2 0 + SHAPE STRIPE ( 59800 87040 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 59800 87040 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 59010 81600 ) ( 60590 81600 )
NEW met3 0 + SHAPE STRIPE ( 59800 81600 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 59030 81600 ) ( 60570 81600 )
NEW met2 0 + SHAPE STRIPE ( 59800 81600 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 59800 81600 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 59010 76160 ) ( 60590 76160 )
NEW met3 0 + SHAPE STRIPE ( 59800 76160 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 59030 76160 ) ( 60570 76160 )
NEW met2 0 + SHAPE STRIPE ( 59800 76160 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 59800 76160 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 59010 70720 ) ( 60590 70720 )
NEW met3 0 + SHAPE STRIPE ( 59800 70720 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 59030 70720 ) ( 60570 70720 )
NEW met2 0 + SHAPE STRIPE ( 59800 70720 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 59800 70720 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 59010 65280 ) ( 60590 65280 )
NEW met3 0 + SHAPE STRIPE ( 59800 65280 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 59030 65280 ) ( 60570 65280 )
NEW met2 0 + SHAPE STRIPE ( 59800 65280 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 59800 65280 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 59010 59840 ) ( 60590 59840 )
NEW met3 0 + SHAPE STRIPE ( 59800 59840 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 59030 59840 ) ( 60570 59840 )
NEW met2 0 + SHAPE STRIPE ( 59800 59840 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 59800 59840 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 59010 54400 ) ( 60590 54400 )
NEW met3 0 + SHAPE STRIPE ( 59800 54400 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 59030 54400 ) ( 60570 54400 )
NEW met2 0 + SHAPE STRIPE ( 59800 54400 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 59800 54400 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 59010 48960 ) ( 60590 48960 )
NEW met3 0 + SHAPE STRIPE ( 59800 48960 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 59030 48960 ) ( 60570 48960 )
NEW met2 0 + SHAPE STRIPE ( 59800 48960 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 59800 48960 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 59010 43520 ) ( 60590 43520 )
NEW met3 0 + SHAPE STRIPE ( 59800 43520 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 59030 43520 ) ( 60570 43520 )
NEW met2 0 + SHAPE STRIPE ( 59800 43520 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 59800 43520 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 59010 38080 ) ( 60590 38080 )
NEW met3 0 + SHAPE STRIPE ( 59800 38080 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 59030 38080 ) ( 60570 38080 )
NEW met2 0 + SHAPE STRIPE ( 59800 38080 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 59800 38080 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 59010 32640 ) ( 60590 32640 )
NEW met3 0 + SHAPE STRIPE ( 59800 32640 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 59030 32640 ) ( 60570 32640 )
NEW met2 0 + SHAPE STRIPE ( 59800 32640 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 59800 32640 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 59010 27200 ) ( 60590 27200 )
NEW met3 0 + SHAPE STRIPE ( 59800 27200 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 59030 27200 ) ( 60570 27200 )
NEW met2 0 + SHAPE STRIPE ( 59800 27200 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 59800 27200 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 59010 21760 ) ( 60590 21760 )
NEW met3 0 + SHAPE STRIPE ( 59800 21760 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 59030 21760 ) ( 60570 21760 )
NEW met2 0 + SHAPE STRIPE ( 59800 21760 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 59800 21760 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 59010 16320 ) ( 60590 16320 )
NEW met3 0 + SHAPE STRIPE ( 59800 16320 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 59030 16320 ) ( 60570 16320 )
NEW met2 0 + SHAPE STRIPE ( 59800 16320 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 59800 16320 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 59010 10880 ) ( 60590 10880 )
NEW met3 0 + SHAPE STRIPE ( 59800 10880 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 59030 10880 ) ( 60570 10880 )
NEW met2 0 + SHAPE STRIPE ( 59800 10880 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 59800 10880 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 31870 136000 ) ( 33450 136000 )
NEW met3 0 + SHAPE STRIPE ( 32660 136000 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 31890 136000 ) ( 33430 136000 )
NEW met2 0 + SHAPE STRIPE ( 32660 136000 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 32660 136000 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 31870 130560 ) ( 33450 130560 )
NEW met3 0 + SHAPE STRIPE ( 32660 130560 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 31890 130560 ) ( 33430 130560 )
NEW met2 0 + SHAPE STRIPE ( 32660 130560 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 32660 130560 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 31870 125120 ) ( 33450 125120 )
NEW met3 0 + SHAPE STRIPE ( 32660 125120 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 31890 125120 ) ( 33430 125120 )
NEW met2 0 + SHAPE STRIPE ( 32660 125120 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 32660 125120 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 31870 119680 ) ( 33450 119680 )
NEW met3 0 + SHAPE STRIPE ( 32660 119680 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 31890 119680 ) ( 33430 119680 )
NEW met2 0 + SHAPE STRIPE ( 32660 119680 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 32660 119680 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 31870 114240 ) ( 33450 114240 )
NEW met3 0 + SHAPE STRIPE ( 32660 114240 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 31890 114240 ) ( 33430 114240 )
NEW met2 0 + SHAPE STRIPE ( 32660 114240 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 32660 114240 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 31870 108800 ) ( 33450 108800 )
NEW met3 0 + SHAPE STRIPE ( 32660 108800 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 31890 108800 ) ( 33430 108800 )
NEW met2 0 + SHAPE STRIPE ( 32660 108800 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 32660 108800 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 31870 103360 ) ( 33450 103360 )
NEW met3 0 + SHAPE STRIPE ( 32660 103360 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 31890 103360 ) ( 33430 103360 )
NEW met2 0 + SHAPE STRIPE ( 32660 103360 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 32660 103360 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 31870 97920 ) ( 33450 97920 )
NEW met3 0 + SHAPE STRIPE ( 32660 97920 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 31890 97920 ) ( 33430 97920 )
NEW met2 0 + SHAPE STRIPE ( 32660 97920 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 32660 97920 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 31870 92480 ) ( 33450 92480 )
NEW met3 0 + SHAPE STRIPE ( 32660 92480 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 31890 92480 ) ( 33430 92480 )
NEW met2 0 + SHAPE STRIPE ( 32660 92480 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 32660 92480 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 31870 87040 ) ( 33450 87040 )
NEW met3 0 + SHAPE STRIPE ( 32660 87040 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 31890 87040 ) ( 33430 87040 )
NEW met2 0 + SHAPE STRIPE ( 32660 87040 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 32660 87040 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 31870 81600 ) ( 33450 81600 )
NEW met3 0 + SHAPE STRIPE ( 32660 81600 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 31890 81600 ) ( 33430 81600 )
NEW met2 0 + SHAPE STRIPE ( 32660 81600 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 32660 81600 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 31870 76160 ) ( 33450 76160 )
NEW met3 0 + SHAPE STRIPE ( 32660 76160 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 31890 76160 ) ( 33430 76160 )
NEW met2 0 + SHAPE STRIPE ( 32660 76160 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 32660 76160 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 31870 70720 ) ( 33450 70720 )
NEW met3 0 + SHAPE STRIPE ( 32660 70720 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 31890 70720 ) ( 33430 70720 )
NEW met2 0 + SHAPE STRIPE ( 32660 70720 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 32660 70720 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 31870 65280 ) ( 33450 65280 )
NEW met3 0 + SHAPE STRIPE ( 32660 65280 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 31890 65280 ) ( 33430 65280 )
NEW met2 0 + SHAPE STRIPE ( 32660 65280 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 32660 65280 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 31870 59840 ) ( 33450 59840 )
NEW met3 0 + SHAPE STRIPE ( 32660 59840 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 31890 59840 ) ( 33430 59840 )
NEW met2 0 + SHAPE STRIPE ( 32660 59840 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 32660 59840 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 31870 54400 ) ( 33450 54400 )
NEW met3 0 + SHAPE STRIPE ( 32660 54400 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 31890 54400 ) ( 33430 54400 )
NEW met2 0 + SHAPE STRIPE ( 32660 54400 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 32660 54400 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 31870 48960 ) ( 33450 48960 )
NEW met3 0 + SHAPE STRIPE ( 32660 48960 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 31890 48960 ) ( 33430 48960 )
NEW met2 0 + SHAPE STRIPE ( 32660 48960 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 32660 48960 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 31870 43520 ) ( 33450 43520 )
NEW met3 0 + SHAPE STRIPE ( 32660 43520 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 31890 43520 ) ( 33430 43520 )
NEW met2 0 + SHAPE STRIPE ( 32660 43520 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 32660 43520 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 31870 38080 ) ( 33450 38080 )
NEW met3 0 + SHAPE STRIPE ( 32660 38080 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 31890 38080 ) ( 33430 38080 )
NEW met2 0 + SHAPE STRIPE ( 32660 38080 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 32660 38080 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 31870 32640 ) ( 33450 32640 )
NEW met3 0 + SHAPE STRIPE ( 32660 32640 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 31890 32640 ) ( 33430 32640 )
NEW met2 0 + SHAPE STRIPE ( 32660 32640 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 32660 32640 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 31870 27200 ) ( 33450 27200 )
NEW met3 0 + SHAPE STRIPE ( 32660 27200 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 31890 27200 ) ( 33430 27200 )
NEW met2 0 + SHAPE STRIPE ( 32660 27200 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 32660 27200 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 31870 21760 ) ( 33450 21760 )
NEW met3 0 + SHAPE STRIPE ( 32660 21760 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 31890 21760 ) ( 33430 21760 )
NEW met2 0 + SHAPE STRIPE ( 32660 21760 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 32660 21760 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 31870 16320 ) ( 33450 16320 )
NEW met3 0 + SHAPE STRIPE ( 32660 16320 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 31890 16320 ) ( 33430 16320 )
NEW met2 0 + SHAPE STRIPE ( 32660 16320 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 32660 16320 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 31870 10880 ) ( 33450 10880 )
NEW met3 0 + SHAPE STRIPE ( 32660 10880 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 31890 10880 ) ( 33430 10880 )
NEW met2 0 + SHAPE STRIPE ( 32660 10880 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 32660 10880 ) via2_3_1600_480_1_5_320_320 ;
END SPECIALNETS
NETS 114 ;
- io_in[0] ( PIN io_in[0] ) + USE SIGNAL ;
- io_in[10] ( PIN io_in[10] ) + USE SIGNAL ;
- io_in[11] ( PIN io_in[11] ) + USE SIGNAL ;
- io_in[12] ( PIN io_in[12] ) + USE SIGNAL ;
- io_in[13] ( PIN io_in[13] ) + USE SIGNAL ;
- io_in[14] ( PIN io_in[14] ) + USE SIGNAL ;
- io_in[15] ( PIN io_in[15] ) + USE SIGNAL ;
- io_in[16] ( PIN io_in[16] ) + USE SIGNAL ;
- io_in[17] ( PIN io_in[17] ) + USE SIGNAL ;
- io_in[18] ( PIN io_in[18] ) + USE SIGNAL ;
- io_in[19] ( PIN io_in[19] ) + USE SIGNAL ;
- io_in[1] ( PIN io_in[1] ) + USE SIGNAL ;
- io_in[20] ( PIN io_in[20] ) + USE SIGNAL ;
- io_in[21] ( PIN io_in[21] ) + USE SIGNAL ;
- io_in[22] ( PIN io_in[22] ) + USE SIGNAL ;
- io_in[23] ( PIN io_in[23] ) + USE SIGNAL ;
- io_in[24] ( PIN io_in[24] ) + USE SIGNAL ;
- io_in[25] ( PIN io_in[25] ) + USE SIGNAL ;
- io_in[26] ( PIN io_in[26] ) + USE SIGNAL ;
- io_in[27] ( PIN io_in[27] ) + USE SIGNAL ;
- io_in[28] ( PIN io_in[28] ) + USE SIGNAL ;
- io_in[29] ( PIN io_in[29] ) + USE SIGNAL ;
- io_in[2] ( PIN io_in[2] ) + USE SIGNAL ;
- io_in[30] ( PIN io_in[30] ) + USE SIGNAL ;
- io_in[31] ( PIN io_in[31] ) + USE SIGNAL ;
- io_in[32] ( PIN io_in[32] ) + USE SIGNAL ;
- io_in[33] ( PIN io_in[33] ) + USE SIGNAL ;
- io_in[34] ( PIN io_in[34] ) + USE SIGNAL ;
- io_in[35] ( PIN io_in[35] ) + USE SIGNAL ;
- io_in[36] ( PIN io_in[36] ) + USE SIGNAL ;
- io_in[37] ( PIN io_in[37] ) + USE SIGNAL ;
- io_in[3] ( PIN io_in[3] ) + USE SIGNAL ;
- io_in[4] ( PIN io_in[4] ) + USE SIGNAL ;
- io_in[5] ( PIN io_in[5] ) + USE SIGNAL ;
- io_in[6] ( PIN io_in[6] ) + USE SIGNAL ;
- io_in[7] ( PIN io_in[7] ) + USE SIGNAL ;
- io_in[8] ( PIN io_in[8] ) + USE SIGNAL ;
- io_in[9] ( PIN io_in[9] ) + USE SIGNAL ;
- net1 ( PIN io_out[0] ) ( tiny_user_project_1 LO ) + USE SIGNAL
+ ROUTED met2 ( 111550 17340 ) ( * 17510 )
NEW met3 ( 111550 17340 ) ( 116380 * 0 )
NEW li1 ( 111550 17510 ) L1M1_PR_MR
NEW met1 ( 111550 17510 ) M1M2_PR
NEW met2 ( 111550 17340 ) M2M3_PR
NEW met1 ( 111550 17510 ) RECT ( -355 -70 0 70 ) ;
- net10 ( PIN io_out[9] ) ( tiny_user_project_10 LO ) + USE SIGNAL
+ ROUTED met2 ( 111550 90610 ) ( * 90780 )
NEW met3 ( 111550 90780 ) ( 116380 * 0 )
NEW li1 ( 111550 90610 ) L1M1_PR_MR
NEW met1 ( 111550 90610 ) M1M2_PR
NEW met2 ( 111550 90780 ) M2M3_PR
NEW met1 ( 111550 90610 ) RECT ( -355 -70 0 70 ) ;
- net11 ( PIN io_out[10] ) ( tiny_user_project_11 LO ) + USE SIGNAL
+ ROUTED met2 ( 111550 98940 ) ( * 99110 )
NEW met3 ( 111550 98940 ) ( 116380 * 0 )
NEW li1 ( 111550 99110 ) L1M1_PR_MR
NEW met1 ( 111550 99110 ) M1M2_PR
NEW met2 ( 111550 98940 ) M2M3_PR
NEW met1 ( 111550 99110 ) RECT ( -355 -70 0 70 ) ;
- net12 ( PIN io_out[11] ) ( tiny_user_project_12 LO ) + USE SIGNAL
+ ROUTED met2 ( 111550 106930 ) ( * 107100 )
NEW met3 ( 111550 107100 ) ( 116380 * 0 )
NEW li1 ( 111550 106930 ) L1M1_PR_MR
NEW met1 ( 111550 106930 ) M1M2_PR
NEW met2 ( 111550 107100 ) M2M3_PR
NEW met1 ( 111550 106930 ) RECT ( -355 -70 0 70 ) ;
- net13 ( PIN io_out[12] ) ( tiny_user_project_13 LO ) + USE SIGNAL
+ ROUTED met2 ( 111550 115260 ) ( * 115430 )
NEW met3 ( 111550 115260 ) ( 116380 * 0 )
NEW li1 ( 111550 115430 ) L1M1_PR_MR
NEW met1 ( 111550 115430 ) M1M2_PR
NEW met2 ( 111550 115260 ) M2M3_PR
NEW met1 ( 111550 115430 ) RECT ( -355 -70 0 70 ) ;
- net14 ( PIN io_out[13] ) ( tiny_user_project_14 LO ) + USE SIGNAL
+ ROUTED met2 ( 111550 123250 ) ( * 123420 )
NEW met3 ( 111550 123420 ) ( 116380 * 0 )
NEW li1 ( 111550 123250 ) L1M1_PR_MR
NEW met1 ( 111550 123250 ) M1M2_PR
NEW met2 ( 111550 123420 ) M2M3_PR
NEW met1 ( 111550 123250 ) RECT ( -355 -70 0 70 ) ;
- net15 ( PIN io_out[14] ) ( tiny_user_project_15 LO ) + USE SIGNAL
+ ROUTED met2 ( 111550 131580 ) ( * 131750 )
NEW met3 ( 111550 131580 ) ( 116380 * 0 )
NEW li1 ( 111550 131750 ) L1M1_PR_MR
NEW met1 ( 111550 131750 ) M1M2_PR
NEW met2 ( 111550 131580 ) M2M3_PR
NEW met1 ( 111550 131750 ) RECT ( -355 -70 0 70 ) ;
- net16 ( PIN io_out[15] ) ( tiny_user_project_16 LO ) + USE SIGNAL
+ ROUTED met2 ( 110170 137870 ) ( * 146540 )
NEW met2 ( 109710 146540 0 ) ( 110170 * )
NEW li1 ( 110170 137870 ) L1M1_PR_MR
NEW met1 ( 110170 137870 ) M1M2_PR
NEW met1 ( 110170 137870 ) RECT ( -355 -70 0 70 ) ;
- net17 ( PIN io_out[16] ) ( tiny_user_project_17 LO ) + USE SIGNAL
+ ROUTED met2 ( 97750 137870 ) ( * 146540 )
NEW met2 ( 97290 146540 0 ) ( 97750 * )
NEW li1 ( 97750 137870 ) L1M1_PR_MR
NEW met1 ( 97750 137870 ) M1M2_PR
NEW met1 ( 97750 137870 ) RECT ( -355 -70 0 70 ) ;
- net18 ( PIN io_out[17] ) ( tiny_user_project_18 LO ) + USE SIGNAL
+ ROUTED met1 ( 84870 137870 ) ( 87630 * )
NEW met2 ( 84870 137870 ) ( * 146540 0 )
NEW li1 ( 87630 137870 ) L1M1_PR_MR
NEW met1 ( 84870 137870 ) M1M2_PR ;
- net19 ( PIN io_out[18] ) ( tiny_user_project_19 LO ) + USE SIGNAL
+ ROUTED met2 ( 74750 137870 ) ( * 146540 )
NEW met2 ( 72450 146540 0 ) ( 74750 * )
NEW li1 ( 74750 137870 ) L1M1_PR_MR
NEW met1 ( 74750 137870 ) M1M2_PR
NEW met1 ( 74750 137870 ) RECT ( -355 -70 0 70 ) ;
- net2 ( PIN io_out[1] ) ( tiny_user_project_2 LO ) + USE SIGNAL
+ ROUTED met2 ( 111550 25330 ) ( * 25500 )
NEW met3 ( 111550 25500 ) ( 116380 * 0 )
NEW li1 ( 111550 25330 ) L1M1_PR_MR
NEW met1 ( 111550 25330 ) M1M2_PR
NEW met2 ( 111550 25500 ) M2M3_PR
NEW met1 ( 111550 25330 ) RECT ( -355 -70 0 70 ) ;
- net20 ( PIN io_out[19] ) ( tiny_user_project_20 LO ) + USE SIGNAL
+ ROUTED met2 ( 60490 137870 ) ( * 146540 )
NEW met2 ( 60030 146540 0 ) ( 60490 * )
NEW li1 ( 60490 137870 ) L1M1_PR_MR
NEW met1 ( 60490 137870 ) M1M2_PR
NEW met1 ( 60490 137870 ) RECT ( -355 -70 0 70 ) ;
- net21 ( PIN io_out[20] ) ( tiny_user_project_21 LO ) + USE SIGNAL
+ ROUTED met2 ( 48070 137870 ) ( * 146540 )
NEW met2 ( 47610 146540 0 ) ( 48070 * )
NEW li1 ( 48070 137870 ) L1M1_PR_MR
NEW met1 ( 48070 137870 ) M1M2_PR
NEW met1 ( 48070 137870 ) RECT ( -355 -70 0 70 ) ;
- net22 ( PIN io_out[21] ) ( tiny_user_project_22 LO ) + USE SIGNAL
+ ROUTED met2 ( 35650 137870 ) ( * 146540 )
NEW met2 ( 35190 146540 0 ) ( 35650 * )
NEW li1 ( 35650 137870 ) L1M1_PR_MR
NEW met1 ( 35650 137870 ) M1M2_PR
NEW met1 ( 35650 137870 ) RECT ( -355 -70 0 70 ) ;
- net23 ( PIN io_out[22] ) ( tiny_user_project_23 LO ) + USE SIGNAL
+ ROUTED met2 ( 23230 137870 ) ( * 146540 )
NEW met2 ( 22770 146540 0 ) ( 23230 * )
NEW li1 ( 23230 137870 ) L1M1_PR_MR
NEW met1 ( 23230 137870 ) M1M2_PR
NEW met1 ( 23230 137870 ) RECT ( -355 -70 0 70 ) ;
- net24 ( PIN io_out[23] ) ( tiny_user_project_24 LO ) + USE SIGNAL
+ ROUTED met2 ( 10810 137870 ) ( * 146540 )
NEW met2 ( 10350 146540 0 ) ( 10810 * )
NEW li1 ( 10810 137870 ) L1M1_PR_MR
NEW met1 ( 10810 137870 ) M1M2_PR
NEW met1 ( 10810 137870 ) RECT ( -355 -70 0 70 ) ;
- net25 ( PIN io_out[24] ) ( tiny_user_project_25 LO ) + USE SIGNAL
+ ROUTED met1 ( 14030 137870 ) ( 14490 * )
NEW met2 ( 14490 137870 ) ( * 141100 )
NEW met3 ( 3220 141100 0 ) ( 14490 * )
NEW li1 ( 14030 137870 ) L1M1_PR_MR
NEW met1 ( 14490 137870 ) M1M2_PR
NEW met2 ( 14490 141100 ) M2M3_PR ;
- net26 ( PIN io_out[25] ) ( tiny_user_project_26 LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 130900 0 ) ( 8050 * )
NEW met2 ( 8050 130900 ) ( * 131750 )
NEW met2 ( 8050 130900 ) M2M3_PR
NEW li1 ( 8050 131750 ) L1M1_PR_MR
NEW met1 ( 8050 131750 ) M1M2_PR
NEW met1 ( 8050 131750 ) RECT ( -355 -70 0 70 ) ;
- net27 ( PIN io_out[26] ) ( tiny_user_project_27 LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 120700 0 ) ( 8050 * )
NEW met2 ( 8050 120700 ) ( * 120870 )
NEW met2 ( 8050 120700 ) M2M3_PR
NEW li1 ( 8050 120870 ) L1M1_PR_MR
NEW met1 ( 8050 120870 ) M1M2_PR
NEW met1 ( 8050 120870 ) RECT ( -355 -70 0 70 ) ;
- net28 ( PIN io_out[27] ) ( tiny_user_project_28 LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 110500 0 ) ( 8050 * )
NEW met2 ( 8050 110500 ) ( * 112030 )
NEW met2 ( 8050 110500 ) M2M3_PR
NEW li1 ( 8050 112030 ) L1M1_PR_MR
NEW met1 ( 8050 112030 ) M1M2_PR
NEW met1 ( 8050 112030 ) RECT ( -355 -70 0 70 ) ;
- net29 ( PIN io_out[28] ) ( tiny_user_project_29 LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 100300 0 ) ( 8050 * )
NEW met2 ( 8050 100300 ) ( * 101150 )
NEW met2 ( 8050 100300 ) M2M3_PR
NEW li1 ( 8050 101150 ) L1M1_PR_MR
NEW met1 ( 8050 101150 ) M1M2_PR
NEW met1 ( 8050 101150 ) RECT ( -355 -70 0 70 ) ;
- net3 ( PIN io_out[2] ) ( tiny_user_project_3 LO ) + USE SIGNAL
+ ROUTED met2 ( 111550 33660 ) ( * 33830 )
NEW met3 ( 111550 33660 ) ( 116380 * 0 )
NEW li1 ( 111550 33830 ) L1M1_PR_MR
NEW met1 ( 111550 33830 ) M1M2_PR
NEW met2 ( 111550 33660 ) M2M3_PR
NEW met1 ( 111550 33830 ) RECT ( -355 -70 0 70 ) ;
- net30 ( PIN io_out[29] ) ( tiny_user_project_30 LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 90100 0 ) ( 8050 * )
NEW met2 ( 8050 90100 ) ( * 90270 )
NEW met2 ( 8050 90100 ) M2M3_PR
NEW li1 ( 8050 90270 ) L1M1_PR_MR
NEW met1 ( 8050 90270 ) M1M2_PR
NEW met1 ( 8050 90270 ) RECT ( -355 -70 0 70 ) ;
- net31 ( PIN io_out[30] ) ( tiny_user_project_31 LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 79900 0 ) ( 8050 * )
NEW met2 ( 8050 79900 ) ( * 80070 )
NEW met2 ( 8050 79900 ) M2M3_PR
NEW li1 ( 8050 80070 ) L1M1_PR_MR
NEW met1 ( 8050 80070 ) M1M2_PR
NEW met1 ( 8050 80070 ) RECT ( -355 -70 0 70 ) ;
- net32 ( PIN io_out[31] ) ( tiny_user_project_32 LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 69700 0 ) ( 8050 * )
NEW met2 ( 8050 69700 ) ( * 71910 )
NEW met2 ( 8050 69700 ) M2M3_PR
NEW li1 ( 8050 71910 ) L1M1_PR_MR
NEW met1 ( 8050 71910 ) M1M2_PR
NEW met1 ( 8050 71910 ) RECT ( -355 -70 0 70 ) ;
- net33 ( PIN io_out[32] ) ( tiny_user_project_33 LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 59500 0 ) ( 8050 * )
NEW met2 ( 8050 59500 ) ( * 61030 )
NEW met2 ( 8050 59500 ) M2M3_PR
NEW li1 ( 8050 61030 ) L1M1_PR_MR
NEW met1 ( 8050 61030 ) M1M2_PR
NEW met1 ( 8050 61030 ) RECT ( -355 -70 0 70 ) ;
- net34 ( PIN io_out[33] ) ( tiny_user_project_34 LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 49300 0 ) ( 8050 * )
NEW met2 ( 8050 49300 ) ( * 50150 )
NEW met2 ( 8050 49300 ) M2M3_PR
NEW li1 ( 8050 50150 ) L1M1_PR_MR
NEW met1 ( 8050 50150 ) M1M2_PR
NEW met1 ( 8050 50150 ) RECT ( -355 -70 0 70 ) ;
- net35 ( PIN io_out[34] ) ( tiny_user_project_35 LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 39100 0 ) ( 8050 * )
NEW met2 ( 8050 39100 ) ( * 39270 )
NEW met2 ( 8050 39100 ) M2M3_PR
NEW li1 ( 8050 39270 ) L1M1_PR_MR
NEW met1 ( 8050 39270 ) M1M2_PR
NEW met1 ( 8050 39270 ) RECT ( -355 -70 0 70 ) ;
- net36 ( PIN io_out[35] ) ( tiny_user_project_36 LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 28900 0 ) ( 8050 * )
NEW met2 ( 8050 28900 ) ( * 30430 )
NEW met2 ( 8050 28900 ) M2M3_PR
NEW li1 ( 8050 30430 ) L1M1_PR_MR
NEW met1 ( 8050 30430 ) M1M2_PR
NEW met1 ( 8050 30430 ) RECT ( -355 -70 0 70 ) ;
- net37 ( PIN io_out[36] ) ( tiny_user_project_37 LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 18700 0 ) ( 8050 * )
NEW met2 ( 8050 18700 ) ( * 19550 )
NEW met2 ( 8050 18700 ) M2M3_PR
NEW li1 ( 8050 19550 ) L1M1_PR_MR
NEW met1 ( 8050 19550 ) M1M2_PR
NEW met1 ( 8050 19550 ) RECT ( -355 -70 0 70 ) ;
- net38 ( PIN io_out[37] ) ( tiny_user_project_38 LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 8500 0 ) ( 8050 * )
NEW met2 ( 8050 8500 ) ( * 12070 )
NEW met2 ( 8050 8500 ) M2M3_PR
NEW li1 ( 8050 12070 ) L1M1_PR_MR
NEW met1 ( 8050 12070 ) M1M2_PR
NEW met1 ( 8050 12070 ) RECT ( -355 -70 0 70 ) ;
- net39 ( PIN io_oeb[0] ) ( tiny_user_project_39 LO ) + USE SIGNAL
+ ROUTED met2 ( 111550 19890 ) ( * 20060 )
NEW met3 ( 111550 20060 ) ( 116380 * 0 )
NEW li1 ( 111550 19890 ) L1M1_PR_MR
NEW met1 ( 111550 19890 ) M1M2_PR
NEW met2 ( 111550 20060 ) M2M3_PR
NEW met1 ( 111550 19890 ) RECT ( -355 -70 0 70 ) ;
- net4 ( PIN io_out[3] ) ( tiny_user_project_4 LO ) + USE SIGNAL
+ ROUTED met2 ( 111550 41650 ) ( * 41820 )
NEW met3 ( 111550 41820 ) ( 116380 * 0 )
NEW li1 ( 111550 41650 ) L1M1_PR_MR
NEW met1 ( 111550 41650 ) M1M2_PR
NEW met2 ( 111550 41820 ) M2M3_PR
NEW met1 ( 111550 41650 ) RECT ( -355 -70 0 70 ) ;
- net40 ( PIN io_oeb[1] ) ( tiny_user_project_40 LO ) + USE SIGNAL
+ ROUTED met2 ( 111550 28220 ) ( * 28390 )
NEW met3 ( 111550 28220 ) ( 116380 * 0 )
NEW li1 ( 111550 28390 ) L1M1_PR_MR
NEW met1 ( 111550 28390 ) M1M2_PR
NEW met2 ( 111550 28220 ) M2M3_PR
NEW met1 ( 111550 28390 ) RECT ( -355 -70 0 70 ) ;
- net41 ( PIN io_oeb[2] ) ( tiny_user_project_41 LO ) + USE SIGNAL
+ ROUTED met2 ( 111550 36210 ) ( * 36380 )
NEW met3 ( 111550 36380 ) ( 116380 * 0 )
NEW li1 ( 111550 36210 ) L1M1_PR_MR
NEW met1 ( 111550 36210 ) M1M2_PR
NEW met2 ( 111550 36380 ) M2M3_PR
NEW met1 ( 111550 36210 ) RECT ( -355 -70 0 70 ) ;
- net42 ( PIN io_oeb[3] ) ( tiny_user_project_42 LO ) + USE SIGNAL
+ ROUTED met2 ( 111550 44540 ) ( * 44710 )
NEW met3 ( 111550 44540 ) ( 116380 * 0 )
NEW li1 ( 111550 44710 ) L1M1_PR_MR
NEW met1 ( 111550 44710 ) M1M2_PR
NEW met2 ( 111550 44540 ) M2M3_PR
NEW met1 ( 111550 44710 ) RECT ( -355 -70 0 70 ) ;
- net43 ( PIN io_oeb[4] ) ( tiny_user_project_43 LO ) + USE SIGNAL
+ ROUTED met2 ( 111550 52530 ) ( * 52700 )
NEW met3 ( 111550 52700 ) ( 116380 * 0 )
NEW li1 ( 111550 52530 ) L1M1_PR_MR
NEW met1 ( 111550 52530 ) M1M2_PR
NEW met2 ( 111550 52700 ) M2M3_PR
NEW met1 ( 111550 52530 ) RECT ( -355 -70 0 70 ) ;
- net44 ( PIN io_oeb[5] ) ( tiny_user_project_44 LO ) + USE SIGNAL
+ ROUTED met2 ( 111550 60860 ) ( * 61030 )
NEW met3 ( 111550 60860 ) ( 116380 * 0 )
NEW li1 ( 111550 61030 ) L1M1_PR_MR
NEW met1 ( 111550 61030 ) M1M2_PR
NEW met2 ( 111550 60860 ) M2M3_PR
NEW met1 ( 111550 61030 ) RECT ( -355 -70 0 70 ) ;
- net45 ( PIN io_oeb[6] ) ( tiny_user_project_45 LO ) + USE SIGNAL
+ ROUTED met2 ( 111550 69020 ) ( * 69190 )
NEW met3 ( 111550 69020 ) ( 116380 * 0 )
NEW li1 ( 111550 69190 ) L1M1_PR_MR
NEW met1 ( 111550 69190 ) M1M2_PR
NEW met2 ( 111550 69020 ) M2M3_PR
NEW met1 ( 111550 69190 ) RECT ( -355 -70 0 70 ) ;
- net46 ( PIN io_oeb[7] ) ( tiny_user_project_46 LO ) + USE SIGNAL
+ ROUTED met2 ( 111550 77180 ) ( * 77350 )
NEW met3 ( 111550 77180 ) ( 116380 * 0 )
NEW li1 ( 111550 77350 ) L1M1_PR_MR
NEW met1 ( 111550 77350 ) M1M2_PR
NEW met2 ( 111550 77180 ) M2M3_PR
NEW met1 ( 111550 77350 ) RECT ( -355 -70 0 70 ) ;
- net47 ( PIN io_oeb[8] ) ( tiny_user_project_47 LO ) + USE SIGNAL
+ ROUTED met2 ( 111550 85170 ) ( * 85340 )
NEW met3 ( 111550 85340 ) ( 116380 * 0 )
NEW li1 ( 111550 85170 ) L1M1_PR_MR
NEW met1 ( 111550 85170 ) M1M2_PR
NEW met2 ( 111550 85340 ) M2M3_PR
NEW met1 ( 111550 85170 ) RECT ( -355 -70 0 70 ) ;
- net48 ( PIN io_oeb[9] ) ( tiny_user_project_48 LO ) + USE SIGNAL
+ ROUTED met2 ( 111550 93500 ) ( * 93670 )
NEW met3 ( 111550 93500 ) ( 116380 * 0 )
NEW li1 ( 111550 93670 ) L1M1_PR_MR
NEW met1 ( 111550 93670 ) M1M2_PR
NEW met2 ( 111550 93500 ) M2M3_PR
NEW met1 ( 111550 93670 ) RECT ( -355 -70 0 70 ) ;
- net49 ( PIN io_oeb[10] ) ( tiny_user_project_49 LO ) + USE SIGNAL
+ ROUTED met2 ( 111550 101490 ) ( * 101660 )
NEW met3 ( 111550 101660 ) ( 116380 * 0 )
NEW li1 ( 111550 101490 ) L1M1_PR_MR
NEW met1 ( 111550 101490 ) M1M2_PR
NEW met2 ( 111550 101660 ) M2M3_PR
NEW met1 ( 111550 101490 ) RECT ( -355 -70 0 70 ) ;
- net5 ( PIN io_out[4] ) ( tiny_user_project_5 LO ) + USE SIGNAL
+ ROUTED met2 ( 111550 49980 ) ( * 50150 )
NEW met3 ( 111550 49980 ) ( 116380 * 0 )
NEW li1 ( 111550 50150 ) L1M1_PR_MR
NEW met1 ( 111550 50150 ) M1M2_PR
NEW met2 ( 111550 49980 ) M2M3_PR
NEW met1 ( 111550 50150 ) RECT ( -355 -70 0 70 ) ;
- net50 ( PIN io_oeb[11] ) ( tiny_user_project_50 LO ) + USE SIGNAL
+ ROUTED met2 ( 111550 109820 ) ( * 110670 )
NEW met3 ( 111550 109820 ) ( 116380 * 0 )
NEW li1 ( 111550 110670 ) L1M1_PR_MR
NEW met1 ( 111550 110670 ) M1M2_PR
NEW met2 ( 111550 109820 ) M2M3_PR
NEW met1 ( 111550 110670 ) RECT ( -355 -70 0 70 ) ;
- net51 ( PIN io_oeb[12] ) ( tiny_user_project_51 LO ) + USE SIGNAL
+ ROUTED met2 ( 111550 117810 ) ( * 117980 )
NEW met3 ( 111550 117980 ) ( 116380 * 0 )
NEW li1 ( 111550 117810 ) L1M1_PR_MR
NEW met1 ( 111550 117810 ) M1M2_PR
NEW met2 ( 111550 117980 ) M2M3_PR
NEW met1 ( 111550 117810 ) RECT ( -355 -70 0 70 ) ;
- net52 ( PIN io_oeb[13] ) ( tiny_user_project_52 LO ) + USE SIGNAL
+ ROUTED met2 ( 111550 126140 ) ( * 126310 )
NEW met3 ( 111550 126140 ) ( 116380 * 0 )
NEW li1 ( 111550 126310 ) L1M1_PR_MR
NEW met1 ( 111550 126310 ) M1M2_PR
NEW met2 ( 111550 126140 ) M2M3_PR
NEW met1 ( 111550 126310 ) RECT ( -355 -70 0 70 ) ;
- net53 ( PIN io_oeb[14] ) ( tiny_user_project_53 LO ) + USE SIGNAL
+ ROUTED met2 ( 111550 134130 ) ( * 134300 )
NEW met3 ( 111550 134300 ) ( 116380 * 0 )
NEW li1 ( 111550 134130 ) L1M1_PR_MR
NEW met1 ( 111550 134130 ) M1M2_PR
NEW met2 ( 111550 134300 ) M2M3_PR
NEW met1 ( 111550 134130 ) RECT ( -355 -70 0 70 ) ;
- net54 ( PIN io_oeb[15] ) ( tiny_user_project_54 LO ) + USE SIGNAL
+ ROUTED met2 ( 106030 137870 ) ( * 146540 )
NEW met2 ( 105570 146540 0 ) ( 106030 * )
NEW li1 ( 106030 137870 ) L1M1_PR_MR
NEW met1 ( 106030 137870 ) M1M2_PR
NEW met1 ( 106030 137870 ) RECT ( -355 -70 0 70 ) ;
- net55 ( PIN io_oeb[16] ) ( tiny_user_project_55 LO ) + USE SIGNAL
+ ROUTED met2 ( 93610 137870 ) ( * 146540 )
NEW met2 ( 93150 146540 0 ) ( 93610 * )
NEW li1 ( 93610 137870 ) L1M1_PR_MR
NEW met1 ( 93610 137870 ) M1M2_PR
NEW met1 ( 93610 137870 ) RECT ( -355 -70 0 70 ) ;
- net56 ( PIN io_oeb[17] ) ( tiny_user_project_56 LO ) + USE SIGNAL
+ ROUTED met1 ( 83030 137870 ) ( 84410 * )
NEW met2 ( 82570 137870 ) ( 83030 * )
NEW met2 ( 82570 137870 ) ( * 146540 )
NEW met2 ( 80730 146540 0 ) ( 82570 * )
NEW li1 ( 84410 137870 ) L1M1_PR_MR
NEW met1 ( 83030 137870 ) M1M2_PR ;
- net57 ( PIN io_oeb[18] ) ( tiny_user_project_57 LO ) + USE SIGNAL
+ ROUTED met1 ( 69230 137870 ) ( 71530 * )
NEW met2 ( 68770 137870 ) ( 69230 * )
NEW met2 ( 68770 137870 ) ( * 146540 )
NEW met2 ( 68310 146540 0 ) ( 68770 * )
NEW li1 ( 71530 137870 ) L1M1_PR_MR
NEW met1 ( 69230 137870 ) M1M2_PR ;
- net58 ( PIN io_oeb[19] ) ( tiny_user_project_58 LO ) + USE SIGNAL
+ ROUTED met2 ( 55890 137870 ) ( * 146540 0 )
NEW li1 ( 55890 137870 ) L1M1_PR_MR
NEW met1 ( 55890 137870 ) M1M2_PR
NEW met1 ( 55890 137870 ) RECT ( -355 -70 0 70 ) ;
- net59 ( PIN io_oeb[20] ) ( tiny_user_project_59 LO ) + USE SIGNAL
+ ROUTED met2 ( 43010 137870 ) ( * 146540 )
NEW met2 ( 43010 146540 ) ( 43470 * 0 )
NEW li1 ( 43010 137870 ) L1M1_PR_MR
NEW met1 ( 43010 137870 ) M1M2_PR
NEW met1 ( 43010 137870 ) RECT ( -355 -70 0 70 ) ;
- net6 ( PIN io_out[5] ) ( tiny_user_project_6 LO ) + USE SIGNAL
+ ROUTED met2 ( 111550 57970 ) ( * 58140 )
NEW met3 ( 111550 58140 ) ( 116380 * 0 )
NEW li1 ( 111550 57970 ) L1M1_PR_MR
NEW met1 ( 111550 57970 ) M1M2_PR
NEW met2 ( 111550 58140 ) M2M3_PR
NEW met1 ( 111550 57970 ) RECT ( -355 -70 0 70 ) ;
- net60 ( PIN io_oeb[21] ) ( tiny_user_project_60 LO ) + USE SIGNAL
+ ROUTED met2 ( 30130 137870 ) ( * 146540 )
NEW met2 ( 30130 146540 ) ( 31050 * 0 )
NEW li1 ( 30130 137870 ) L1M1_PR_MR
NEW met1 ( 30130 137870 ) M1M2_PR
NEW met1 ( 30130 137870 ) RECT ( -355 -70 0 70 ) ;
- net61 ( PIN io_oeb[22] ) ( tiny_user_project_61 LO ) + USE SIGNAL
+ ROUTED met1 ( 20010 137870 ) ( 20470 * )
NEW met2 ( 20470 137870 ) ( * 146540 )
NEW met2 ( 18630 146540 0 ) ( 20470 * )
NEW li1 ( 20010 137870 ) L1M1_PR_MR
NEW met1 ( 20470 137870 ) M1M2_PR ;
- net62 ( PIN io_oeb[23] ) ( tiny_user_project_62 LO ) + USE SIGNAL
+ ROUTED met1 ( 7130 134810 ) ( 8050 * )
NEW met2 ( 7130 134810 ) ( * 134980 )
NEW met2 ( 6670 134980 ) ( 7130 * )
NEW met2 ( 6670 134980 ) ( * 146540 )
NEW met2 ( 6210 146540 0 ) ( 6670 * )
NEW li1 ( 8050 134810 ) L1M1_PR_MR
NEW met1 ( 7130 134810 ) M1M2_PR ;
- net63 ( PIN io_oeb[24] ) ( tiny_user_project_63 LO ) + USE SIGNAL
+ ROUTED met1 ( 11270 134810 ) ( 14030 * )
NEW met2 ( 14030 134810 ) ( * 137700 )
NEW met3 ( 3220 137700 0 ) ( 14030 * )
NEW li1 ( 11270 134810 ) L1M1_PR_MR
NEW met1 ( 14030 134810 ) M1M2_PR
NEW met2 ( 14030 137700 ) M2M3_PR ;
- net64 ( PIN io_oeb[25] ) ( tiny_user_project_64 LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 127500 0 ) ( 8050 * )
NEW met2 ( 8050 127500 ) ( * 128350 )
NEW met2 ( 8050 127500 ) M2M3_PR
NEW li1 ( 8050 128350 ) L1M1_PR_MR
NEW met1 ( 8050 128350 ) M1M2_PR
NEW met1 ( 8050 128350 ) RECT ( -355 -70 0 70 ) ;
- net65 ( PIN io_oeb[26] ) ( tiny_user_project_65 LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 117300 0 ) ( 8050 * )
NEW met2 ( 8050 117300 ) ( * 117470 )
NEW met2 ( 8050 117300 ) M2M3_PR
NEW li1 ( 8050 117470 ) L1M1_PR_MR
NEW met1 ( 8050 117470 ) M1M2_PR
NEW met1 ( 8050 117470 ) RECT ( -355 -70 0 70 ) ;
- net66 ( PIN io_oeb[27] ) ( tiny_user_project_66 LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 107100 0 ) ( 8050 * )
NEW met2 ( 8050 107100 ) ( * 107270 )
NEW met2 ( 8050 107100 ) M2M3_PR
NEW li1 ( 8050 107270 ) L1M1_PR_MR
NEW met1 ( 8050 107270 ) M1M2_PR
NEW met1 ( 8050 107270 ) RECT ( -355 -70 0 70 ) ;
- net67 ( PIN io_oeb[28] ) ( tiny_user_project_67 LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 96900 0 ) ( 8050 * )
NEW met2 ( 8050 96900 ) ( * 99110 )
NEW met2 ( 8050 96900 ) M2M3_PR
NEW li1 ( 8050 99110 ) L1M1_PR_MR
NEW met1 ( 8050 99110 ) M1M2_PR
NEW met1 ( 8050 99110 ) RECT ( -355 -70 0 70 ) ;
- net68 ( PIN io_oeb[29] ) ( tiny_user_project_68 LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 86700 0 ) ( 8050 * )
NEW met2 ( 8050 86700 ) ( * 88230 )
NEW met2 ( 8050 86700 ) M2M3_PR
NEW li1 ( 8050 88230 ) L1M1_PR_MR
NEW met1 ( 8050 88230 ) M1M2_PR
NEW met1 ( 8050 88230 ) RECT ( -355 -70 0 70 ) ;
- net69 ( PIN io_oeb[30] ) ( tiny_user_project_69 LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 76500 0 ) ( 8050 * )
NEW met2 ( 8050 76500 ) ( * 77350 )
NEW met2 ( 8050 76500 ) M2M3_PR
NEW li1 ( 8050 77350 ) L1M1_PR_MR
NEW met1 ( 8050 77350 ) M1M2_PR
NEW met1 ( 8050 77350 ) RECT ( -355 -70 0 70 ) ;
- net7 ( PIN io_out[6] ) ( tiny_user_project_7 LO ) + USE SIGNAL
+ ROUTED met2 ( 111550 66300 ) ( * 66470 )
NEW met3 ( 111550 66300 ) ( 116380 * 0 )
NEW li1 ( 111550 66470 ) L1M1_PR_MR
NEW met1 ( 111550 66470 ) M1M2_PR
NEW met2 ( 111550 66300 ) M2M3_PR
NEW met1 ( 111550 66470 ) RECT ( -355 -70 0 70 ) ;
- net70 ( PIN io_oeb[31] ) ( tiny_user_project_70 LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 66300 0 ) ( 8050 * )
NEW met2 ( 8050 66300 ) ( * 66470 )
NEW met2 ( 8050 66300 ) M2M3_PR
NEW li1 ( 8050 66470 ) L1M1_PR_MR
NEW met1 ( 8050 66470 ) M1M2_PR
NEW met1 ( 8050 66470 ) RECT ( -355 -70 0 70 ) ;
- net71 ( PIN io_oeb[32] ) ( tiny_user_project_71 LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 56100 0 ) ( 8050 * )
NEW met2 ( 8050 56100 ) ( * 57630 )
NEW met2 ( 8050 56100 ) M2M3_PR
NEW li1 ( 8050 57630 ) L1M1_PR_MR
NEW met1 ( 8050 57630 ) M1M2_PR
NEW met1 ( 8050 57630 ) RECT ( -355 -70 0 70 ) ;
- net72 ( PIN io_oeb[33] ) ( tiny_user_project_72 LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 45900 0 ) ( 8050 * )
NEW met2 ( 8050 45900 ) ( * 46750 )
NEW met2 ( 8050 45900 ) M2M3_PR
NEW li1 ( 8050 46750 ) L1M1_PR_MR
NEW met1 ( 8050 46750 ) M1M2_PR
NEW met1 ( 8050 46750 ) RECT ( -355 -70 0 70 ) ;
- net73 ( PIN io_oeb[34] ) ( tiny_user_project_73 LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 35700 0 ) ( 8050 * )
NEW met2 ( 8050 35700 ) ( * 35870 )
NEW met2 ( 8050 35700 ) M2M3_PR
NEW li1 ( 8050 35870 ) L1M1_PR_MR
NEW met1 ( 8050 35870 ) M1M2_PR
NEW met1 ( 8050 35870 ) RECT ( -355 -70 0 70 ) ;
- net74 ( PIN io_oeb[35] ) ( tiny_user_project_74 LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 25500 0 ) ( 8050 * )
NEW met2 ( 8050 25500 ) ( * 25670 )
NEW met2 ( 8050 25500 ) M2M3_PR
NEW li1 ( 8050 25670 ) L1M1_PR_MR
NEW met1 ( 8050 25670 ) M1M2_PR
NEW met1 ( 8050 25670 ) RECT ( -355 -70 0 70 ) ;
- net75 ( PIN io_oeb[36] ) ( tiny_user_project_75 LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 15300 0 ) ( 8050 * )
NEW met2 ( 8050 15300 ) ( * 17510 )
NEW met2 ( 8050 15300 ) M2M3_PR
NEW li1 ( 8050 17510 ) L1M1_PR_MR
NEW met1 ( 8050 17510 ) M1M2_PR
NEW met1 ( 8050 17510 ) RECT ( -355 -70 0 70 ) ;
- net76 ( PIN io_oeb[37] ) ( tiny_user_project_76 LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 5100 0 ) ( 7130 * )
NEW met2 ( 7130 5100 ) ( * 14110 )
NEW met1 ( 7130 14110 ) ( 8050 * )
NEW met2 ( 7130 5100 ) M2M3_PR
NEW met1 ( 7130 14110 ) M1M2_PR
NEW li1 ( 8050 14110 ) L1M1_PR_MR ;
- net8 ( PIN io_out[7] ) ( tiny_user_project_8 LO ) + USE SIGNAL
+ ROUTED met2 ( 111550 74290 ) ( * 74460 )
NEW met3 ( 111550 74460 ) ( 116380 * 0 )
NEW li1 ( 111550 74290 ) L1M1_PR_MR
NEW met1 ( 111550 74290 ) M1M2_PR
NEW met2 ( 111550 74460 ) M2M3_PR
NEW met1 ( 111550 74290 ) RECT ( -355 -70 0 70 ) ;
- net9 ( PIN io_out[8] ) ( tiny_user_project_9 LO ) + USE SIGNAL
+ ROUTED met2 ( 111550 82620 ) ( * 83130 )
NEW met3 ( 111550 82620 ) ( 116380 * 0 )
NEW li1 ( 111550 83130 ) L1M1_PR_MR
NEW met1 ( 111550 83130 ) M1M2_PR
NEW met2 ( 111550 82620 ) M2M3_PR
NEW met1 ( 111550 83130 ) RECT ( -355 -70 0 70 ) ;
END NETS
END DESIGN