Re-harden, reduced routing on m2
diff --git a/gds/user_project_wrapper.gds.gz.00.split b/gds/user_project_wrapper.gds.gz.00.split
index 5a6d991..a507233 100644
--- a/gds/user_project_wrapper.gds.gz.00.split
+++ b/gds/user_project_wrapper.gds.gz.00.split
Binary files differ
diff --git a/gds/user_project_wrapper.gds.gz.01.split b/gds/user_project_wrapper.gds.gz.01.split
index aec9ebc..3e325db 100644
--- a/gds/user_project_wrapper.gds.gz.01.split
+++ b/gds/user_project_wrapper.gds.gz.01.split
Binary files differ
diff --git a/lib/user_project_wrapper.lib b/lib/user_project_wrapper.lib
index 5ba29d2..59a4a8e 100644
--- a/lib/user_project_wrapper.lib
+++ b/lib/user_project_wrapper.lib
@@ -3091,15 +3091,15 @@
       capacitance : 0.0334;
       timing() {
         related_pin : "user_clock2";
-        timing_type : rising_edge;
+        timing_type : falling_edge;
 	cell_rise(template_65) {
-          values("7.52963,7.53636,7.55228,7.59102,7.68771,7.93264,8.55498");
+          values("-10.26072,-10.25399,-10.23808,-10.19934,-10.10265,-9.85772,-9.23537");
 	}
 	rise_transition(template_65) {
           values("0.02004,0.02842,0.05006,0.10553,0.24668,0.60135,1.49955");
 	}
 	cell_fall(template_66) {
-          values("8.87874,8.88378,8.89439,8.91698,8.96900,9.09877,9.42530");
+          values("7.63159,7.63663,7.64724,7.66983,7.72185,7.85162,8.17815");
 	}
 	fall_transition(template_66) {
           values("0.01495,0.01929,0.02965,0.05505,0.12171,0.29435,0.72988");
@@ -3107,15 +3107,15 @@
       }
       timing() {
         related_pin : "user_clock2";
-        timing_type : falling_edge;
+        timing_type : rising_edge;
 	cell_rise(template_67) {
-          values("-10.26072,-10.25399,-10.23808,-10.19934,-10.10265,-9.85772,-9.23537");
+          values("7.52963,7.53636,7.55228,7.59102,7.68771,7.93264,8.55498");
 	}
 	rise_transition(template_67) {
           values("0.02004,0.02842,0.05006,0.10553,0.24668,0.60135,1.49955");
 	}
 	cell_fall(template_68) {
-          values("7.63159,7.63663,7.64724,7.66983,7.72185,7.85162,8.17815");
+          values("8.87874,8.88378,8.89439,8.91698,8.96900,9.09877,9.42530");
 	}
 	fall_transition(template_68) {
           values("0.01495,0.01929,0.02965,0.05505,0.12171,0.29435,0.72988");
diff --git a/mag/user_project_wrapper.mag.gz.00.split b/mag/user_project_wrapper.mag.gz.00.split
index ecd0fc1..f09b876 100644
--- a/mag/user_project_wrapper.mag.gz.00.split
+++ b/mag/user_project_wrapper.mag.gz.00.split
Binary files differ
diff --git a/mag/user_project_wrapper.mag.gz.01.split b/mag/user_project_wrapper.mag.gz.01.split
index 96af770..107168d 100644
--- a/mag/user_project_wrapper.mag.gz.01.split
+++ b/mag/user_project_wrapper.mag.gz.01.split
Binary files differ
diff --git a/maglef/user_project_wrapper.mag b/maglef/user_project_wrapper.mag
index 08bc0af..5e21f8d 100644
--- a/maglef/user_project_wrapper.mag
+++ b/maglef/user_project_wrapper.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1669701462
+timestamp 1669822547
 << obsli1 >>
 rect 1104 2159 582820 701777
 << obsm1 >>
@@ -4070,7 +4070,7 @@
 string LEFclass BLOCK
 string LEFview TRUE
 string GDS_END 947920990
-string GDS_FILE /home/m/Builds/CaravelSoomRV-8/openlane/user_project_wrapper/runs/22_11_29_01_05/results/signoff/user_project_wrapper.magic.gds
+string GDS_FILE /home/m/Builds/CaravelSoomRV-8/openlane/user_project_wrapper/runs/22_11_30_10_16/results/signoff/user_project_wrapper.magic.gds
 string GDS_START 85964338
 << end >>
 
diff --git a/openlane/user_project_wrapper/config.tcl b/openlane/user_project_wrapper/config.tcl
index 940ba52..c8e144e 100755
--- a/openlane/user_project_wrapper/config.tcl
+++ b/openlane/user_project_wrapper/config.tcl
@@ -109,6 +109,8 @@
 set ::env(PL_MACRO_CHANNEL) {20 20}
 #set ::env(FP_PDN_HORIZONTAL_HALO) {20}
 #set ::env(FP_PDN_VERTICAL_HALO) {20}
+#set ::env(FP_TAP_HORIZONTAL_HALO) {30}
+#set ::env(FP_TAP_VERTICAL_HALO) {30}
 
 ### Black-box verilog and views
 set ::env(VERILOG_FILES_BLACKBOX) "\
@@ -139,19 +141,23 @@
 
 set ::env(FP_CORE_UTIL) 40
 set ::env(PL_BASIC_PLACEMENT) 0
-set ::env(PL_TARGET_DENSITY) 0.29
+set ::env(PL_TARGET_DENSITY) 0.28
 set ::env(SYNTH_STRATEGY) "DELAY 4"
 
 set ::env(PL_TIME_DRIVEN) 1
 set ::env(PL_ROUTABILITY_DRIVEN) 1
 set ::env(PL_RESIZER_DESIGN_OPTIMIZATIONS) 1
-set ::env(PL_RESIZER_TIMING_OPTIMIZATIONS) 0 
+set ::env(PL_RESIZER_TIMING_OPTIMIZATIONS) 0
+
+# Reduce routing on L2 for Metal Minimum Clear Area check
+set ::env(GLB_RT_L2_ADJUSTMENT) 0.2
 
 # 2 works, 4 kinda works but often fails detailed placement
 set ::env(DIODE_INSERTION_STRATEGY) 4
 
 set ::env(ROUTING_OPT_ITERS) 200
 
+
 # The following is because there are no std cells in the example wrapper project.
 #set ::env(SYNTH_TOP_LEVEL) 1
 #set ::env(PL_RANDOM_GLB_PLACEMENT) 1
diff --git a/sdc/user_project_wrapper.sdc b/sdc/user_project_wrapper.sdc
index a58910c..84e9995 100644
--- a/sdc/user_project_wrapper.sdc
+++ b/sdc/user_project_wrapper.sdc
@@ -1,6 +1,6 @@
 ###############################################################################
 # Created by write_sdc
-# Tue Nov 29 01:56:47 2022
+# Wed Nov 30 11:11:12 2022
 ###############################################################################
 current_design user_project_wrapper
 ###############################################################################
diff --git a/sdf/multicorner/max/user_project_wrapper.ff.sdf.gz b/sdf/multicorner/max/user_project_wrapper.ff.sdf.gz
index 8991356..580cf1a 100644
--- a/sdf/multicorner/max/user_project_wrapper.ff.sdf.gz
+++ b/sdf/multicorner/max/user_project_wrapper.ff.sdf.gz
Binary files differ
diff --git a/sdf/multicorner/max/user_project_wrapper.ss.sdf.gz b/sdf/multicorner/max/user_project_wrapper.ss.sdf.gz
index 712014f..96a5da3 100644
--- a/sdf/multicorner/max/user_project_wrapper.ss.sdf.gz
+++ b/sdf/multicorner/max/user_project_wrapper.ss.sdf.gz
Binary files differ
diff --git a/sdf/multicorner/max/user_project_wrapper.tt.sdf.gz b/sdf/multicorner/max/user_project_wrapper.tt.sdf.gz
index e7d20fa..5302147 100644
--- a/sdf/multicorner/max/user_project_wrapper.tt.sdf.gz
+++ b/sdf/multicorner/max/user_project_wrapper.tt.sdf.gz
Binary files differ
diff --git a/sdf/multicorner/min/user_project_wrapper.ff.sdf.gz b/sdf/multicorner/min/user_project_wrapper.ff.sdf.gz
index c9c966a..e7ee482 100644
--- a/sdf/multicorner/min/user_project_wrapper.ff.sdf.gz
+++ b/sdf/multicorner/min/user_project_wrapper.ff.sdf.gz
Binary files differ
diff --git a/sdf/multicorner/min/user_project_wrapper.ss.sdf.gz b/sdf/multicorner/min/user_project_wrapper.ss.sdf.gz
index 827ecf1..444d0aa 100644
--- a/sdf/multicorner/min/user_project_wrapper.ss.sdf.gz
+++ b/sdf/multicorner/min/user_project_wrapper.ss.sdf.gz
Binary files differ
diff --git a/sdf/multicorner/min/user_project_wrapper.tt.sdf.gz b/sdf/multicorner/min/user_project_wrapper.tt.sdf.gz
index fed3e36..939df40 100644
--- a/sdf/multicorner/min/user_project_wrapper.tt.sdf.gz
+++ b/sdf/multicorner/min/user_project_wrapper.tt.sdf.gz
Binary files differ
diff --git a/sdf/multicorner/nom/user_project_wrapper.ff.sdf.gz b/sdf/multicorner/nom/user_project_wrapper.ff.sdf.gz
index 2cdcb26..52de3b4 100644
--- a/sdf/multicorner/nom/user_project_wrapper.ff.sdf.gz
+++ b/sdf/multicorner/nom/user_project_wrapper.ff.sdf.gz
Binary files differ
diff --git a/sdf/multicorner/nom/user_project_wrapper.ss.sdf.gz b/sdf/multicorner/nom/user_project_wrapper.ss.sdf.gz
index c2fde63..da72fe2 100644
--- a/sdf/multicorner/nom/user_project_wrapper.ss.sdf.gz
+++ b/sdf/multicorner/nom/user_project_wrapper.ss.sdf.gz
Binary files differ
diff --git a/sdf/multicorner/nom/user_project_wrapper.tt.sdf.gz b/sdf/multicorner/nom/user_project_wrapper.tt.sdf.gz
index f166909..1195bb5 100644
--- a/sdf/multicorner/nom/user_project_wrapper.tt.sdf.gz
+++ b/sdf/multicorner/nom/user_project_wrapper.tt.sdf.gz
Binary files differ
diff --git a/sdf/user_project_wrapper.sdf.gz b/sdf/user_project_wrapper.sdf.gz
index 14f0fe8..a9f560f 100644
--- a/sdf/user_project_wrapper.sdf.gz
+++ b/sdf/user_project_wrapper.sdf.gz
Binary files differ