blob: a9552bd99f09c30648751bdb135a1b2fcabc422b [file] [log] [blame]
module user_module_341497938559631956(
input wire [7:0] io_in,
output wire [7:0] out
);
assign out = ~io_in;
endmodule