blob: fa832b624c34553229e83e33417cc8b384b7312e [file] [log] [blame]
*SPEF "ieee 1481-1999"
*DESIGN "user_project_wrapper"
*DATE "11:11:11 Fri 11 11, 1111"
*VENDOR "OpenRCX"
*PROGRAM "Parallel Extraction"
*VERSION "1.0"
*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE"
*DIVIDER /
*DELIMITER :
*BUS_DELIMITER []
*T_UNIT 1 NS
*C_UNIT 1 PF
*R_UNIT 1 OHM
*L_UNIT 1 HENRY
*NAME_MAP
*1 analog_io[0]
*2 analog_io[10]
*3 analog_io[11]
*4 analog_io[12]
*5 analog_io[13]
*6 analog_io[14]
*7 analog_io[15]
*8 analog_io[16]
*9 analog_io[17]
*10 analog_io[18]
*11 analog_io[19]
*12 analog_io[1]
*13 analog_io[20]
*14 analog_io[21]
*15 analog_io[22]
*16 analog_io[23]
*17 analog_io[24]
*18 analog_io[25]
*19 analog_io[26]
*20 analog_io[27]
*21 analog_io[28]
*22 analog_io[2]
*23 analog_io[3]
*24 analog_io[4]
*25 analog_io[5]
*26 analog_io[6]
*27 analog_io[7]
*28 analog_io[8]
*29 analog_io[9]
*30 io_in[0]
*31 io_in[10]
*32 io_in[11]
*33 io_in[12]
*34 io_in[13]
*35 io_in[14]
*36 io_in[15]
*37 io_in[16]
*38 io_in[17]
*39 io_in[18]
*40 io_in[19]
*41 io_in[1]
*42 io_in[20]
*43 io_in[21]
*44 io_in[22]
*45 io_in[23]
*46 io_in[24]
*47 io_in[25]
*48 io_in[26]
*49 io_in[27]
*50 io_in[28]
*51 io_in[29]
*52 io_in[2]
*53 io_in[30]
*54 io_in[31]
*55 io_in[32]
*56 io_in[33]
*57 io_in[34]
*58 io_in[35]
*59 io_in[36]
*60 io_in[37]
*61 io_in[3]
*62 io_in[4]
*63 io_in[5]
*64 io_in[6]
*65 io_in[7]
*66 io_in[8]
*67 io_in[9]
*68 io_oeb[0]
*69 io_oeb[10]
*70 io_oeb[11]
*71 io_oeb[12]
*72 io_oeb[13]
*73 io_oeb[14]
*74 io_oeb[15]
*75 io_oeb[16]
*76 io_oeb[17]
*77 io_oeb[18]
*78 io_oeb[19]
*79 io_oeb[1]
*80 io_oeb[20]
*81 io_oeb[21]
*82 io_oeb[22]
*83 io_oeb[23]
*84 io_oeb[24]
*85 io_oeb[25]
*86 io_oeb[26]
*87 io_oeb[27]
*88 io_oeb[28]
*89 io_oeb[29]
*90 io_oeb[2]
*91 io_oeb[30]
*92 io_oeb[31]
*93 io_oeb[32]
*94 io_oeb[33]
*95 io_oeb[34]
*96 io_oeb[35]
*97 io_oeb[36]
*98 io_oeb[37]
*99 io_oeb[3]
*100 io_oeb[4]
*101 io_oeb[5]
*102 io_oeb[6]
*103 io_oeb[7]
*104 io_oeb[8]
*105 io_oeb[9]
*106 io_out[0]
*107 io_out[10]
*108 io_out[11]
*109 io_out[12]
*110 io_out[13]
*111 io_out[14]
*112 io_out[15]
*113 io_out[16]
*114 io_out[17]
*115 io_out[18]
*116 io_out[19]
*117 io_out[1]
*118 io_out[20]
*119 io_out[21]
*120 io_out[22]
*121 io_out[23]
*122 io_out[24]
*123 io_out[25]
*124 io_out[26]
*125 io_out[27]
*126 io_out[28]
*127 io_out[29]
*128 io_out[2]
*129 io_out[30]
*130 io_out[31]
*131 io_out[32]
*132 io_out[33]
*133 io_out[34]
*134 io_out[35]
*135 io_out[36]
*136 io_out[37]
*137 io_out[3]
*138 io_out[4]
*139 io_out[5]
*140 io_out[6]
*141 io_out[7]
*142 io_out[8]
*143 io_out[9]
*144 la_data_in[0]
*145 la_data_in[100]
*146 la_data_in[101]
*147 la_data_in[102]
*148 la_data_in[103]
*149 la_data_in[104]
*150 la_data_in[105]
*151 la_data_in[106]
*152 la_data_in[107]
*153 la_data_in[108]
*154 la_data_in[109]
*155 la_data_in[10]
*156 la_data_in[110]
*157 la_data_in[111]
*158 la_data_in[112]
*159 la_data_in[113]
*160 la_data_in[114]
*161 la_data_in[115]
*162 la_data_in[116]
*163 la_data_in[117]
*164 la_data_in[118]
*165 la_data_in[119]
*166 la_data_in[11]
*167 la_data_in[120]
*168 la_data_in[121]
*169 la_data_in[122]
*170 la_data_in[123]
*171 la_data_in[124]
*172 la_data_in[125]
*173 la_data_in[126]
*174 la_data_in[127]
*175 la_data_in[12]
*176 la_data_in[13]
*177 la_data_in[14]
*178 la_data_in[15]
*179 la_data_in[16]
*180 la_data_in[17]
*181 la_data_in[18]
*182 la_data_in[19]
*183 la_data_in[1]
*184 la_data_in[20]
*185 la_data_in[21]
*186 la_data_in[22]
*187 la_data_in[23]
*188 la_data_in[24]
*189 la_data_in[25]
*190 la_data_in[26]
*191 la_data_in[27]
*192 la_data_in[28]
*193 la_data_in[29]
*194 la_data_in[2]
*195 la_data_in[30]
*196 la_data_in[31]
*197 la_data_in[32]
*198 la_data_in[33]
*199 la_data_in[34]
*200 la_data_in[35]
*201 la_data_in[36]
*202 la_data_in[37]
*203 la_data_in[38]
*204 la_data_in[39]
*205 la_data_in[3]
*206 la_data_in[40]
*207 la_data_in[41]
*208 la_data_in[42]
*209 la_data_in[43]
*210 la_data_in[44]
*211 la_data_in[45]
*212 la_data_in[46]
*213 la_data_in[47]
*214 la_data_in[48]
*215 la_data_in[49]
*216 la_data_in[4]
*217 la_data_in[50]
*218 la_data_in[51]
*219 la_data_in[52]
*220 la_data_in[53]
*221 la_data_in[54]
*222 la_data_in[55]
*223 la_data_in[56]
*224 la_data_in[57]
*225 la_data_in[58]
*226 la_data_in[59]
*227 la_data_in[5]
*228 la_data_in[60]
*229 la_data_in[61]
*230 la_data_in[62]
*231 la_data_in[63]
*232 la_data_in[64]
*233 la_data_in[65]
*234 la_data_in[66]
*235 la_data_in[67]
*236 la_data_in[68]
*237 la_data_in[69]
*238 la_data_in[6]
*239 la_data_in[70]
*240 la_data_in[71]
*241 la_data_in[72]
*242 la_data_in[73]
*243 la_data_in[74]
*244 la_data_in[75]
*245 la_data_in[76]
*246 la_data_in[77]
*247 la_data_in[78]
*248 la_data_in[79]
*249 la_data_in[7]
*250 la_data_in[80]
*251 la_data_in[81]
*252 la_data_in[82]
*253 la_data_in[83]
*254 la_data_in[84]
*255 la_data_in[85]
*256 la_data_in[86]
*257 la_data_in[87]
*258 la_data_in[88]
*259 la_data_in[89]
*260 la_data_in[8]
*261 la_data_in[90]
*262 la_data_in[91]
*263 la_data_in[92]
*264 la_data_in[93]
*265 la_data_in[94]
*266 la_data_in[95]
*267 la_data_in[96]
*268 la_data_in[97]
*269 la_data_in[98]
*270 la_data_in[99]
*271 la_data_in[9]
*272 la_data_out[0]
*273 la_data_out[100]
*274 la_data_out[101]
*275 la_data_out[102]
*276 la_data_out[103]
*277 la_data_out[104]
*278 la_data_out[105]
*279 la_data_out[106]
*280 la_data_out[107]
*281 la_data_out[108]
*282 la_data_out[109]
*283 la_data_out[10]
*284 la_data_out[110]
*285 la_data_out[111]
*286 la_data_out[112]
*287 la_data_out[113]
*288 la_data_out[114]
*289 la_data_out[115]
*290 la_data_out[116]
*291 la_data_out[117]
*292 la_data_out[118]
*293 la_data_out[119]
*294 la_data_out[11]
*295 la_data_out[120]
*296 la_data_out[121]
*297 la_data_out[122]
*298 la_data_out[123]
*299 la_data_out[124]
*300 la_data_out[125]
*301 la_data_out[126]
*302 la_data_out[127]
*303 la_data_out[12]
*304 la_data_out[13]
*305 la_data_out[14]
*306 la_data_out[15]
*307 la_data_out[16]
*308 la_data_out[17]
*309 la_data_out[18]
*310 la_data_out[19]
*311 la_data_out[1]
*312 la_data_out[20]
*313 la_data_out[21]
*314 la_data_out[22]
*315 la_data_out[23]
*316 la_data_out[24]
*317 la_data_out[25]
*318 la_data_out[26]
*319 la_data_out[27]
*320 la_data_out[28]
*321 la_data_out[29]
*322 la_data_out[2]
*323 la_data_out[30]
*324 la_data_out[31]
*325 la_data_out[32]
*326 la_data_out[33]
*327 la_data_out[34]
*328 la_data_out[35]
*329 la_data_out[36]
*330 la_data_out[37]
*331 la_data_out[38]
*332 la_data_out[39]
*333 la_data_out[3]
*334 la_data_out[40]
*335 la_data_out[41]
*336 la_data_out[42]
*337 la_data_out[43]
*338 la_data_out[44]
*339 la_data_out[45]
*340 la_data_out[46]
*341 la_data_out[47]
*342 la_data_out[48]
*343 la_data_out[49]
*344 la_data_out[4]
*345 la_data_out[50]
*346 la_data_out[51]
*347 la_data_out[52]
*348 la_data_out[53]
*349 la_data_out[54]
*350 la_data_out[55]
*351 la_data_out[56]
*352 la_data_out[57]
*353 la_data_out[58]
*354 la_data_out[59]
*355 la_data_out[5]
*356 la_data_out[60]
*357 la_data_out[61]
*358 la_data_out[62]
*359 la_data_out[63]
*360 la_data_out[64]
*361 la_data_out[65]
*362 la_data_out[66]
*363 la_data_out[67]
*364 la_data_out[68]
*365 la_data_out[69]
*366 la_data_out[6]
*367 la_data_out[70]
*368 la_data_out[71]
*369 la_data_out[72]
*370 la_data_out[73]
*371 la_data_out[74]
*372 la_data_out[75]
*373 la_data_out[76]
*374 la_data_out[77]
*375 la_data_out[78]
*376 la_data_out[79]
*377 la_data_out[7]
*378 la_data_out[80]
*379 la_data_out[81]
*380 la_data_out[82]
*381 la_data_out[83]
*382 la_data_out[84]
*383 la_data_out[85]
*384 la_data_out[86]
*385 la_data_out[87]
*386 la_data_out[88]
*387 la_data_out[89]
*388 la_data_out[8]
*389 la_data_out[90]
*390 la_data_out[91]
*391 la_data_out[92]
*392 la_data_out[93]
*393 la_data_out[94]
*394 la_data_out[95]
*395 la_data_out[96]
*396 la_data_out[97]
*397 la_data_out[98]
*398 la_data_out[99]
*399 la_data_out[9]
*400 la_oenb[0]
*401 la_oenb[100]
*402 la_oenb[101]
*403 la_oenb[102]
*404 la_oenb[103]
*405 la_oenb[104]
*406 la_oenb[105]
*407 la_oenb[106]
*408 la_oenb[107]
*409 la_oenb[108]
*410 la_oenb[109]
*411 la_oenb[10]
*412 la_oenb[110]
*413 la_oenb[111]
*414 la_oenb[112]
*415 la_oenb[113]
*416 la_oenb[114]
*417 la_oenb[115]
*418 la_oenb[116]
*419 la_oenb[117]
*420 la_oenb[118]
*421 la_oenb[119]
*422 la_oenb[11]
*423 la_oenb[120]
*424 la_oenb[121]
*425 la_oenb[122]
*426 la_oenb[123]
*427 la_oenb[124]
*428 la_oenb[125]
*429 la_oenb[126]
*430 la_oenb[127]
*431 la_oenb[12]
*432 la_oenb[13]
*433 la_oenb[14]
*434 la_oenb[15]
*435 la_oenb[16]
*436 la_oenb[17]
*437 la_oenb[18]
*438 la_oenb[19]
*439 la_oenb[1]
*440 la_oenb[20]
*441 la_oenb[21]
*442 la_oenb[22]
*443 la_oenb[23]
*444 la_oenb[24]
*445 la_oenb[25]
*446 la_oenb[26]
*447 la_oenb[27]
*448 la_oenb[28]
*449 la_oenb[29]
*450 la_oenb[2]
*451 la_oenb[30]
*452 la_oenb[31]
*453 la_oenb[32]
*454 la_oenb[33]
*455 la_oenb[34]
*456 la_oenb[35]
*457 la_oenb[36]
*458 la_oenb[37]
*459 la_oenb[38]
*460 la_oenb[39]
*461 la_oenb[3]
*462 la_oenb[40]
*463 la_oenb[41]
*464 la_oenb[42]
*465 la_oenb[43]
*466 la_oenb[44]
*467 la_oenb[45]
*468 la_oenb[46]
*469 la_oenb[47]
*470 la_oenb[48]
*471 la_oenb[49]
*472 la_oenb[4]
*473 la_oenb[50]
*474 la_oenb[51]
*475 la_oenb[52]
*476 la_oenb[53]
*477 la_oenb[54]
*478 la_oenb[55]
*479 la_oenb[56]
*480 la_oenb[57]
*481 la_oenb[58]
*482 la_oenb[59]
*483 la_oenb[5]
*484 la_oenb[60]
*485 la_oenb[61]
*486 la_oenb[62]
*487 la_oenb[63]
*488 la_oenb[64]
*489 la_oenb[65]
*490 la_oenb[66]
*491 la_oenb[67]
*492 la_oenb[68]
*493 la_oenb[69]
*494 la_oenb[6]
*495 la_oenb[70]
*496 la_oenb[71]
*497 la_oenb[72]
*498 la_oenb[73]
*499 la_oenb[74]
*500 la_oenb[75]
*501 la_oenb[76]
*502 la_oenb[77]
*503 la_oenb[78]
*504 la_oenb[79]
*505 la_oenb[7]
*506 la_oenb[80]
*507 la_oenb[81]
*508 la_oenb[82]
*509 la_oenb[83]
*510 la_oenb[84]
*511 la_oenb[85]
*512 la_oenb[86]
*513 la_oenb[87]
*514 la_oenb[88]
*515 la_oenb[89]
*516 la_oenb[8]
*517 la_oenb[90]
*518 la_oenb[91]
*519 la_oenb[92]
*520 la_oenb[93]
*521 la_oenb[94]
*522 la_oenb[95]
*523 la_oenb[96]
*524 la_oenb[97]
*525 la_oenb[98]
*526 la_oenb[99]
*527 la_oenb[9]
*528 user_clock2
*529 user_irq[0]
*530 user_irq[1]
*531 user_irq[2]
*540 wb_clk_i
*541 wb_rst_i
*542 wbs_ack_o
*543 wbs_adr_i[0]
*544 wbs_adr_i[10]
*545 wbs_adr_i[11]
*546 wbs_adr_i[12]
*547 wbs_adr_i[13]
*548 wbs_adr_i[14]
*549 wbs_adr_i[15]
*550 wbs_adr_i[16]
*551 wbs_adr_i[17]
*552 wbs_adr_i[18]
*553 wbs_adr_i[19]
*554 wbs_adr_i[1]
*555 wbs_adr_i[20]
*556 wbs_adr_i[21]
*557 wbs_adr_i[22]
*558 wbs_adr_i[23]
*559 wbs_adr_i[24]
*560 wbs_adr_i[25]
*561 wbs_adr_i[26]
*562 wbs_adr_i[27]
*563 wbs_adr_i[28]
*564 wbs_adr_i[29]
*565 wbs_adr_i[2]
*566 wbs_adr_i[30]
*567 wbs_adr_i[31]
*568 wbs_adr_i[3]
*569 wbs_adr_i[4]
*570 wbs_adr_i[5]
*571 wbs_adr_i[6]
*572 wbs_adr_i[7]
*573 wbs_adr_i[8]
*574 wbs_adr_i[9]
*575 wbs_cyc_i
*576 wbs_dat_i[0]
*577 wbs_dat_i[10]
*578 wbs_dat_i[11]
*579 wbs_dat_i[12]
*580 wbs_dat_i[13]
*581 wbs_dat_i[14]
*582 wbs_dat_i[15]
*583 wbs_dat_i[16]
*584 wbs_dat_i[17]
*585 wbs_dat_i[18]
*586 wbs_dat_i[19]
*587 wbs_dat_i[1]
*588 wbs_dat_i[20]
*589 wbs_dat_i[21]
*590 wbs_dat_i[22]
*591 wbs_dat_i[23]
*592 wbs_dat_i[24]
*593 wbs_dat_i[25]
*594 wbs_dat_i[26]
*595 wbs_dat_i[27]
*596 wbs_dat_i[28]
*597 wbs_dat_i[29]
*598 wbs_dat_i[2]
*599 wbs_dat_i[30]
*600 wbs_dat_i[31]
*601 wbs_dat_i[3]
*602 wbs_dat_i[4]
*603 wbs_dat_i[5]
*604 wbs_dat_i[6]
*605 wbs_dat_i[7]
*606 wbs_dat_i[8]
*607 wbs_dat_i[9]
*608 wbs_dat_o[0]
*609 wbs_dat_o[10]
*610 wbs_dat_o[11]
*611 wbs_dat_o[12]
*612 wbs_dat_o[13]
*613 wbs_dat_o[14]
*614 wbs_dat_o[15]
*615 wbs_dat_o[16]
*616 wbs_dat_o[17]
*617 wbs_dat_o[18]
*618 wbs_dat_o[19]
*619 wbs_dat_o[1]
*620 wbs_dat_o[20]
*621 wbs_dat_o[21]
*622 wbs_dat_o[22]
*623 wbs_dat_o[23]
*624 wbs_dat_o[24]
*625 wbs_dat_o[25]
*626 wbs_dat_o[26]
*627 wbs_dat_o[27]
*628 wbs_dat_o[28]
*629 wbs_dat_o[29]
*630 wbs_dat_o[2]
*631 wbs_dat_o[30]
*632 wbs_dat_o[31]
*633 wbs_dat_o[3]
*634 wbs_dat_o[4]
*635 wbs_dat_o[5]
*636 wbs_dat_o[6]
*637 wbs_dat_o[7]
*638 wbs_dat_o[8]
*639 wbs_dat_o[9]
*640 wbs_sel_i[0]
*641 wbs_sel_i[1]
*642 wbs_sel_i[2]
*643 wbs_sel_i[3]
*644 wbs_stb_i
*645 wbs_we_i
*646 sc_clk_in
*647 sc_clk_out
*648 sc_data_in
*649 sc_data_out
*650 sc_latch_out
*651 sc_scan_out
*652 sw_000_clk_out
*653 sw_000_data_out
*654 sw_000_latch_out
*655 sw_000_module_data_in\[0\]
*656 sw_000_module_data_in\[1\]
*657 sw_000_module_data_in\[2\]
*658 sw_000_module_data_in\[3\]
*659 sw_000_module_data_in\[4\]
*660 sw_000_module_data_in\[5\]
*661 sw_000_module_data_in\[6\]
*662 sw_000_module_data_in\[7\]
*663 sw_000_module_data_out\[0\]
*664 sw_000_module_data_out\[1\]
*665 sw_000_module_data_out\[2\]
*666 sw_000_module_data_out\[3\]
*667 sw_000_module_data_out\[4\]
*668 sw_000_module_data_out\[5\]
*669 sw_000_module_data_out\[6\]
*670 sw_000_module_data_out\[7\]
*671 sw_000_scan_out
*672 sw_001_clk_out
*673 sw_001_data_out
*674 sw_001_latch_out
*675 sw_001_module_data_in\[0\]
*676 sw_001_module_data_in\[1\]
*677 sw_001_module_data_in\[2\]
*678 sw_001_module_data_in\[3\]
*679 sw_001_module_data_in\[4\]
*680 sw_001_module_data_in\[5\]
*681 sw_001_module_data_in\[6\]
*682 sw_001_module_data_in\[7\]
*683 sw_001_module_data_out\[0\]
*684 sw_001_module_data_out\[1\]
*685 sw_001_module_data_out\[2\]
*686 sw_001_module_data_out\[3\]
*687 sw_001_module_data_out\[4\]
*688 sw_001_module_data_out\[5\]
*689 sw_001_module_data_out\[6\]
*690 sw_001_module_data_out\[7\]
*691 sw_001_scan_out
*692 sw_002_clk_out
*693 sw_002_data_out
*694 sw_002_latch_out
*695 sw_002_module_data_in\[0\]
*696 sw_002_module_data_in\[1\]
*697 sw_002_module_data_in\[2\]
*698 sw_002_module_data_in\[3\]
*699 sw_002_module_data_in\[4\]
*700 sw_002_module_data_in\[5\]
*701 sw_002_module_data_in\[6\]
*702 sw_002_module_data_in\[7\]
*703 sw_002_module_data_out\[0\]
*704 sw_002_module_data_out\[1\]
*705 sw_002_module_data_out\[2\]
*706 sw_002_module_data_out\[3\]
*707 sw_002_module_data_out\[4\]
*708 sw_002_module_data_out\[5\]
*709 sw_002_module_data_out\[6\]
*710 sw_002_module_data_out\[7\]
*711 sw_002_scan_out
*712 sw_003_clk_out
*713 sw_003_data_out
*714 sw_003_latch_out
*715 sw_003_module_data_in\[0\]
*716 sw_003_module_data_in\[1\]
*717 sw_003_module_data_in\[2\]
*718 sw_003_module_data_in\[3\]
*719 sw_003_module_data_in\[4\]
*720 sw_003_module_data_in\[5\]
*721 sw_003_module_data_in\[6\]
*722 sw_003_module_data_in\[7\]
*723 sw_003_module_data_out\[0\]
*724 sw_003_module_data_out\[1\]
*725 sw_003_module_data_out\[2\]
*726 sw_003_module_data_out\[3\]
*727 sw_003_module_data_out\[4\]
*728 sw_003_module_data_out\[5\]
*729 sw_003_module_data_out\[6\]
*730 sw_003_module_data_out\[7\]
*731 sw_003_scan_out
*732 sw_004_clk_out
*733 sw_004_data_out
*734 sw_004_latch_out
*735 sw_004_module_data_in\[0\]
*736 sw_004_module_data_in\[1\]
*737 sw_004_module_data_in\[2\]
*738 sw_004_module_data_in\[3\]
*739 sw_004_module_data_in\[4\]
*740 sw_004_module_data_in\[5\]
*741 sw_004_module_data_in\[6\]
*742 sw_004_module_data_in\[7\]
*743 sw_004_module_data_out\[0\]
*744 sw_004_module_data_out\[1\]
*745 sw_004_module_data_out\[2\]
*746 sw_004_module_data_out\[3\]
*747 sw_004_module_data_out\[4\]
*748 sw_004_module_data_out\[5\]
*749 sw_004_module_data_out\[6\]
*750 sw_004_module_data_out\[7\]
*751 sw_004_scan_out
*752 sw_005_clk_out
*753 sw_005_data_out
*754 sw_005_latch_out
*755 sw_005_module_data_in\[0\]
*756 sw_005_module_data_in\[1\]
*757 sw_005_module_data_in\[2\]
*758 sw_005_module_data_in\[3\]
*759 sw_005_module_data_in\[4\]
*760 sw_005_module_data_in\[5\]
*761 sw_005_module_data_in\[6\]
*762 sw_005_module_data_in\[7\]
*763 sw_005_module_data_out\[0\]
*764 sw_005_module_data_out\[1\]
*765 sw_005_module_data_out\[2\]
*766 sw_005_module_data_out\[3\]
*767 sw_005_module_data_out\[4\]
*768 sw_005_module_data_out\[5\]
*769 sw_005_module_data_out\[6\]
*770 sw_005_module_data_out\[7\]
*771 sw_005_scan_out
*772 sw_006_clk_out
*773 sw_006_data_out
*774 sw_006_latch_out
*775 sw_006_module_data_in\[0\]
*776 sw_006_module_data_in\[1\]
*777 sw_006_module_data_in\[2\]
*778 sw_006_module_data_in\[3\]
*779 sw_006_module_data_in\[4\]
*780 sw_006_module_data_in\[5\]
*781 sw_006_module_data_in\[6\]
*782 sw_006_module_data_in\[7\]
*783 sw_006_module_data_out\[0\]
*784 sw_006_module_data_out\[1\]
*785 sw_006_module_data_out\[2\]
*786 sw_006_module_data_out\[3\]
*787 sw_006_module_data_out\[4\]
*788 sw_006_module_data_out\[5\]
*789 sw_006_module_data_out\[6\]
*790 sw_006_module_data_out\[7\]
*791 sw_006_scan_out
*792 sw_007_clk_out
*793 sw_007_data_out
*794 sw_007_latch_out
*795 sw_007_module_data_in\[0\]
*796 sw_007_module_data_in\[1\]
*797 sw_007_module_data_in\[2\]
*798 sw_007_module_data_in\[3\]
*799 sw_007_module_data_in\[4\]
*800 sw_007_module_data_in\[5\]
*801 sw_007_module_data_in\[6\]
*802 sw_007_module_data_in\[7\]
*803 sw_007_module_data_out\[0\]
*804 sw_007_module_data_out\[1\]
*805 sw_007_module_data_out\[2\]
*806 sw_007_module_data_out\[3\]
*807 sw_007_module_data_out\[4\]
*808 sw_007_module_data_out\[5\]
*809 sw_007_module_data_out\[6\]
*810 sw_007_module_data_out\[7\]
*811 sw_007_scan_out
*812 sw_008_clk_out
*813 sw_008_data_out
*814 sw_008_latch_out
*815 sw_008_module_data_in\[0\]
*816 sw_008_module_data_in\[1\]
*817 sw_008_module_data_in\[2\]
*818 sw_008_module_data_in\[3\]
*819 sw_008_module_data_in\[4\]
*820 sw_008_module_data_in\[5\]
*821 sw_008_module_data_in\[6\]
*822 sw_008_module_data_in\[7\]
*823 sw_008_module_data_out\[0\]
*824 sw_008_module_data_out\[1\]
*825 sw_008_module_data_out\[2\]
*826 sw_008_module_data_out\[3\]
*827 sw_008_module_data_out\[4\]
*828 sw_008_module_data_out\[5\]
*829 sw_008_module_data_out\[6\]
*830 sw_008_module_data_out\[7\]
*831 sw_008_scan_out
*832 sw_009_clk_out
*833 sw_009_data_out
*834 sw_009_latch_out
*835 sw_009_module_data_in\[0\]
*836 sw_009_module_data_in\[1\]
*837 sw_009_module_data_in\[2\]
*838 sw_009_module_data_in\[3\]
*839 sw_009_module_data_in\[4\]
*840 sw_009_module_data_in\[5\]
*841 sw_009_module_data_in\[6\]
*842 sw_009_module_data_in\[7\]
*843 sw_009_module_data_out\[0\]
*844 sw_009_module_data_out\[1\]
*845 sw_009_module_data_out\[2\]
*846 sw_009_module_data_out\[3\]
*847 sw_009_module_data_out\[4\]
*848 sw_009_module_data_out\[5\]
*849 sw_009_module_data_out\[6\]
*850 sw_009_module_data_out\[7\]
*851 sw_009_scan_out
*852 sw_010_clk_out
*853 sw_010_data_out
*854 sw_010_latch_out
*855 sw_010_module_data_in\[0\]
*856 sw_010_module_data_in\[1\]
*857 sw_010_module_data_in\[2\]
*858 sw_010_module_data_in\[3\]
*859 sw_010_module_data_in\[4\]
*860 sw_010_module_data_in\[5\]
*861 sw_010_module_data_in\[6\]
*862 sw_010_module_data_in\[7\]
*863 sw_010_module_data_out\[0\]
*864 sw_010_module_data_out\[1\]
*865 sw_010_module_data_out\[2\]
*866 sw_010_module_data_out\[3\]
*867 sw_010_module_data_out\[4\]
*868 sw_010_module_data_out\[5\]
*869 sw_010_module_data_out\[6\]
*870 sw_010_module_data_out\[7\]
*871 sw_010_scan_out
*872 sw_011_clk_out
*873 sw_011_data_out
*874 sw_011_latch_out
*875 sw_011_module_data_in\[0\]
*876 sw_011_module_data_in\[1\]
*877 sw_011_module_data_in\[2\]
*878 sw_011_module_data_in\[3\]
*879 sw_011_module_data_in\[4\]
*880 sw_011_module_data_in\[5\]
*881 sw_011_module_data_in\[6\]
*882 sw_011_module_data_in\[7\]
*883 sw_011_module_data_out\[0\]
*884 sw_011_module_data_out\[1\]
*885 sw_011_module_data_out\[2\]
*886 sw_011_module_data_out\[3\]
*887 sw_011_module_data_out\[4\]
*888 sw_011_module_data_out\[5\]
*889 sw_011_module_data_out\[6\]
*890 sw_011_module_data_out\[7\]
*891 sw_011_scan_out
*892 sw_012_clk_out
*893 sw_012_data_out
*894 sw_012_latch_out
*895 sw_012_module_data_in\[0\]
*896 sw_012_module_data_in\[1\]
*897 sw_012_module_data_in\[2\]
*898 sw_012_module_data_in\[3\]
*899 sw_012_module_data_in\[4\]
*900 sw_012_module_data_in\[5\]
*901 sw_012_module_data_in\[6\]
*902 sw_012_module_data_in\[7\]
*903 sw_012_module_data_out\[0\]
*904 sw_012_module_data_out\[1\]
*905 sw_012_module_data_out\[2\]
*906 sw_012_module_data_out\[3\]
*907 sw_012_module_data_out\[4\]
*908 sw_012_module_data_out\[5\]
*909 sw_012_module_data_out\[6\]
*910 sw_012_module_data_out\[7\]
*911 sw_012_scan_out
*912 sw_013_clk_out
*913 sw_013_data_out
*914 sw_013_latch_out
*915 sw_013_module_data_in\[0\]
*916 sw_013_module_data_in\[1\]
*917 sw_013_module_data_in\[2\]
*918 sw_013_module_data_in\[3\]
*919 sw_013_module_data_in\[4\]
*920 sw_013_module_data_in\[5\]
*921 sw_013_module_data_in\[6\]
*922 sw_013_module_data_in\[7\]
*923 sw_013_module_data_out\[0\]
*924 sw_013_module_data_out\[1\]
*925 sw_013_module_data_out\[2\]
*926 sw_013_module_data_out\[3\]
*927 sw_013_module_data_out\[4\]
*928 sw_013_module_data_out\[5\]
*929 sw_013_module_data_out\[6\]
*930 sw_013_module_data_out\[7\]
*931 sw_013_scan_out
*932 sw_014_clk_out
*933 sw_014_data_out
*934 sw_014_latch_out
*935 sw_014_module_data_in\[0\]
*936 sw_014_module_data_in\[1\]
*937 sw_014_module_data_in\[2\]
*938 sw_014_module_data_in\[3\]
*939 sw_014_module_data_in\[4\]
*940 sw_014_module_data_in\[5\]
*941 sw_014_module_data_in\[6\]
*942 sw_014_module_data_in\[7\]
*943 sw_014_module_data_out\[0\]
*944 sw_014_module_data_out\[1\]
*945 sw_014_module_data_out\[2\]
*946 sw_014_module_data_out\[3\]
*947 sw_014_module_data_out\[4\]
*948 sw_014_module_data_out\[5\]
*949 sw_014_module_data_out\[6\]
*950 sw_014_module_data_out\[7\]
*951 sw_014_scan_out
*952 sw_015_clk_out
*953 sw_015_data_out
*954 sw_015_latch_out
*955 sw_015_module_data_in\[0\]
*956 sw_015_module_data_in\[1\]
*957 sw_015_module_data_in\[2\]
*958 sw_015_module_data_in\[3\]
*959 sw_015_module_data_in\[4\]
*960 sw_015_module_data_in\[5\]
*961 sw_015_module_data_in\[6\]
*962 sw_015_module_data_in\[7\]
*963 sw_015_module_data_out\[0\]
*964 sw_015_module_data_out\[1\]
*965 sw_015_module_data_out\[2\]
*966 sw_015_module_data_out\[3\]
*967 sw_015_module_data_out\[4\]
*968 sw_015_module_data_out\[5\]
*969 sw_015_module_data_out\[6\]
*970 sw_015_module_data_out\[7\]
*971 sw_015_scan_out
*972 sw_016_clk_out
*973 sw_016_data_out
*974 sw_016_latch_out
*975 sw_016_module_data_in\[0\]
*976 sw_016_module_data_in\[1\]
*977 sw_016_module_data_in\[2\]
*978 sw_016_module_data_in\[3\]
*979 sw_016_module_data_in\[4\]
*980 sw_016_module_data_in\[5\]
*981 sw_016_module_data_in\[6\]
*982 sw_016_module_data_in\[7\]
*983 sw_016_module_data_out\[0\]
*984 sw_016_module_data_out\[1\]
*985 sw_016_module_data_out\[2\]
*986 sw_016_module_data_out\[3\]
*987 sw_016_module_data_out\[4\]
*988 sw_016_module_data_out\[5\]
*989 sw_016_module_data_out\[6\]
*990 sw_016_module_data_out\[7\]
*991 sw_016_scan_out
*992 sw_017_clk_out
*993 sw_017_data_out
*994 sw_017_latch_out
*995 sw_017_module_data_in\[0\]
*996 sw_017_module_data_in\[1\]
*997 sw_017_module_data_in\[2\]
*998 sw_017_module_data_in\[3\]
*999 sw_017_module_data_in\[4\]
*1000 sw_017_module_data_in\[5\]
*1001 sw_017_module_data_in\[6\]
*1002 sw_017_module_data_in\[7\]
*1003 sw_017_module_data_out\[0\]
*1004 sw_017_module_data_out\[1\]
*1005 sw_017_module_data_out\[2\]
*1006 sw_017_module_data_out\[3\]
*1007 sw_017_module_data_out\[4\]
*1008 sw_017_module_data_out\[5\]
*1009 sw_017_module_data_out\[6\]
*1010 sw_017_module_data_out\[7\]
*1011 sw_017_scan_out
*1012 sw_018_clk_out
*1013 sw_018_data_out
*1014 sw_018_latch_out
*1015 sw_018_module_data_in\[0\]
*1016 sw_018_module_data_in\[1\]
*1017 sw_018_module_data_in\[2\]
*1018 sw_018_module_data_in\[3\]
*1019 sw_018_module_data_in\[4\]
*1020 sw_018_module_data_in\[5\]
*1021 sw_018_module_data_in\[6\]
*1022 sw_018_module_data_in\[7\]
*1023 sw_018_module_data_out\[0\]
*1024 sw_018_module_data_out\[1\]
*1025 sw_018_module_data_out\[2\]
*1026 sw_018_module_data_out\[3\]
*1027 sw_018_module_data_out\[4\]
*1028 sw_018_module_data_out\[5\]
*1029 sw_018_module_data_out\[6\]
*1030 sw_018_module_data_out\[7\]
*1031 sw_018_scan_out
*1032 sw_019_clk_out
*1033 sw_019_data_out
*1034 sw_019_latch_out
*1035 sw_019_module_data_in\[0\]
*1036 sw_019_module_data_in\[1\]
*1037 sw_019_module_data_in\[2\]
*1038 sw_019_module_data_in\[3\]
*1039 sw_019_module_data_in\[4\]
*1040 sw_019_module_data_in\[5\]
*1041 sw_019_module_data_in\[6\]
*1042 sw_019_module_data_in\[7\]
*1043 sw_019_module_data_out\[0\]
*1044 sw_019_module_data_out\[1\]
*1045 sw_019_module_data_out\[2\]
*1046 sw_019_module_data_out\[3\]
*1047 sw_019_module_data_out\[4\]
*1048 sw_019_module_data_out\[5\]
*1049 sw_019_module_data_out\[6\]
*1050 sw_019_module_data_out\[7\]
*1051 sw_019_scan_out
*1052 sw_020_clk_out
*1053 sw_020_data_out
*1054 sw_020_latch_out
*1055 sw_020_module_data_in\[0\]
*1056 sw_020_module_data_in\[1\]
*1057 sw_020_module_data_in\[2\]
*1058 sw_020_module_data_in\[3\]
*1059 sw_020_module_data_in\[4\]
*1060 sw_020_module_data_in\[5\]
*1061 sw_020_module_data_in\[6\]
*1062 sw_020_module_data_in\[7\]
*1063 sw_020_module_data_out\[0\]
*1064 sw_020_module_data_out\[1\]
*1065 sw_020_module_data_out\[2\]
*1066 sw_020_module_data_out\[3\]
*1067 sw_020_module_data_out\[4\]
*1068 sw_020_module_data_out\[5\]
*1069 sw_020_module_data_out\[6\]
*1070 sw_020_module_data_out\[7\]
*1071 sw_020_scan_out
*1072 sw_021_clk_out
*1073 sw_021_data_out
*1074 sw_021_latch_out
*1075 sw_021_module_data_in\[0\]
*1076 sw_021_module_data_in\[1\]
*1077 sw_021_module_data_in\[2\]
*1078 sw_021_module_data_in\[3\]
*1079 sw_021_module_data_in\[4\]
*1080 sw_021_module_data_in\[5\]
*1081 sw_021_module_data_in\[6\]
*1082 sw_021_module_data_in\[7\]
*1083 sw_021_module_data_out\[0\]
*1084 sw_021_module_data_out\[1\]
*1085 sw_021_module_data_out\[2\]
*1086 sw_021_module_data_out\[3\]
*1087 sw_021_module_data_out\[4\]
*1088 sw_021_module_data_out\[5\]
*1089 sw_021_module_data_out\[6\]
*1090 sw_021_module_data_out\[7\]
*1091 sw_021_scan_out
*1092 sw_022_clk_out
*1093 sw_022_data_out
*1094 sw_022_latch_out
*1095 sw_022_module_data_in\[0\]
*1096 sw_022_module_data_in\[1\]
*1097 sw_022_module_data_in\[2\]
*1098 sw_022_module_data_in\[3\]
*1099 sw_022_module_data_in\[4\]
*1100 sw_022_module_data_in\[5\]
*1101 sw_022_module_data_in\[6\]
*1102 sw_022_module_data_in\[7\]
*1103 sw_022_module_data_out\[0\]
*1104 sw_022_module_data_out\[1\]
*1105 sw_022_module_data_out\[2\]
*1106 sw_022_module_data_out\[3\]
*1107 sw_022_module_data_out\[4\]
*1108 sw_022_module_data_out\[5\]
*1109 sw_022_module_data_out\[6\]
*1110 sw_022_module_data_out\[7\]
*1111 sw_022_scan_out
*1112 sw_023_clk_out
*1113 sw_023_data_out
*1114 sw_023_latch_out
*1115 sw_023_module_data_in\[0\]
*1116 sw_023_module_data_in\[1\]
*1117 sw_023_module_data_in\[2\]
*1118 sw_023_module_data_in\[3\]
*1119 sw_023_module_data_in\[4\]
*1120 sw_023_module_data_in\[5\]
*1121 sw_023_module_data_in\[6\]
*1122 sw_023_module_data_in\[7\]
*1123 sw_023_module_data_out\[0\]
*1124 sw_023_module_data_out\[1\]
*1125 sw_023_module_data_out\[2\]
*1126 sw_023_module_data_out\[3\]
*1127 sw_023_module_data_out\[4\]
*1128 sw_023_module_data_out\[5\]
*1129 sw_023_module_data_out\[6\]
*1130 sw_023_module_data_out\[7\]
*1131 sw_023_scan_out
*1132 sw_024_clk_out
*1133 sw_024_data_out
*1134 sw_024_latch_out
*1135 sw_024_module_data_in\[0\]
*1136 sw_024_module_data_in\[1\]
*1137 sw_024_module_data_in\[2\]
*1138 sw_024_module_data_in\[3\]
*1139 sw_024_module_data_in\[4\]
*1140 sw_024_module_data_in\[5\]
*1141 sw_024_module_data_in\[6\]
*1142 sw_024_module_data_in\[7\]
*1143 sw_024_module_data_out\[0\]
*1144 sw_024_module_data_out\[1\]
*1145 sw_024_module_data_out\[2\]
*1146 sw_024_module_data_out\[3\]
*1147 sw_024_module_data_out\[4\]
*1148 sw_024_module_data_out\[5\]
*1149 sw_024_module_data_out\[6\]
*1150 sw_024_module_data_out\[7\]
*1151 sw_024_scan_out
*1152 sw_025_clk_out
*1153 sw_025_data_out
*1154 sw_025_latch_out
*1155 sw_025_module_data_in\[0\]
*1156 sw_025_module_data_in\[1\]
*1157 sw_025_module_data_in\[2\]
*1158 sw_025_module_data_in\[3\]
*1159 sw_025_module_data_in\[4\]
*1160 sw_025_module_data_in\[5\]
*1161 sw_025_module_data_in\[6\]
*1162 sw_025_module_data_in\[7\]
*1163 sw_025_module_data_out\[0\]
*1164 sw_025_module_data_out\[1\]
*1165 sw_025_module_data_out\[2\]
*1166 sw_025_module_data_out\[3\]
*1167 sw_025_module_data_out\[4\]
*1168 sw_025_module_data_out\[5\]
*1169 sw_025_module_data_out\[6\]
*1170 sw_025_module_data_out\[7\]
*1171 sw_025_scan_out
*1172 sw_026_clk_out
*1173 sw_026_data_out
*1174 sw_026_latch_out
*1175 sw_026_module_data_in\[0\]
*1176 sw_026_module_data_in\[1\]
*1177 sw_026_module_data_in\[2\]
*1178 sw_026_module_data_in\[3\]
*1179 sw_026_module_data_in\[4\]
*1180 sw_026_module_data_in\[5\]
*1181 sw_026_module_data_in\[6\]
*1182 sw_026_module_data_in\[7\]
*1183 sw_026_module_data_out\[0\]
*1184 sw_026_module_data_out\[1\]
*1185 sw_026_module_data_out\[2\]
*1186 sw_026_module_data_out\[3\]
*1187 sw_026_module_data_out\[4\]
*1188 sw_026_module_data_out\[5\]
*1189 sw_026_module_data_out\[6\]
*1190 sw_026_module_data_out\[7\]
*1191 sw_026_scan_out
*1192 sw_027_clk_out
*1193 sw_027_data_out
*1194 sw_027_latch_out
*1195 sw_027_module_data_in\[0\]
*1196 sw_027_module_data_in\[1\]
*1197 sw_027_module_data_in\[2\]
*1198 sw_027_module_data_in\[3\]
*1199 sw_027_module_data_in\[4\]
*1200 sw_027_module_data_in\[5\]
*1201 sw_027_module_data_in\[6\]
*1202 sw_027_module_data_in\[7\]
*1203 sw_027_module_data_out\[0\]
*1204 sw_027_module_data_out\[1\]
*1205 sw_027_module_data_out\[2\]
*1206 sw_027_module_data_out\[3\]
*1207 sw_027_module_data_out\[4\]
*1208 sw_027_module_data_out\[5\]
*1209 sw_027_module_data_out\[6\]
*1210 sw_027_module_data_out\[7\]
*1211 sw_027_scan_out
*1212 sw_028_clk_out
*1213 sw_028_data_out
*1214 sw_028_latch_out
*1215 sw_028_module_data_in\[0\]
*1216 sw_028_module_data_in\[1\]
*1217 sw_028_module_data_in\[2\]
*1218 sw_028_module_data_in\[3\]
*1219 sw_028_module_data_in\[4\]
*1220 sw_028_module_data_in\[5\]
*1221 sw_028_module_data_in\[6\]
*1222 sw_028_module_data_in\[7\]
*1223 sw_028_module_data_out\[0\]
*1224 sw_028_module_data_out\[1\]
*1225 sw_028_module_data_out\[2\]
*1226 sw_028_module_data_out\[3\]
*1227 sw_028_module_data_out\[4\]
*1228 sw_028_module_data_out\[5\]
*1229 sw_028_module_data_out\[6\]
*1230 sw_028_module_data_out\[7\]
*1231 sw_028_scan_out
*1232 sw_029_latch_out
*1233 sw_029_module_data_in\[0\]
*1234 sw_029_module_data_in\[1\]
*1235 sw_029_module_data_in\[2\]
*1236 sw_029_module_data_in\[3\]
*1237 sw_029_module_data_in\[4\]
*1238 sw_029_module_data_in\[5\]
*1239 sw_029_module_data_in\[6\]
*1240 sw_029_module_data_in\[7\]
*1241 sw_029_module_data_out\[0\]
*1242 sw_029_module_data_out\[1\]
*1243 sw_029_module_data_out\[2\]
*1244 sw_029_module_data_out\[3\]
*1245 sw_029_module_data_out\[4\]
*1246 sw_029_module_data_out\[5\]
*1247 sw_029_module_data_out\[6\]
*1248 sw_029_module_data_out\[7\]
*1249 sw_029_scan_out
*1250 scan_controller
*1251 scanchain_0
*1252 scanchain_1
*1253 scanchain_10
*1254 scanchain_11
*1255 scanchain_12
*1256 scanchain_13
*1257 scanchain_14
*1258 scanchain_15
*1259 scanchain_16
*1260 scanchain_17
*1261 scanchain_18
*1262 scanchain_19
*1263 scanchain_2
*1264 scanchain_20
*1265 scanchain_21
*1266 scanchain_22
*1267 scanchain_23
*1268 scanchain_24
*1269 scanchain_25
*1270 scanchain_26
*1271 scanchain_27
*1272 scanchain_28
*1273 scanchain_29
*1274 scanchain_3
*1275 scanchain_4
*1276 scanchain_5
*1277 scanchain_6
*1278 scanchain_7
*1279 scanchain_8
*1280 scanchain_9
*1281 user_module_341535056611770964_0
*1282 user_module_341535056611770964_1
*1283 user_module_341535056611770964_10
*1284 user_module_341535056611770964_11
*1285 user_module_341535056611770964_12
*1286 user_module_341535056611770964_13
*1287 user_module_341535056611770964_14
*1288 user_module_341535056611770964_15
*1289 user_module_341535056611770964_16
*1290 user_module_341535056611770964_17
*1291 user_module_341535056611770964_18
*1292 user_module_341535056611770964_19
*1293 user_module_341535056611770964_2
*1294 user_module_341535056611770964_20
*1295 user_module_341535056611770964_21
*1296 user_module_341535056611770964_22
*1297 user_module_341535056611770964_23
*1298 user_module_341535056611770964_24
*1299 user_module_341535056611770964_25
*1300 user_module_341535056611770964_26
*1301 user_module_341535056611770964_27
*1302 user_module_341535056611770964_28
*1303 user_module_341535056611770964_29
*1304 user_module_341535056611770964_3
*1305 user_module_341535056611770964_4
*1306 user_module_341535056611770964_5
*1307 user_module_341535056611770964_6
*1308 user_module_341535056611770964_7
*1309 user_module_341535056611770964_8
*1310 user_module_341535056611770964_9
*PORTS
analog_io[0] I
analog_io[10] I
analog_io[11] I
analog_io[12] I
analog_io[13] I
analog_io[14] I
analog_io[15] I
analog_io[16] I
analog_io[17] I
analog_io[18] I
analog_io[19] I
analog_io[1] I
analog_io[20] I
analog_io[21] I
analog_io[22] I
analog_io[23] I
analog_io[24] I
analog_io[25] I
analog_io[26] I
analog_io[27] I
analog_io[28] I
analog_io[2] I
analog_io[3] I
analog_io[4] I
analog_io[5] I
analog_io[6] I
analog_io[7] I
analog_io[8] I
analog_io[9] I
io_in[0] I
io_in[10] I
io_in[11] I
io_in[12] I
io_in[13] I
io_in[14] I
io_in[15] I
io_in[16] I
io_in[17] I
io_in[18] I
io_in[19] I
io_in[1] I
io_in[20] I
io_in[21] I
io_in[22] I
io_in[23] I
io_in[24] I
io_in[25] I
io_in[26] I
io_in[27] I
io_in[28] I
io_in[29] I
io_in[2] I
io_in[30] I
io_in[31] I
io_in[32] I
io_in[33] I
io_in[34] I
io_in[35] I
io_in[36] I
io_in[37] I
io_in[3] I
io_in[4] I
io_in[5] I
io_in[6] I
io_in[7] I
io_in[8] I
io_in[9] I
io_oeb[0] O
io_oeb[10] O
io_oeb[11] O
io_oeb[12] O
io_oeb[13] O
io_oeb[14] O
io_oeb[15] O
io_oeb[16] O
io_oeb[17] O
io_oeb[18] O
io_oeb[19] O
io_oeb[1] O
io_oeb[20] O
io_oeb[21] O
io_oeb[22] O
io_oeb[23] O
io_oeb[24] O
io_oeb[25] O
io_oeb[26] O
io_oeb[27] O
io_oeb[28] O
io_oeb[29] O
io_oeb[2] O
io_oeb[30] O
io_oeb[31] O
io_oeb[32] O
io_oeb[33] O
io_oeb[34] O
io_oeb[35] O
io_oeb[36] O
io_oeb[37] O
io_oeb[3] O
io_oeb[4] O
io_oeb[5] O
io_oeb[6] O
io_oeb[7] O
io_oeb[8] O
io_oeb[9] O
io_out[0] O
io_out[10] O
io_out[11] O
io_out[12] O
io_out[13] O
io_out[14] O
io_out[15] O
io_out[16] O
io_out[17] O
io_out[18] O
io_out[19] O
io_out[1] O
io_out[20] O
io_out[21] O
io_out[22] O
io_out[23] O
io_out[24] O
io_out[25] O
io_out[26] O
io_out[27] O
io_out[28] O
io_out[29] O
io_out[2] O
io_out[30] O
io_out[31] O
io_out[32] O
io_out[33] O
io_out[34] O
io_out[35] O
io_out[36] O
io_out[37] O
io_out[3] O
io_out[4] O
io_out[5] O
io_out[6] O
io_out[7] O
io_out[8] O
io_out[9] O
la_data_in[0] I
la_data_in[100] I
la_data_in[101] I
la_data_in[102] I
la_data_in[103] I
la_data_in[104] I
la_data_in[105] I
la_data_in[106] I
la_data_in[107] I
la_data_in[108] I
la_data_in[109] I
la_data_in[10] I
la_data_in[110] I
la_data_in[111] I
la_data_in[112] I
la_data_in[113] I
la_data_in[114] I
la_data_in[115] I
la_data_in[116] I
la_data_in[117] I
la_data_in[118] I
la_data_in[119] I
la_data_in[11] I
la_data_in[120] I
la_data_in[121] I
la_data_in[122] I
la_data_in[123] I
la_data_in[124] I
la_data_in[125] I
la_data_in[126] I
la_data_in[127] I
la_data_in[12] I
la_data_in[13] I
la_data_in[14] I
la_data_in[15] I
la_data_in[16] I
la_data_in[17] I
la_data_in[18] I
la_data_in[19] I
la_data_in[1] I
la_data_in[20] I
la_data_in[21] I
la_data_in[22] I
la_data_in[23] I
la_data_in[24] I
la_data_in[25] I
la_data_in[26] I
la_data_in[27] I
la_data_in[28] I
la_data_in[29] I
la_data_in[2] I
la_data_in[30] I
la_data_in[31] I
la_data_in[32] I
la_data_in[33] I
la_data_in[34] I
la_data_in[35] I
la_data_in[36] I
la_data_in[37] I
la_data_in[38] I
la_data_in[39] I
la_data_in[3] I
la_data_in[40] I
la_data_in[41] I
la_data_in[42] I
la_data_in[43] I
la_data_in[44] I
la_data_in[45] I
la_data_in[46] I
la_data_in[47] I
la_data_in[48] I
la_data_in[49] I
la_data_in[4] I
la_data_in[50] I
la_data_in[51] I
la_data_in[52] I
la_data_in[53] I
la_data_in[54] I
la_data_in[55] I
la_data_in[56] I
la_data_in[57] I
la_data_in[58] I
la_data_in[59] I
la_data_in[5] I
la_data_in[60] I
la_data_in[61] I
la_data_in[62] I
la_data_in[63] I
la_data_in[64] I
la_data_in[65] I
la_data_in[66] I
la_data_in[67] I
la_data_in[68] I
la_data_in[69] I
la_data_in[6] I
la_data_in[70] I
la_data_in[71] I
la_data_in[72] I
la_data_in[73] I
la_data_in[74] I
la_data_in[75] I
la_data_in[76] I
la_data_in[77] I
la_data_in[78] I
la_data_in[79] I
la_data_in[7] I
la_data_in[80] I
la_data_in[81] I
la_data_in[82] I
la_data_in[83] I
la_data_in[84] I
la_data_in[85] I
la_data_in[86] I
la_data_in[87] I
la_data_in[88] I
la_data_in[89] I
la_data_in[8] I
la_data_in[90] I
la_data_in[91] I
la_data_in[92] I
la_data_in[93] I
la_data_in[94] I
la_data_in[95] I
la_data_in[96] I
la_data_in[97] I
la_data_in[98] I
la_data_in[99] I
la_data_in[9] I
la_data_out[0] O
la_data_out[100] O
la_data_out[101] O
la_data_out[102] O
la_data_out[103] O
la_data_out[104] O
la_data_out[105] O
la_data_out[106] O
la_data_out[107] O
la_data_out[108] O
la_data_out[109] O
la_data_out[10] O
la_data_out[110] O
la_data_out[111] O
la_data_out[112] O
la_data_out[113] O
la_data_out[114] O
la_data_out[115] O
la_data_out[116] O
la_data_out[117] O
la_data_out[118] O
la_data_out[119] O
la_data_out[11] O
la_data_out[120] O
la_data_out[121] O
la_data_out[122] O
la_data_out[123] O
la_data_out[124] O
la_data_out[125] O
la_data_out[126] O
la_data_out[127] O
la_data_out[12] O
la_data_out[13] O
la_data_out[14] O
la_data_out[15] O
la_data_out[16] O
la_data_out[17] O
la_data_out[18] O
la_data_out[19] O
la_data_out[1] O
la_data_out[20] O
la_data_out[21] O
la_data_out[22] O
la_data_out[23] O
la_data_out[24] O
la_data_out[25] O
la_data_out[26] O
la_data_out[27] O
la_data_out[28] O
la_data_out[29] O
la_data_out[2] O
la_data_out[30] O
la_data_out[31] O
la_data_out[32] O
la_data_out[33] O
la_data_out[34] O
la_data_out[35] O
la_data_out[36] O
la_data_out[37] O
la_data_out[38] O
la_data_out[39] O
la_data_out[3] O
la_data_out[40] O
la_data_out[41] O
la_data_out[42] O
la_data_out[43] O
la_data_out[44] O
la_data_out[45] O
la_data_out[46] O
la_data_out[47] O
la_data_out[48] O
la_data_out[49] O
la_data_out[4] O
la_data_out[50] O
la_data_out[51] O
la_data_out[52] O
la_data_out[53] O
la_data_out[54] O
la_data_out[55] O
la_data_out[56] O
la_data_out[57] O
la_data_out[58] O
la_data_out[59] O
la_data_out[5] O
la_data_out[60] O
la_data_out[61] O
la_data_out[62] O
la_data_out[63] O
la_data_out[64] O
la_data_out[65] O
la_data_out[66] O
la_data_out[67] O
la_data_out[68] O
la_data_out[69] O
la_data_out[6] O
la_data_out[70] O
la_data_out[71] O
la_data_out[72] O
la_data_out[73] O
la_data_out[74] O
la_data_out[75] O
la_data_out[76] O
la_data_out[77] O
la_data_out[78] O
la_data_out[79] O
la_data_out[7] O
la_data_out[80] O
la_data_out[81] O
la_data_out[82] O
la_data_out[83] O
la_data_out[84] O
la_data_out[85] O
la_data_out[86] O
la_data_out[87] O
la_data_out[88] O
la_data_out[89] O
la_data_out[8] O
la_data_out[90] O
la_data_out[91] O
la_data_out[92] O
la_data_out[93] O
la_data_out[94] O
la_data_out[95] O
la_data_out[96] O
la_data_out[97] O
la_data_out[98] O
la_data_out[99] O
la_data_out[9] O
la_oenb[0] I
la_oenb[100] I
la_oenb[101] I
la_oenb[102] I
la_oenb[103] I
la_oenb[104] I
la_oenb[105] I
la_oenb[106] I
la_oenb[107] I
la_oenb[108] I
la_oenb[109] I
la_oenb[10] I
la_oenb[110] I
la_oenb[111] I
la_oenb[112] I
la_oenb[113] I
la_oenb[114] I
la_oenb[115] I
la_oenb[116] I
la_oenb[117] I
la_oenb[118] I
la_oenb[119] I
la_oenb[11] I
la_oenb[120] I
la_oenb[121] I
la_oenb[122] I
la_oenb[123] I
la_oenb[124] I
la_oenb[125] I
la_oenb[126] I
la_oenb[127] I
la_oenb[12] I
la_oenb[13] I
la_oenb[14] I
la_oenb[15] I
la_oenb[16] I
la_oenb[17] I
la_oenb[18] I
la_oenb[19] I
la_oenb[1] I
la_oenb[20] I
la_oenb[21] I
la_oenb[22] I
la_oenb[23] I
la_oenb[24] I
la_oenb[25] I
la_oenb[26] I
la_oenb[27] I
la_oenb[28] I
la_oenb[29] I
la_oenb[2] I
la_oenb[30] I
la_oenb[31] I
la_oenb[32] I
la_oenb[33] I
la_oenb[34] I
la_oenb[35] I
la_oenb[36] I
la_oenb[37] I
la_oenb[38] I
la_oenb[39] I
la_oenb[3] I
la_oenb[40] I
la_oenb[41] I
la_oenb[42] I
la_oenb[43] I
la_oenb[44] I
la_oenb[45] I
la_oenb[46] I
la_oenb[47] I
la_oenb[48] I
la_oenb[49] I
la_oenb[4] I
la_oenb[50] I
la_oenb[51] I
la_oenb[52] I
la_oenb[53] I
la_oenb[54] I
la_oenb[55] I
la_oenb[56] I
la_oenb[57] I
la_oenb[58] I
la_oenb[59] I
la_oenb[5] I
la_oenb[60] I
la_oenb[61] I
la_oenb[62] I
la_oenb[63] I
la_oenb[64] I
la_oenb[65] I
la_oenb[66] I
la_oenb[67] I
la_oenb[68] I
la_oenb[69] I
la_oenb[6] I
la_oenb[70] I
la_oenb[71] I
la_oenb[72] I
la_oenb[73] I
la_oenb[74] I
la_oenb[75] I
la_oenb[76] I
la_oenb[77] I
la_oenb[78] I
la_oenb[79] I
la_oenb[7] I
la_oenb[80] I
la_oenb[81] I
la_oenb[82] I
la_oenb[83] I
la_oenb[84] I
la_oenb[85] I
la_oenb[86] I
la_oenb[87] I
la_oenb[88] I
la_oenb[89] I
la_oenb[8] I
la_oenb[90] I
la_oenb[91] I
la_oenb[92] I
la_oenb[93] I
la_oenb[94] I
la_oenb[95] I
la_oenb[96] I
la_oenb[97] I
la_oenb[98] I
la_oenb[99] I
la_oenb[9] I
user_clock2 I
user_irq[0] O
user_irq[1] O
user_irq[2] O
wb_clk_i I
wb_rst_i I
wbs_ack_o O
wbs_adr_i[0] I
wbs_adr_i[10] I
wbs_adr_i[11] I
wbs_adr_i[12] I
wbs_adr_i[13] I
wbs_adr_i[14] I
wbs_adr_i[15] I
wbs_adr_i[16] I
wbs_adr_i[17] I
wbs_adr_i[18] I
wbs_adr_i[19] I
wbs_adr_i[1] I
wbs_adr_i[20] I
wbs_adr_i[21] I
wbs_adr_i[22] I
wbs_adr_i[23] I
wbs_adr_i[24] I
wbs_adr_i[25] I
wbs_adr_i[26] I
wbs_adr_i[27] I
wbs_adr_i[28] I
wbs_adr_i[29] I
wbs_adr_i[2] I
wbs_adr_i[30] I
wbs_adr_i[31] I
wbs_adr_i[3] I
wbs_adr_i[4] I
wbs_adr_i[5] I
wbs_adr_i[6] I
wbs_adr_i[7] I
wbs_adr_i[8] I
wbs_adr_i[9] I
wbs_cyc_i I
wbs_dat_i[0] I
wbs_dat_i[10] I
wbs_dat_i[11] I
wbs_dat_i[12] I
wbs_dat_i[13] I
wbs_dat_i[14] I
wbs_dat_i[15] I
wbs_dat_i[16] I
wbs_dat_i[17] I
wbs_dat_i[18] I
wbs_dat_i[19] I
wbs_dat_i[1] I
wbs_dat_i[20] I
wbs_dat_i[21] I
wbs_dat_i[22] I
wbs_dat_i[23] I
wbs_dat_i[24] I
wbs_dat_i[25] I
wbs_dat_i[26] I
wbs_dat_i[27] I
wbs_dat_i[28] I
wbs_dat_i[29] I
wbs_dat_i[2] I
wbs_dat_i[30] I
wbs_dat_i[31] I
wbs_dat_i[3] I
wbs_dat_i[4] I
wbs_dat_i[5] I
wbs_dat_i[6] I
wbs_dat_i[7] I
wbs_dat_i[8] I
wbs_dat_i[9] I
wbs_dat_o[0] O
wbs_dat_o[10] O
wbs_dat_o[11] O
wbs_dat_o[12] O
wbs_dat_o[13] O
wbs_dat_o[14] O
wbs_dat_o[15] O
wbs_dat_o[16] O
wbs_dat_o[17] O
wbs_dat_o[18] O
wbs_dat_o[19] O
wbs_dat_o[1] O
wbs_dat_o[20] O
wbs_dat_o[21] O
wbs_dat_o[22] O
wbs_dat_o[23] O
wbs_dat_o[24] O
wbs_dat_o[25] O
wbs_dat_o[26] O
wbs_dat_o[27] O
wbs_dat_o[28] O
wbs_dat_o[29] O
wbs_dat_o[2] O
wbs_dat_o[30] O
wbs_dat_o[31] O
wbs_dat_o[3] O
wbs_dat_o[4] O
wbs_dat_o[5] O
wbs_dat_o[6] O
wbs_dat_o[7] O
wbs_dat_o[8] O
wbs_dat_o[9] O
wbs_sel_i[0] I
wbs_sel_i[1] I
wbs_sel_i[2] I
wbs_sel_i[3] I
wbs_stb_i I
wbs_we_i I
*D_NET *32 0.40219
*CONN
*P io_in[11] I
*I *1250:set_clk_div I *D scan_controller
*CAP
1 io_in[11] 0.00077819
2 *1250:set_clk_div 6.54832e-05
3 *32:18 0.00336395
4 *32:16 0.00338212
5 *32:14 0.0781618
6 *32:13 0.0780782
7 *32:11 0.118791
8 *32:10 0.119569
9 *32:10 *35:8 0
10 *32:18 *44:11 0
*RES
1 io_in[11] *32:10 18.0729
2 *32:10 *32:11 2479.2
3 *32:11 *32:13 9
4 *32:13 *32:14 2033.37
5 *32:14 *32:16 2.17857
6 *32:16 *32:18 85.9643
7 *32:18 *1250:set_clk_div 1.70536
*END
*D_NET *33 0.423885
*CONN
*P io_in[12] I
*I *1250:active_select[0] I *D scan_controller
*CAP
1 io_in[12] 0.000883067
2 *1250:active_select[0] 8.1254e-05
3 *33:18 0.00337972
4 *33:16 0.00335058
5 *33:14 0.0871176
6 *33:13 0.0870655
7 *33:11 0.120562
8 *33:10 0.121445
9 *33:10 *35:8 0
*RES
1 io_in[12] *33:10 20.805
2 *33:10 *33:11 2516.16
3 *33:11 *33:13 9
4 *33:13 *33:14 2267.42
5 *33:14 *33:16 1.35714
6 *33:16 *33:18 85.9643
7 *33:18 *1250:active_select[0] 2.11607
*END
*D_NET *34 0.432047
*CONN
*P io_in[13] I
*I *1250:active_select[1] I *D scan_controller
*CAP
1 io_in[13] 0.000608631
2 *1250:active_select[1] 0.000474496
3 *34:11 0.111846
4 *34:10 0.111372
5 *34:8 0.103569
6 *34:7 0.104177
7 *34:8 *69:11 0
8 *34:8 *72:11 0
9 *34:11 *35:11 0
10 *34:11 *66:11 0
11 *34:11 *72:8 0
12 *34:11 *81:8 0
*RES
1 io_in[13] *34:7 5.84773
2 *34:7 *34:8 2697.21
3 *34:8 *34:10 9
4 *34:10 *34:11 2324.36
5 *34:11 *1250:active_select[1] 21.3571
*END
*D_NET *35 0.449767
*CONN
*P io_in[14] I
*I *1250:active_select[2] I *D scan_controller
*CAP
1 io_in[14] 0.000644619
2 *1250:active_select[2] 0.000486153
3 *35:11 0.111543
4 *35:10 0.111057
5 *35:8 0.112696
6 *35:7 0.11334
7 *35:8 io_oeb[0] 0
8 *35:8 io_oeb[11] 0
9 *35:8 io_oeb[12] 0
10 *35:8 io_oeb[2] 0
11 *35:8 io_oeb[3] 0
12 *35:8 io_oeb[4] 0
13 *35:8 io_oeb[5] 0
14 *35:8 io_oeb[8] 0
15 *35:8 io_oeb[9] 0
16 *35:8 io_out[10] 0
17 *35:8 *67:10 0
18 *35:8 *72:11 0
19 *35:11 *81:8 0
20 *32:10 *35:8 0
21 *33:10 *35:8 0
22 *34:11 *35:11 0
*RES
1 io_in[14] *35:7 5.99187
2 *35:7 *35:8 2934.9
3 *35:8 *35:10 9
4 *35:10 *35:11 2317.79
5 *35:11 *1250:active_select[2] 21.6607
*END
*D_NET *36 0.44549
*CONN
*P io_in[15] I
*I *1250:active_select[3] I *D scan_controller
*CAP
1 io_in[15] 0.00081986
2 *1250:active_select[3] 0.000445354
3 *36:14 0.0994516
4 *36:13 0.0990062
5 *36:11 0.122473
6 *36:10 0.123293
7 *36:14 *38:14 0
8 *36:14 *74:8 0
*RES
1 io_in[15] *36:10 24.5421
2 *36:10 *36:11 537.614
3 *36:11 *36:13 3.41
4 *36:13 *36:14 396.522
5 *36:14 *1250:active_select[3] 15.0082
*END
*D_NET *37 0.420513
*CONN
*P io_in[16] I
*I *1250:active_select[4] I *D scan_controller
*CAP
1 io_in[16] 0.00056775
2 *1250:active_select[4] 1.81707e-05
3 *37:11 0.113903
4 *37:10 0.113885
5 *37:8 0.0957856
6 *37:7 0.0963534
7 *37:8 *75:10 0
*RES
1 io_in[16] *37:7 23.7857
2 *37:7 *37:8 1999.07
3 *37:8 *37:10 9
4 *37:10 *37:11 2965.87
5 *37:11 *1250:active_select[4] 0.473214
*END
*D_NET *38 0.399088
*CONN
*P io_in[17] I
*I *1250:active_select[5] I *D scan_controller
*CAP
1 io_in[17] 0.000765809
2 *1250:active_select[5] 0.000468633
3 *38:14 0.0762805
4 *38:13 0.0758119
5 *38:11 0.122498
6 *38:10 0.123264
7 *38:14 *74:8 0
8 *38:14 *76:8 0
9 *36:14 *38:14 0
*RES
1 io_in[17] *38:10 24.3259
2 *38:10 *38:11 537.721
3 *38:11 *38:13 3.41
4 *38:13 *38:14 303.628
5 *38:14 *1250:active_select[5] 15.6154
*END
*D_NET *39 0.362757
*CONN
*P io_in[18] I
*I *1250:active_select[6] I *D scan_controller
*CAP
1 io_in[18] 0.000544436
2 *1250:active_select[6] 0.000446723
3 *39:11 0.116476
4 *39:10 0.11603
5 *39:8 0.0643576
6 *39:7 0.0649021
7 *39:8 *40:8 0
8 *39:8 *75:10 0
9 *39:8 *77:10 0
10 *39:11 *70:8 0
11 *39:11 *71:8 0
12 *39:11 *78:13 0
13 *39:11 *272:8 0
*RES
1 io_in[18] *39:7 23.1786
2 *39:7 *39:8 1343.16
3 *39:8 *39:10 9
4 *39:10 *39:11 3021.72
5 *39:11 *1250:active_select[6] 5.19913
*END
*D_NET *40 0.35335
*CONN
*P io_in[19] I
*I *1250:active_select[7] I *D scan_controller
*CAP
1 io_in[19] 0.00053278
2 *1250:active_select[7] 0.000464717
3 *40:11 0.114874
4 *40:10 0.114409
5 *40:8 0.061268
6 *40:7 0.0618007
7 *1250:active_select[7] *97:13 0
8 *40:8 *42:8 0
9 *40:8 *75:10 0
10 *40:8 *77:10 0
11 *40:11 *105:8 0
12 *39:8 *40:8 0
*RES
1 io_in[19] *40:7 22.875
2 *40:7 *40:8 1278.68
3 *40:8 *40:10 9
4 *40:10 *40:11 2979.53
5 *40:11 *1250:active_select[7] 5.2712
*END
*D_NET *42 0.322304
*CONN
*P io_in[20] I
*I *1250:active_select[8] I *D scan_controller
*CAP
1 io_in[20] 0.000509466
2 *1250:active_select[8] 6.54832e-05
3 *42:15 0.00336395
4 *42:13 0.00346098
5 *42:11 0.110809
6 *42:10 0.110647
7 *42:8 0.0464691
8 *42:7 0.0469785
9 *42:8 *44:8 0
10 *42:8 *77:10 0
11 *42:8 *78:17 0
12 *42:8 *80:14 0
13 *40:8 *42:8 0
*RES
1 io_in[20] *42:7 22.2679
2 *42:7 *42:8 969.821
3 *42:8 *42:10 9
4 *42:10 *42:11 2881.54
5 *42:11 *42:13 4.23214
6 *42:13 *42:15 85.9643
7 *42:15 *1250:active_select[8] 1.70536
*END
*D_NET *43 0.295237
*CONN
*P io_in[21] I
*I *1250:inputs[0] I *D scan_controller
*CAP
1 io_in[21] 0.000783872
2 *1250:inputs[0] 0.000515295
3 *43:14 0.0242882
4 *43:13 0.0237729
5 *43:11 0.122546
6 *43:10 0.12333
7 *43:14 *74:8 0
8 *43:14 *76:8 0
*RES
1 io_in[21] *43:10 24.3979
2 *43:10 *43:11 537.934
3 *43:11 *43:13 3.41
4 *43:13 *43:14 95.211
5 *43:14 *1250:inputs[0] 16.8296
*END
*D_NET *44 0.263076
*CONN
*P io_in[22] I
*I *1250:inputs[1] I *D scan_controller
*CAP
1 io_in[22] 0.000474496
2 *1250:inputs[1] 6.54832e-05
3 *44:15 0.00336395
4 *44:13 0.00336635
5 *44:11 0.11075
6 *44:10 0.110682
7 *44:8 0.01695
8 *44:7 0.0174244
9 *44:8 *80:14 0
10 *32:18 *44:11 0
11 *42:8 *44:8 0
*RES
1 io_in[22] *44:7 21.3571
2 *44:7 *44:8 353.75
3 *44:8 *44:10 9
4 *44:10 *44:11 2882.46
5 *44:11 *44:13 1.76786
6 *44:13 *44:15 85.9643
7 *44:15 *1250:inputs[1] 1.70536
*END
*D_NET *45 0.232646
*CONN
*P io_in[23] I
*I *1250:inputs[2] I *D scan_controller
*CAP
1 io_in[23] 0.000112967
2 *1250:inputs[2] 0.000241362
3 *45:16 0.00199882
4 *45:13 0.115969
5 *45:11 0.114324
6 *45:16 *94:8 0
7 *45:16 *649:8 0
*RES
1 io_in[23] *45:11 3.70536
2 *45:11 *45:13 2974.37
3 *45:13 *45:16 45.6786
4 *45:16 *1250:inputs[2] 15.2857
*END
*D_NET *46 0.252705
*CONN
*P io_in[24] I
*I *1250:inputs[3] I *D scan_controller
*CAP
1 io_in[24] 0.000617667
2 *1250:inputs[3] 0.000328787
3 *46:13 0.0103722
4 *46:8 0.125406
5 *46:7 0.11598
6 *46:8 *85:11 0
*RES
1 io_in[24] *46:7 5.88377
2 *46:7 *46:8 506.4
3 *46:8 *46:13 47.0442
4 *46:13 *1250:inputs[3] 8.5625
*END
*D_NET *47 0.212998
*CONN
*P io_in[25] I
*I *1250:inputs[4] I *D scan_controller
*CAP
1 io_in[25] 0.000950235
2 *1250:inputs[4] 0.00047789
3 *47:17 0.0037113
4 *47:16 0.00323341
5 *47:14 0.101838
6 *47:13 0.102788
7 *47:14 *91:14 0
8 *47:14 *96:11 0
9 *47:14 *133:11 0
10 *47:14 *134:11 0
11 *47:17 *84:8 0
12 *47:17 *86:8 0
13 *47:17 *93:8 0
*RES
1 io_in[25] *47:13 32.8528
2 *47:13 *47:14 2652.12
3 *47:14 *47:16 9
4 *47:16 *47:17 67.4821
5 *47:17 *1250:inputs[4] 21.5714
*END
*D_NET *48 0.207283
*CONN
*P io_in[26] I
*I *1250:inputs[5] I *D scan_controller
*CAP
1 io_in[26] 0.00128872
2 *1250:inputs[5] 0.000439526
3 *48:17 0.00530633
4 *48:16 0.0048668
5 *48:14 0.0970466
6 *48:13 0.0983353
7 *48:14 *83:11 0
8 *48:17 *89:8 0
9 *48:17 *127:8 0
10 *48:17 *134:8 0
*RES
1 io_in[26] *48:13 39.5505
2 *48:13 *48:14 2527.36
3 *48:14 *48:16 9
4 *48:16 *48:17 101.571
5 *48:17 *1250:inputs[5] 20.4464
*END
*D_NET *49 0.180421
*CONN
*P io_in[27] I
*I *1250:inputs[6] I *D scan_controller
*CAP
1 io_in[27] 0.00164538
2 *1250:inputs[6] 0.000716634
3 *49:22 0.00197442
4 *49:14 0.0878484
5 *49:13 0.088236
6 *1250:inputs[6] *95:7 0
7 *49:14 *83:11 0
8 *49:22 *95:8 0
*RES
1 io_in[27] *49:13 45.8394
2 *49:13 *49:14 2255.05
3 *49:14 *49:22 48.7404
4 *49:22 *1250:inputs[6] 2.87013
*END
*D_NET *50 0.186733
*CONN
*P io_in[28] I
*I *1250:inputs[7] I *D scan_controller
*CAP
1 io_in[28] 0.0108678
2 *1250:inputs[7] 0.000700238
3 *50:20 0.0824985
4 *50:19 0.0926662
*RES
1 io_in[28] *50:19 47.3064
2 *50:19 *50:20 359.064
3 *50:20 *1250:inputs[7] 10.72
*END
*D_NET *66 0.348062
*CONN
*P io_in[8] I
*I *1250:driver_sel[0] I *D scan_controller
*CAP
1 io_in[8] 0.000572682
2 *1250:driver_sel[0] 0.000451183
3 *66:11 0.115444
4 *66:10 0.114993
5 *66:8 0.0580143
6 *66:7 0.058587
7 *66:8 *69:11 0
8 *66:8 *103:11 0
9 *66:11 *69:8 0
10 *66:11 *72:8 0
11 *66:11 *79:8 0
12 *66:11 *89:8 0
13 *34:11 *66:11 0
*RES
1 io_in[8] *66:7 5.7036
2 *66:7 *66:8 1510.85
3 *66:8 *66:10 9
4 *66:10 *66:11 2399.93
5 *66:11 *1250:driver_sel[0] 20.75
*END
*D_NET *67 0.36986
*CONN
*P io_in[9] I
*I *1250:driver_sel[1] I *D scan_controller
*CAP
1 io_in[9] 0.000766461
2 *1250:driver_sel[1] 8.1254e-05
3 *67:18 0.00337972
4 *67:16 0.00336635
5 *67:14 0.0599267
6 *67:13 0.0598588
7 *67:11 0.120857
8 *67:10 0.121624
9 *35:8 *67:10 0
*RES
1 io_in[9] *67:10 17.7693
2 *67:10 *67:11 2522.32
3 *67:11 *67:13 9
4 *67:13 *67:14 1558.88
5 *67:14 *67:16 1.76786
6 *67:16 *67:18 85.9643
7 *67:18 *1250:driver_sel[1] 2.11607
*END
*D_NET *68 0.240244
*CONN
*P io_oeb[0] O
*I *1250:oeb[0] O *D scan_controller
*CAP
1 io_oeb[0] 0.00167576
2 *1250:oeb[0] 0.000521089
3 *68:8 0.119601
4 *68:7 0.118446
5 *68:7 *131:11 0
6 *68:8 *654:11 0
7 *68:8 *674:11 0
8 *68:8 *694:11 0
9 *68:8 *714:11 0
10 *68:8 *734:11 0
11 *68:8 *754:11 0
12 *68:8 *774:11 0
13 *68:8 *794:11 0
14 *68:8 *814:11 0
15 *68:8 *834:11 0
16 *68:8 *854:11 0
17 *68:8 *874:11 0
18 *68:8 *894:11 0
19 *68:8 *914:11 0
20 *68:8 *934:11 0
21 *68:8 *954:13 0
22 *68:8 *974:11 0
23 *68:8 *991:11 0
24 *35:8 io_oeb[0] 0
*RES
1 *1250:oeb[0] *68:7 22.5714
2 *68:7 *68:8 2461.12
3 *68:8 io_oeb[0] 41.4479
*END
*D_NET *69 0.396275
*CONN
*P io_oeb[10] O
*I *1250:oeb[10] O *D scan_controller
*CAP
1 io_oeb[10] 0.000590676
2 *1250:oeb[10] 0.000439526
3 *69:11 0.0813472
4 *69:10 0.0807566
5 *69:8 0.116351
6 *69:7 0.11679
7 *69:8 *79:8 0
8 *69:8 *89:8 0
9 *34:8 *69:11 0
10 *66:8 *69:11 0
11 *66:11 *69:8 0
*RES
1 *1250:oeb[10] *69:7 20.4464
2 *69:7 *69:8 2428.27
3 *69:8 *69:10 9
4 *69:10 *69:11 2103.12
5 *69:11 io_oeb[10] 5.77567
*END
*D_NET *70 0.39767
*CONN
*P io_oeb[11] O
*I *1250:oeb[11] O *D scan_controller
*CAP
1 io_oeb[11] 0.000836474
2 *1250:oeb[11] 0.000482692
3 *70:11 0.111185
4 *70:10 0.110348
5 *70:8 0.0871677
6 *70:7 0.0876504
7 *70:8 *71:8 0
8 *70:8 *107:8 0
9 *70:8 *272:8 0
10 *35:8 io_oeb[11] 0
11 *39:11 *70:8 0
*RES
1 *1250:oeb[11] *70:7 5.34327
2 *70:7 *70:8 2270.08
3 *70:8 *70:10 9
4 *70:10 *70:11 2303
5 *70:11 io_oeb[11] 19.5907
*END
*D_NET *71 0.414786
*CONN
*P io_oeb[12] O
*I *1250:oeb[12] O *D scan_controller
*CAP
1 io_oeb[12] 0.000719873
2 *1250:oeb[12] 0.000464717
3 *71:11 0.111088
4 *71:10 0.110368
5 *71:8 0.0958402
6 *71:7 0.0963049
7 *71:7 *272:7 0
8 *35:8 io_oeb[12] 0
9 *39:11 *71:8 0
10 *70:8 *71:8 0
*RES
1 *1250:oeb[12] *71:7 5.2712
2 *71:7 *71:8 2495.94
3 *71:8 *71:10 9
4 *71:10 *71:11 2303.41
5 *71:11 io_oeb[12] 16.555
*END
*D_NET *72 0.447426
*CONN
*P io_oeb[13] O
*I *1250:oeb[13] O *D scan_controller
*CAP
1 io_oeb[13] 0.000626625
2 *1250:oeb[13] 0.000462839
3 *72:11 0.10873
4 *72:10 0.108103
5 *72:8 0.11452
6 *72:7 0.114983
7 *72:8 *81:8 0
8 *72:8 *83:8 0
9 *72:8 *89:8 0
10 *34:8 *72:11 0
11 *34:11 *72:8 0
12 *35:8 *72:11 0
13 *66:11 *72:8 0
*RES
1 *1250:oeb[13] *72:7 21.0536
2 *72:7 *72:8 2390.07
3 *72:8 *72:10 9
4 *72:10 *72:11 2815.29
5 *72:11 io_oeb[13] 5.9198
*END
*D_NET *73 0.454152
*CONN
*P io_oeb[14] O
*I *1250:oeb[14] O *D scan_controller
*CAP
1 io_oeb[14] 0.00070825
2 *1250:oeb[14] 8.1254e-05
3 *73:14 0.113595
4 *73:13 0.112887
5 *73:11 0.110017
6 *73:9 0.110101
7 *73:7 0.00338216
8 *73:5 0.00337976
*RES
1 *1250:oeb[14] *73:5 2.11607
2 *73:5 *73:7 85.9643
3 *73:7 *73:9 2.17857
4 *73:9 *73:11 2865.15
5 *73:11 *73:13 9
6 *73:13 *73:14 2355.98
7 *73:14 io_oeb[14] 16.2514
*END
*D_NET *74 0.446446
*CONN
*P io_oeb[15] O
*I *1250:oeb[15] O *D scan_controller
*CAP
1 io_oeb[15] 0.000711895
2 *1250:oeb[15] 0.000538574
3 *74:11 0.123282
4 *74:10 0.122571
5 *74:8 0.0994021
6 *74:7 0.0999407
7 *74:8 *76:8 0
8 *74:8 *85:10 0
9 *36:14 *74:8 0
10 *38:14 *74:8 0
11 *43:14 *74:8 0
*RES
1 *1250:oeb[15] *74:7 17.4368
2 *74:7 *74:8 398.107
3 *74:8 *74:10 3.41
4 *74:10 *74:11 538.04
5 *74:11 io_oeb[15] 24.1097
*END
*D_NET *75 0.416758
*CONN
*P io_oeb[16] O
*I *1250:oeb[16] O *D scan_controller
*CAP
1 io_oeb[16] 0.000556093
2 *1250:oeb[16] 4.97124e-05
3 *75:10 0.0944328
4 *75:9 0.0938767
5 *75:7 0.113896
6 *75:5 0.113946
7 *37:8 *75:10 0
8 *39:8 *75:10 0
9 *40:8 *75:10 0
*RES
1 *1250:oeb[16] *75:5 1.29464
2 *75:5 *75:7 2966.17
3 *75:7 *75:9 9
4 *75:9 *75:10 1959.23
5 *75:10 io_oeb[16] 23.4821
*END
*D_NET *76 0.39249
*CONN
*P io_oeb[17] O
*I *1250:oeb[17] O *D scan_controller
*CAP
1 io_oeb[17] 0.000711895
2 *1250:oeb[17] 0.000491947
3 *76:11 0.123234
4 *76:10 0.122522
5 *76:8 0.0725189
6 *76:7 0.0730109
7 *76:8 *85:10 0
8 *38:14 *76:8 0
9 *43:14 *76:8 0
10 *74:8 *76:8 0
*RES
1 *1250:oeb[17] *76:7 16.2225
2 *76:7 *76:8 290.44
3 *76:8 *76:10 3.41
4 *76:10 *76:11 537.827
5 *76:11 io_oeb[17] 24.1097
*END
*D_NET *77 0.345936
*CONN
*P io_oeb[18] O
*I *1250:oeb[18] O *D scan_controller
*CAP
1 io_oeb[18] 0.000521123
2 *1250:oeb[18] 8.1254e-05
3 *77:10 0.0589552
4 *77:9 0.0584341
5 *77:7 0.113931
6 *77:5 0.114013
7 *77:10 *78:17 0
8 *39:8 *77:10 0
9 *40:8 *77:10 0
10 *42:8 *77:10 0
*RES
1 *1250:oeb[18] *77:5 2.11607
2 *77:5 *77:7 2967.08
3 *77:7 *77:9 9
4 *77:9 *77:10 1219.54
5 *77:10 io_oeb[18] 22.5714
*END
*D_NET *78 0.319994
*CONN
*P io_oeb[19] O
*I *1250:oeb[19] O *D scan_controller
*CAP
1 io_oeb[19] 0.000497809
2 *1250:oeb[19] 0.000828573
3 *78:17 0.0437394
4 *78:16 0.0432416
5 *78:14 0.115429
6 *78:13 0.116258
7 *78:14 *90:8 0
8 *78:17 *80:14 0
9 *39:11 *78:13 0
10 *42:8 *78:17 0
11 *77:10 *78:17 0
*RES
1 *1250:oeb[19] *78:13 33.65
2 *78:13 *78:14 3006.09
3 *78:14 *78:16 9
4 *78:16 *78:17 902.464
5 *78:17 io_oeb[19] 21.9643
*END
*D_NET *79 0.257031
*CONN
*P io_oeb[1] O
*I *1250:oeb[1] O *D scan_controller
*CAP
1 io_oeb[1] 0.000518699
2 *1250:oeb[1] 0.000427869
3 *79:11 0.010694
4 *79:10 0.0101753
5 *79:8 0.117394
6 *79:7 0.117821
7 *79:8 *89:8 0
8 *79:8 *102:8 0
9 *79:11 *102:11 0
10 *66:11 *79:8 0
11 *69:8 *79:8 0
*RES
1 *1250:oeb[1] *79:7 20.1429
2 *79:7 *79:8 2450.04
3 *79:8 *79:10 9
4 *79:10 *79:11 264.991
5 *79:11 io_oeb[1] 5.4874
*END
*D_NET *80 0.291919
*CONN
*P io_oeb[20] O
*I *1250:oeb[20] O *D scan_controller
*CAP
1 io_oeb[20] 0.000486153
2 *1250:oeb[20] 6.54832e-05
3 *80:14 0.0317627
4 *80:13 0.0312766
5 *80:11 0.11067
6 *80:9 0.110833
7 *80:7 0.00346101
8 *80:5 0.00336399
9 *80:7 *647:10 0
10 *42:8 *80:14 0
11 *44:8 *80:14 0
12 *78:17 *80:14 0
*RES
1 *1250:oeb[20] *80:5 1.70536
2 *80:5 *80:7 85.9643
3 *80:7 *80:9 4.23214
4 *80:9 *80:11 2882.15
5 *80:11 *80:13 9
6 *80:13 *80:14 652.75
7 *80:14 io_oeb[20] 21.6607
*END
*D_NET *81 0.286509
*CONN
*P io_oeb[21] O
*I *1250:oeb[21] O *D scan_controller
*CAP
1 io_oeb[21] 0.00017605
2 *1250:oeb[21] 0.000497809
3 *81:11 0.118525
4 *81:10 0.118349
5 *81:8 0.0242313
6 *81:7 0.0247291
7 *81:8 *82:8 0
8 *81:8 *83:8 0
9 *81:8 *540:10 0
10 *34:11 *81:8 0
11 *35:11 *81:8 0
12 *72:8 *81:8 0
*RES
1 *1250:oeb[21] *81:7 21.9643
2 *81:7 *81:8 505.714
3 *81:8 *81:10 9
4 *81:10 *81:11 3082.13
5 *81:11 io_oeb[21] 5.34821
*END
*D_NET *82 0.251939
*CONN
*P io_oeb[22] O
*I *1250:oeb[22] O *D scan_controller
*CAP
1 io_oeb[22] 0.000363303
2 *1250:oeb[22] 0.000509466
3 *82:11 0.118625
4 *82:10 0.118262
5 *82:8 0.00683474
6 *82:7 0.00734421
7 *82:8 *130:8 0
8 *82:8 *540:10 0
9 *82:11 *650:11 0
10 *82:11 *652:8 0
11 *82:11 *653:8 0
12 *82:11 *654:8 0
13 *82:11 *671:10 0
14 *81:8 *82:8 0
*RES
1 *1250:oeb[22] *82:7 22.2679
2 *82:7 *82:8 142.643
3 *82:8 *82:10 9
4 *82:10 *82:11 3079.86
5 *82:11 io_oeb[22] 26.1071
*END
*D_NET *83 0.259144
*CONN
*P io_oeb[23] O
*I *1250:oeb[23] O *D scan_controller
*CAP
1 io_oeb[23] 0.00025319
2 *1250:oeb[23] 0.000486084
3 *83:11 0.118591
4 *83:10 0.118338
5 *83:8 0.0104951
6 *83:7 0.0109812
7 *83:8 *89:8 0
8 *83:8 *540:10 0
9 *48:14 *83:11 0
10 *49:14 *83:11 0
11 *72:8 *83:8 0
12 *81:8 *83:8 0
*RES
1 *1250:oeb[23] *83:7 21.6607
2 *83:7 *83:8 219.036
3 *83:8 *83:10 9
4 *83:10 *83:11 3081.83
5 *83:11 io_oeb[23] 6.99107
*END
*D_NET *84 0.222766
*CONN
*P io_oeb[24] O
*I *1250:oeb[24] O *D scan_controller
*CAP
1 io_oeb[24] 0.000626625
2 *1250:oeb[24] 0.000381208
3 *84:19 0.00194057
4 *84:11 0.107476
5 *84:10 0.106162
6 *84:8 0.00289886
7 *84:7 0.00328007
8 *84:8 *86:8 0
9 *84:11 *92:14 0
10 *47:17 *84:8 0
*RES
1 *1250:oeb[24] *84:7 18.9286
2 *84:7 *84:8 60.5
3 *84:8 *84:10 9
4 *84:10 *84:11 2764.75
5 *84:11 *84:19 49.4904
6 *84:19 io_oeb[24] 2.5098
*END
*D_NET *85 0.226159
*CONN
*P io_oeb[25] O
*I *1250:oeb[25] O *D scan_controller
*CAP
1 io_oeb[25] 0.000653655
2 *1250:oeb[25] 0.00667405
3 *85:11 0.106406
4 *85:10 0.112426
5 *46:8 *85:11 0
6 *74:8 *85:10 0
7 *76:8 *85:10 0
*RES
1 *1250:oeb[25] *85:10 43.3642
2 *85:10 *85:11 464.213
3 *85:11 io_oeb[25] 6.0279
*END
*D_NET *86 0.190309
*CONN
*P io_oeb[26] O
*I *1250:oeb[26] O *D scan_controller
*CAP
1 io_oeb[26] 0.000707193
2 *1250:oeb[26] 0.000369552
3 *86:14 0.00248433
4 *86:11 0.0901164
5 *86:10 0.0883392
6 *86:8 0.00396155
7 *86:7 0.0043311
8 *86:7 *131:11 0
9 *86:8 *88:8 0
10 *86:8 *93:8 0
11 *86:11 *95:8 0
12 *47:17 *86:8 0
13 *84:8 *86:8 0
*RES
1 *1250:oeb[26] *86:7 18.625
2 *86:7 *86:8 82.6786
3 *86:8 *86:10 9
4 *86:10 *86:11 2300.59
5 *86:11 *86:14 46.0893
6 *86:14 io_oeb[26] 17.018
*END
*D_NET *87 0.191544
*CONN
*P io_oeb[27] O
*I *1250:oeb[27] O *D scan_controller
*CAP
1 io_oeb[27] 0.00910443
2 *1250:oeb[27] 0.000218412
3 *87:15 0.0955533
4 *87:13 0.0866673
*RES
1 *1250:oeb[27] *87:13 8.82434
2 *87:13 *87:15 379.491
3 *87:15 io_oeb[27] 40.2439
*END
*D_NET *88 0.159516
*CONN
*P io_oeb[28] O
*I *1250:oeb[28] O *D scan_controller
*CAP
1 io_oeb[28] 0.000746503
2 *1250:oeb[28] 0.000357861
3 *88:14 0.00435382
4 *88:13 0.00360732
5 *88:11 0.0703762
6 *88:10 0.0703762
7 *88:8 0.00467001
8 *88:7 0.00502787
9 *88:8 *93:8 0
10 *88:8 *94:8 0
11 *86:8 *88:8 0
*RES
1 *1250:oeb[28] *88:7 18.3214
2 *88:7 *88:8 97.4643
3 *88:8 *88:10 9
4 *88:10 *88:11 1832.79
5 *88:11 *88:13 9
6 *88:13 *88:14 75.2857
7 *88:14 io_oeb[28] 19.2304
*END
*D_NET *89 0.155829
*CONN
*P io_oeb[29] O
*I *1250:oeb[29] O *D scan_controller
*CAP
1 io_oeb[29] 0.000644619
2 *1250:oeb[29] 0.000474496
3 *89:11 0.0664922
4 *89:10 0.0658476
5 *89:8 0.0109477
6 *89:7 0.0114222
7 *89:8 *102:8 0
8 *89:8 *127:8 0
9 *89:8 *133:8 0
10 *89:8 *134:8 0
11 *89:8 *540:10 0
12 *89:11 *127:11 0
13 *89:11 *130:11 0
14 *48:17 *89:8 0
15 *66:11 *89:8 0
16 *69:8 *89:8 0
17 *72:8 *89:8 0
18 *79:8 *89:8 0
19 *83:8 *89:8 0
*RES
1 *1250:oeb[29] *89:7 21.3571
2 *89:7 *89:8 228.482
3 *89:8 *89:10 9
4 *89:10 *89:11 1714.85
5 *89:11 io_oeb[29] 5.99187
*END
*D_NET *90 0.255908
*CONN
*P io_oeb[2] O
*I *1250:oeb[2] O *D scan_controller
*CAP
1 io_oeb[2] 0.000872462
2 *1250:oeb[2] 0.000536694
3 *90:11 0.111122
4 *90:10 0.11025
5 *90:8 0.016295
6 *90:7 0.0168317
7 *90:7 *107:7 0
8 *90:8 *104:8 0
9 *90:8 *107:8 0
10 *90:8 *144:11 0
11 *35:8 io_oeb[2] 0
12 *78:14 *90:8 0
*RES
1 *1250:oeb[2] *90:7 5.55947
2 *90:7 *90:8 424.366
3 *90:8 *90:10 9
4 *90:10 *90:11 2300.95
5 *90:11 io_oeb[2] 19.7349
*END
*D_NET *91 0.117172
*CONN
*P io_oeb[30] O
*I *1250:oeb[30] O *D scan_controller
*CAP
1 io_oeb[30] 0.000993262
2 *1250:oeb[30] 0.000808708
3 *91:14 0.0561574
4 *91:13 0.0567839
5 *91:10 0.00242841
6 io_oeb[30] *127:11 0
7 *91:14 *96:11 0
8 *47:14 *91:14 0
*RES
1 *1250:oeb[30] *91:10 17.6819
2 *91:10 *91:13 42.8036
3 *91:13 *91:14 1436.62
4 *91:14 io_oeb[30] 33.8707
*END
*D_NET *92 0.101112
*CONN
*P io_oeb[31] O
*I *1250:oeb[31] O *D scan_controller
*CAP
1 io_oeb[31] 0.00060867
2 *1250:oeb[31] 0.00153133
3 *92:22 0.00193863
4 *92:14 0.048416
5 *92:13 0.0486174
6 *92:14 *97:14 0
7 *92:22 *127:11 0
8 *84:11 *92:14 0
*RES
1 *1250:oeb[31] *92:13 41.6998
2 *92:13 *92:14 1226.25
3 *92:14 *92:22 49.7046
4 *92:22 io_oeb[31] 2.43773
*END
*D_NET *93 0.0866177
*CONN
*P io_oeb[32] O
*I *1250:oeb[32] O *D scan_controller
*CAP
1 io_oeb[32] 0.000680607
2 *1250:oeb[32] 0.000346238
3 *93:11 0.0354981
4 *93:10 0.0348175
5 *93:8 0.00746448
6 *93:7 0.00781072
7 *93:8 *94:8 0
8 *93:11 *94:11 0
9 *93:11 *130:11 0
10 *47:17 *93:8 0
11 *86:8 *93:8 0
12 *88:8 *93:8 0
*RES
1 *1250:oeb[32] *93:7 18.0179
2 *93:7 *93:8 155.786
3 *93:8 *93:10 9
4 *93:10 *93:11 906.741
5 *93:11 io_oeb[32] 6.136
*END
*D_NET *94 0.0714648
*CONN
*P io_oeb[33] O
*I *1250:oeb[33] O *D scan_controller
*CAP
1 io_oeb[33] 0.000698601
2 *1250:oeb[33] 0.000334581
3 *94:11 0.0265754
4 *94:10 0.0258768
5 *94:8 0.00882236
6 *94:7 0.00915694
7 *94:8 *131:10 0
8 *94:8 *649:8 0
9 *94:11 *130:11 0
10 *94:11 *133:11 0
11 *45:16 *94:8 0
12 *88:8 *94:8 0
13 *93:8 *94:8 0
14 *93:11 *94:11 0
*RES
1 *1250:oeb[33] *94:7 17.7143
2 *94:7 *94:8 184.125
3 *94:8 *94:10 9
4 *94:10 *94:11 673.902
5 *94:11 io_oeb[33] 6.20807
*END
*D_NET *95 0.0467468
*CONN
*P io_oeb[34] O
*I *1250:oeb[34] O *D scan_controller
*CAP
1 io_oeb[34] 0.000630955
2 *1250:oeb[34] 0.000842593
3 *95:11 0.00244745
4 *95:8 0.0218998
5 *95:7 0.0209259
6 io_oeb[34] *127:11 0
7 *95:8 *97:13 0
8 *95:8 *135:10 0
9 *1250:inputs[6] *95:7 0
10 *49:22 *95:8 0
11 *86:11 *95:8 0
*RES
1 *1250:oeb[34] *95:7 6.7846
2 *95:7 *95:8 523.027
3 *95:8 *95:11 46.9107
4 *95:11 io_oeb[34] 15.4281
*END
*D_NET *96 0.0317566
*CONN
*P io_oeb[35] O
*I *1250:oeb[35] O *D scan_controller
*CAP
1 io_oeb[35] 0.000752584
2 *1250:oeb[35] 0.000404556
3 *96:11 0.0129095
4 *96:10 0.0121569
5 *96:8 0.00256431
6 *96:7 0.00296887
7 *96:8 *127:8 0
8 *96:11 *134:11 0
9 *47:14 *96:11 0
10 *91:14 *96:11 0
*RES
1 *1250:oeb[35] *96:7 19.5357
2 *96:7 *96:8 53.5179
3 *96:8 *96:10 9
4 *96:10 *96:11 316.598
5 *96:11 io_oeb[35] 6.42427
*END
*D_NET *97 0.0104032
*CONN
*P io_oeb[36] O
*I *1250:oeb[36] O *D scan_controller
*CAP
1 io_oeb[36] 0.000811124
2 *1250:oeb[36] 0.00144169
3 *97:19 0.0020766
4 *97:14 0.0029488
5 *97:13 0.00312502
6 io_oeb[36] *135:14 0
7 *1250:active_select[7] *97:13 0
8 *92:14 *97:14 0
9 *95:8 *97:13 0
*RES
1 *1250:oeb[36] *97:13 39.4676
2 *97:13 *97:14 43.8393
3 *97:14 *97:19 44.4107
4 *97:19 io_oeb[36] 11.5167
*END
*D_NET *98 0.0281968
*CONN
*P io_oeb[37] O
*I *1250:oeb[37] O *D scan_controller
*CAP
1 io_oeb[37] 0.00860059
2 *1250:oeb[37] 0.00549783
3 *98:13 0.0140984
*RES
1 *1250:oeb[37] *98:13 35.3834
2 *98:13 io_oeb[37] 34.816
*END
*D_NET *99 0.267839
*CONN
*P io_oeb[3] O
*I *1250:oeb[3] O *D scan_controller
*CAP
1 io_oeb[3] 0.000849149
2 *1250:oeb[3] 6.54832e-05
3 *99:10 0.113992
4 *99:9 0.113143
5 *99:7 0.019862
6 *99:5 0.0199275
7 *35:8 io_oeb[3] 0
*RES
1 *1250:oeb[3] *99:5 1.70536
2 *99:5 *99:7 517.259
3 *99:7 *99:9 9
4 *99:9 *99:10 2361.32
5 *99:10 io_oeb[3] 19.1277
*END
*D_NET *100 0.277304
*CONN
*P io_oeb[4] O
*I *1250:oeb[4] O *D scan_controller
*CAP
1 io_oeb[4] 0.00077819
2 *1250:oeb[4] 4.97124e-05
3 *100:10 0.111874
4 *100:9 0.111096
5 *100:7 0.0267278
6 *100:5 0.0267775
7 *100:7 *132:14 0
8 *35:8 io_oeb[4] 0
*RES
1 *1250:oeb[4] *100:5 1.29464
2 *100:5 *100:7 696.062
3 *100:7 *100:9 9
4 *100:9 *100:10 2318.61
5 *100:10 io_oeb[4] 18.0729
*END
*D_NET *101 0.302412
*CONN
*P io_oeb[5] O
*I *1250:oeb[5] O *D scan_controller
*CAP
1 io_oeb[5] 0.000826853
2 *1250:oeb[5] 8.1254e-05
3 *101:14 0.117473
4 *101:13 0.116646
5 *101:11 0.0302858
6 *101:9 0.0303537
7 *101:7 0.00336635
8 *101:5 0.00337972
9 *35:8 io_oeb[5] 0
*RES
1 *1250:oeb[5] *101:5 2.11607
2 *101:5 *101:7 85.9643
3 *101:7 *101:9 1.76786
4 *101:9 *101:11 788.723
5 *101:11 *101:13 9
6 *101:13 *101:14 2434.43
7 *101:14 io_oeb[5] 17.754
*END
*D_NET *102 0.330248
*CONN
*P io_oeb[6] O
*I *1250:oeb[6] O *D scan_controller
*CAP
1 io_oeb[6] 0.000536693
2 *1250:oeb[6] 0.000416213
3 *102:11 0.0448544
4 *102:10 0.0443177
5 *102:8 0.119854
6 *102:7 0.12027
7 *102:8 *103:8 0
8 *102:8 *127:8 0
9 *102:11 *103:11 0
10 *79:8 *102:8 0
11 *79:11 *102:11 0
12 *89:8 *102:8 0
*RES
1 *1250:oeb[6] *102:7 19.8393
2 *102:7 *102:8 2501.38
3 *102:8 *102:10 9
4 *102:10 *102:11 1154.15
5 *102:11 io_oeb[6] 5.55947
*END
*D_NET *103 0.34976
*CONN
*P io_oeb[7] O
*I *1250:oeb[7] O *D scan_controller
*CAP
1 io_oeb[7] 0.000554688
2 *1250:oeb[7] 0.000404556
3 *103:11 0.053953
4 *103:10 0.0533983
5 *103:8 0.120523
6 *103:7 0.120927
7 *103:8 *127:8 0
8 *66:8 *103:11 0
9 *102:8 *103:8 0
10 *102:11 *103:11 0
*RES
1 *1250:oeb[7] *103:7 19.5357
2 *103:7 *103:8 2515.34
3 *103:8 *103:10 9
4 *103:10 *103:11 1390.63
5 *103:11 io_oeb[7] 5.63153
*END
*D_NET *104 0.340686
*CONN
*P io_oeb[8] O
*I *1250:oeb[8] O *D scan_controller
*CAP
1 io_oeb[8] 0.00070825
2 *1250:oeb[8] 0.000518699
3 *104:11 0.111017
4 *104:10 0.110309
5 *104:8 0.058807
6 *104:7 0.0593257
7 *104:8 *107:8 0
8 *35:8 io_oeb[8] 0
9 *90:8 *104:8 0
*RES
1 *1250:oeb[8] *104:7 5.4874
2 *104:7 *104:8 1531.49
3 *104:8 *104:10 9
4 *104:10 *104:11 2302.18
5 *104:11 io_oeb[8] 16.2514
*END
*D_NET *105 0.382455
*CONN
*P io_oeb[9] O
*I *1250:oeb[9] O *D scan_controller
*CAP
1 io_oeb[9] 0.000944321
2 *1250:oeb[9] 0.000482711
3 *105:11 0.122018
4 *105:10 0.121074
5 *105:8 0.0687268
6 *105:7 0.0692095
7 *105:7 *135:10 0
8 *105:8 *646:16 0
9 *35:8 io_oeb[9] 0
10 *40:11 *105:8 0
*RES
1 *1250:oeb[9] *105:7 5.34327
2 *105:7 *105:8 1789.83
3 *105:8 *105:10 9
4 *105:10 *105:11 2526.84
5 *105:11 io_oeb[9] 20.0231
*END
*D_NET *107 0.378404
*CONN
*P io_out[10] O
*I *1250:slow_clk O *D scan_controller
*CAP
1 io_out[10] 0.000849149
2 *1250:slow_clk 0.000500705
3 *107:11 0.111138
4 *107:10 0.110289
5 *107:8 0.0775626
6 *107:7 0.0780633
7 *107:8 *144:11 0
8 *107:8 *272:8 0
9 *35:8 io_out[10] 0
10 *70:8 *107:8 0
11 *90:7 *107:7 0
12 *90:8 *107:8 0
13 *104:8 *107:8 0
*RES
1 *1250:slow_clk *107:7 5.41533
2 *107:7 *107:8 2019.94
3 *107:8 *107:10 9
4 *107:10 *107:11 2301.77
5 *107:11 io_out[10] 19.1277
*END
*D_NET *127 0.149652
*CONN
*P io_out[29] O
*I *1250:outputs[0] O *D scan_controller
*CAP
1 io_out[29] 0.000626625
2 *1250:outputs[0] 0.000427869
3 *127:11 0.0686657
4 *127:10 0.068039
5 *127:8 0.00573269
6 *127:7 0.00616056
7 *127:8 *133:8 0
8 *127:8 *134:8 0
9 *127:8 *540:10 0
10 *127:11 io_out[30] 0
11 *127:11 io_out[32] 0
12 *127:11 io_out[33] 0
13 *127:11 *135:14 0
14 io_oeb[30] *127:11 0
15 io_oeb[34] *127:11 0
16 *48:17 *127:8 0
17 *89:8 *127:8 0
18 *89:11 *127:11 0
19 *92:22 *127:11 0
20 *96:8 *127:8 0
21 *102:8 *127:8 0
22 *103:8 *127:8 0
*RES
1 *1250:outputs[0] *127:7 20.1429
2 *127:7 *127:8 119.643
3 *127:8 *127:10 9
4 *127:10 *127:11 1771.92
5 *127:11 io_out[29] 5.9198
*END
*D_NET *129 0.137422
*CONN
*P io_out[30] O
*I *1250:outputs[1] O *D scan_controller
*CAP
1 io_out[30] 0.000782492
2 *1250:outputs[1] 0.000133
3 *129:13 0.0131865
4 *129:12 0.012404
5 *129:10 0.0553916
6 *129:9 0.0555246
7 *129:10 *132:14 0
8 *129:10 *647:11 0
9 *127:11 io_out[30] 0
*RES
1 *1250:outputs[1] *129:9 3.94267
2 *129:9 *129:10 1442.54
3 *129:10 *129:12 9
4 *129:12 *129:13 258.875
5 *129:13 io_out[30] 19.3745
*END
*D_NET *130 0.123394
*CONN
*P io_out[31] O
*I *1250:outputs[2] O *D scan_controller
*CAP
1 io_out[31] 0.000662613
2 *1250:outputs[2] 0.000532711
3 *130:11 0.0509252
4 *130:10 0.0502626
5 *130:8 0.0102393
6 *130:7 0.010772
7 *130:8 *540:10 0
8 *130:11 io_out[37] 0
9 *130:11 *133:11 0
10 *82:8 *130:8 0
11 *89:11 *130:11 0
12 *93:11 *130:11 0
13 *94:11 *130:11 0
*RES
1 *1250:outputs[2] *130:7 22.875
2 *130:7 *130:8 213.696
3 *130:8 *130:10 9
4 *130:10 *130:11 1308.97
5 *130:11 io_out[31] 6.06393
*END
*D_NET *131 0.0889317
*CONN
*P io_out[32] O
*I *1250:outputs[3] O *D scan_controller
*CAP
1 io_out[32] 0.000606623
2 *1250:outputs[3] 0.00105421
3 *131:14 0.00628028
4 *131:13 0.00567366
5 *131:11 0.0371313
6 *131:10 0.0381855
7 *68:7 *131:11 0
8 *86:7 *131:11 0
9 *94:8 *131:10 0
10 *127:11 io_out[32] 0
*RES
1 *1250:outputs[3] *131:10 41.25
2 *131:10 *131:11 967
3 *131:11 *131:13 9
4 *131:13 *131:14 118.411
5 *131:14 io_out[32] 15.5875
*END
*D_NET *132 0.0884174
*CONN
*P io_out[33] O
*I *1250:outputs[4] O *D scan_controller
*CAP
1 io_out[33] 0.000677581
2 *1250:outputs[4] 0.00109328
3 *132:17 0.0130619
4 *132:16 0.0123843
5 *132:14 0.0300535
6 *132:12 0.0311468
7 *132:12 *647:11 0
8 *132:14 *647:11 0
9 *100:7 *132:14 0
10 *127:11 io_out[33] 0
11 *129:10 *132:14 0
*RES
1 *1250:outputs[4] *132:12 29.5683
2 *132:12 *132:14 782.705
3 *132:14 *132:16 9
4 *132:16 *132:17 258.464
5 *132:17 io_out[33] 16.6424
*END
*D_NET *133 0.0570859
*CONN
*P io_out[34] O
*I *1250:outputs[5] O *D scan_controller
*CAP
1 io_out[34] 0.000716595
2 *1250:outputs[5] 0.000462839
3 *133:11 0.0240989
4 *133:10 0.0233823
5 *133:8 0.00398123
6 *133:7 0.00444407
7 *133:8 *134:8 0
8 *133:11 io_out[37] 0
9 *133:11 *134:11 0
10 *47:14 *133:11 0
11 *89:8 *133:8 0
12 *94:11 *133:11 0
13 *127:8 *133:8 0
14 *130:11 *133:11 0
*RES
1 *1250:outputs[5] *133:7 21.0536
2 *133:7 *133:8 83.0893
3 *133:8 *133:10 9
4 *133:10 *133:11 608.938
5 *133:11 io_out[34] 6.28013
*END
*D_NET *134 0.0405087
*CONN
*P io_out[35] O
*I *1250:outputs[6] O *D scan_controller
*CAP
1 io_out[35] 0.000734589
2 *1250:outputs[6] 0.000451183
3 *134:11 0.0151528
4 *134:10 0.0144183
5 *134:8 0.00465033
6 *134:7 0.00510151
7 *47:14 *134:11 0
8 *48:17 *134:8 0
9 *89:8 *134:8 0
10 *96:11 *134:11 0
11 *127:8 *134:8 0
12 *133:8 *134:8 0
13 *133:11 *134:11 0
*RES
1 *1250:outputs[6] *134:7 20.75
2 *134:7 *134:8 97.0536
3 *134:8 *134:10 9
4 *134:10 *134:11 375.491
5 *134:11 io_out[35] 6.3522
*END
*D_NET *135 0.0159969
*CONN
*P io_out[36] O
*I *1250:outputs[7] O *D scan_controller
*CAP
1 io_out[36] 0.00060867
2 *1250:outputs[7] 0.000832173
3 *135:14 0.00521204
4 *135:13 0.00655762
5 *135:10 0.00278642
6 io_oeb[36] *135:14 0
7 *95:8 *135:10 0
8 *105:7 *135:10 0
9 *127:11 *135:14 0
*RES
1 *1250:outputs[7] *135:10 18.2891
2 *135:10 *135:13 49.7857
3 *135:13 *135:14 119.884
4 *135:14 io_out[36] 5.84773
*END
*D_NET *136 0.0302516
*CONN
*P io_out[37] O
*I *1250:ready O *D scan_controller
*CAP
1 io_out[37] 0.0011692
2 *1250:ready 0.00115902
3 *136:11 0.0139668
4 *136:10 0.0139566
5 *136:10 *272:8 0
6 *136:11 *651:11 0
7 *130:11 io_out[37] 0
8 *133:11 io_out[37] 0
*RES
1 *1250:ready *136:10 35.525
2 *136:10 *136:11 267.089
3 *136:11 io_out[37] 27.8592
*END
*D_NET *144 0.0357621
*CONN
*P la_data_in[0] I
*I *1250:la_scan_clk_in I *D scan_controller
*CAP
1 la_data_in[0] 0.000556059
2 *1250:la_scan_clk_in 0.000482711
3 *144:11 0.00415351
4 *144:10 0.0036708
5 *144:8 0.0131715
6 *144:7 0.0137276
7 *144:8 *272:11 0
8 *144:11 *272:8 0
9 *90:8 *144:11 0
10 *107:8 *144:11 0
*RES
1 la_data_in[0] *144:7 23.4821
2 *144:7 *144:8 274.893
3 *144:8 *144:10 9
4 *144:10 *144:11 95.5982
5 *144:11 *1250:la_scan_clk_in 5.34327
*END
*D_NET *183 0.0585894
*CONN
*P la_data_in[1] I
*I *1250:la_scan_data_in I *D scan_controller
*CAP
1 la_data_in[1] 0.000532745
2 *1250:la_scan_data_in 0.000464698
3 *183:11 0.00401896
4 *183:10 0.00355426
5 *183:8 0.024743
6 *183:7 0.0252757
7 *183:8 *272:11 0
8 *183:8 *541:8 0
9 *183:11 *651:8 0
*RES
1 la_data_in[1] *183:7 22.875
2 *183:7 *183:8 516.393
3 *183:8 *183:10 9
4 *183:10 *183:11 92.5625
5 *183:11 *1250:la_scan_data_in 5.2712
*END
*D_NET *194 0.04488
*CONN
*P la_data_in[2] I
*I *1250:la_scan_select I *D scan_controller
*CAP
1 la_data_in[2] 0.000538608
2 *1250:la_scan_select 0.00582993
3 *194:8 0.0219014
4 *194:7 0.0166101
5 *194:8 *205:8 0
*RES
1 la_data_in[2] *194:7 17.4368
2 *194:7 *194:8 64.3665
3 *194:8 *1250:la_scan_select 37.2104
*END
*D_NET *205 0.050433
*CONN
*P la_data_in[3] I
*I *1250:la_scan_latch_en I *D scan_controller
*CAP
1 la_data_in[3] 0.000515295
2 *1250:la_scan_latch_en 0.00578667
3 *205:8 0.0247012
4 *205:7 0.0194298
5 *194:8 *205:8 0
*RES
1 la_data_in[3] *205:7 16.8296
2 *205:7 *205:8 75.753
3 *205:8 *1250:la_scan_latch_en 36.3514
*END
*D_NET *272 0.0380563
*CONN
*P la_data_out[0] O
*I *1250:la_scan_data_out O *D scan_controller
*CAP
1 la_data_out[0] 0.000544402
2 *1250:la_scan_data_out 0.000464717
3 *272:11 0.0139717
4 *272:10 0.0134273
5 *272:8 0.00459167
6 *272:7 0.00505639
7 *39:11 *272:8 0
8 *70:8 *272:8 0
9 *71:7 *272:7 0
10 *107:8 *272:8 0
11 *136:10 *272:8 0
12 *144:8 *272:11 0
13 *144:11 *272:8 0
14 *183:8 *272:11 0
*RES
1 *1250:la_scan_data_out *272:7 5.2712
2 *272:7 *272:8 119.58
3 *272:8 *272:10 9
4 *272:10 *272:11 280.232
5 *272:11 la_data_out[0] 23.1786
*END
*D_NET *540 0.0267333
*CONN
*P wb_clk_i I
*I *1250:clk I *D scan_controller
*CAP
1 wb_clk_i 8.1254e-05
2 *1250:clk 0.000521123
3 *540:10 0.0110949
4 *540:9 0.0105738
5 *540:7 0.00219043
6 *540:5 0.00227168
7 *81:8 *540:10 0
8 *82:8 *540:10 0
9 *83:8 *540:10 0
10 *89:8 *540:10 0
11 *127:8 *540:10 0
12 *130:8 *540:10 0
*RES
1 wb_clk_i *540:5 2.11607
2 *540:5 *540:7 57.0446
3 *540:7 *540:9 9
4 *540:9 *540:10 220.679
5 *540:10 *1250:clk 22.5714
*END
*D_NET *541 0.0189951
*CONN
*P wb_rst_i I
*I *1250:reset I *D scan_controller
*CAP
1 wb_rst_i 0.000544436
2 *1250:reset 4.97124e-05
3 *541:11 0.00221679
4 *541:10 0.00216708
5 *541:8 0.00673634
6 *541:7 0.00728078
7 *183:8 *541:8 0
*RES
1 wb_rst_i *541:7 23.1786
2 *541:7 *541:8 140.589
3 *541:8 *541:10 9
4 *541:10 *541:11 56.4375
5 *541:11 *1250:reset 1.29464
*END
*D_NET *646 0.0865937
*CONN
*I *1250:scan_clk_in I *D scan_controller
*I *1273:clk_out O *D scanchain
*CAP
1 *1250:scan_clk_in 0.000500686
2 *1273:clk_out 0.000953768
3 *646:16 0.0025104
4 *646:15 0.00200972
5 *646:13 0.0398327
6 *646:12 0.0407864
7 *646:13 *1251:module_data_out[7] 0
8 *646:13 *1252:module_data_out[7] 0
9 *646:13 *1263:module_data_out[7] 0
10 *646:13 *1274:module_data_out[7] 0
11 *646:13 *1275:module_data_out[7] 0
12 *646:13 *652:7 0
13 *646:13 *672:7 0
14 *646:13 *692:7 0
15 *646:13 *712:7 0
16 *646:13 *732:7 0
17 *646:13 *752:7 0
18 *105:8 *646:16 0
*RES
1 *1273:clk_out *646:12 28.7277
2 *646:12 *646:13 159.531
3 *646:13 *646:15 3.41
4 *646:15 *646:16 52.3393
5 *646:16 *1250:scan_clk_in 5.41533
*END
*D_NET *647 0.0146355
*CONN
*I *1251:clk_in I *D scanchain
*I *1250:scan_clk_out O *D scan_controller
*CAP
1 *1251:clk_in 0.000797203
2 *1250:scan_clk_out 0.00125902
3 *647:14 0.00273177
4 *647:11 0.00526153
5 *647:10 0.00458598
6 *1251:clk_in *650:11 0
7 *647:10 *649:14 0
8 *647:11 *649:15 0
9 *80:7 *647:10 0
10 *129:10 *647:11 0
11 *132:12 *647:11 0
12 *132:14 *647:11 0
*RES
1 *1250:scan_clk_out *647:10 45.4643
2 *647:10 *647:11 86.6429
3 *647:11 *647:14 49.375
4 *647:14 *1251:clk_in 17.3783
*END
*D_NET *648 0.084898
*CONN
*I *1250:scan_data_in I *D scan_controller
*I *1273:data_out O *D scanchain
*CAP
1 *1250:scan_data_in 0.000812506
2 *1273:data_out 0.00156325
3 *648:13 0.0408857
4 *648:12 0.0416365
5 *648:12 *1212:10 0
*RES
1 *1273:data_out *648:12 43.0524
2 *648:12 *648:13 836.339
3 *648:13 *1250:scan_data_in 30.1607
*END
*D_NET *649 0.022221
*CONN
*I *1251:data_in I *D scanchain
*I *1250:scan_data_out O *D scan_controller
*CAP
1 *1251:data_in 0.000750576
2 *1250:scan_data_out 0.000229705
3 *649:18 0.00266547
4 *649:15 0.00478724
5 *649:14 0.00424392
6 *649:8 0.00534296
7 *649:7 0.00420109
8 *1251:data_in *650:11 0
9 *45:16 *649:8 0
10 *94:8 *649:8 0
11 *647:10 *649:14 0
12 *647:11 *649:15 0
*RES
1 *1250:scan_data_out *649:7 14.9821
2 *649:7 *649:8 82.8839
3 *649:8 *649:14 37.6875
4 *649:14 *649:15 74.8036
5 *649:15 *649:18 48.9643
6 *649:18 *1251:data_in 16.1641
*END
*D_NET *650 0.0193209
*CONN
*I *1251:latch_enable_in I *D scanchain
*I *1250:scan_latch_en O *D scan_controller
*CAP
1 *1251:latch_enable_in 0.000590558
2 *1250:scan_latch_en 0.000171422
3 *650:11 0.0025362
4 *650:10 0.00194564
5 *650:8 0.00695282
6 *650:7 0.00712424
7 *650:11 *1251:scan_select_in 0
8 *650:11 *653:8 0
9 *1251:clk_in *650:11 0
10 *1251:data_in *650:11 0
11 *82:11 *650:11 0
*RES
1 *1250:scan_latch_en *650:7 13.4643
2 *650:7 *650:8 145.107
3 *650:8 *650:10 9
4 *650:10 *650:11 50.6696
5 *650:11 *1251:latch_enable_in 5.77567
*END
*D_NET *651 0.0324993
*CONN
*I *1251:scan_select_in I *D scanchain
*I *1250:scan_select O *D scan_controller
*CAP
1 *1251:scan_select_in 0.00177218
2 *1250:scan_select 0.00217186
3 *651:11 0.0140778
4 *651:10 0.0123056
5 *651:8 0.00217186
6 *1251:scan_select_in *653:8 0
7 *136:11 *651:11 0
8 *183:11 *651:8 0
9 *650:11 *1251:scan_select_in 0
*RES
1 *1250:scan_select *651:8 49.3343
2 *651:8 *651:10 9
3 *651:10 *651:11 256.821
4 *651:11 *1251:scan_select_in 45.9447
*END
*D_NET *652 0.0221037
*CONN
*I *1252:clk_in I *D scanchain
*I *1251:clk_out O *D scanchain
*CAP
1 *1252:clk_in 0.000806823
2 *1251:clk_out 0.000464717
3 *652:11 0.00683471
4 *652:10 0.00602788
5 *652:8 0.00375243
6 *652:7 0.00421714
7 *1252:clk_in *1252:data_in 0
8 *652:8 *653:8 0
9 *652:8 *654:8 0
10 *652:11 *653:11 0
11 *82:11 *652:8 0
12 *646:13 *652:7 0
*RES
1 *1251:clk_out *652:7 5.2712
2 *652:7 *652:8 97.7232
3 *652:8 *652:10 9
4 *652:10 *652:11 125.804
5 *652:11 *1252:clk_in 19.2151
*END
*D_NET *653 0.0222324
*CONN
*I *1252:data_in I *D scanchain
*I *1251:data_out O *D scanchain
*CAP
1 *1252:data_in 0.00129539
2 *1251:data_out 0.000482711
3 *653:11 0.00738231
4 *653:10 0.00608692
5 *653:8 0.00325119
6 *653:7 0.0037339
7 *1252:data_in *672:8 0
8 *1252:data_in *673:8 0
9 *653:8 *654:8 0
10 *1251:scan_select_in *653:8 0
11 *1252:clk_in *1252:data_in 0
12 *82:11 *653:8 0
13 *650:11 *653:8 0
14 *652:8 *653:8 0
15 *652:11 *653:11 0
*RES
1 *1251:data_out *653:7 5.34327
2 *653:7 *653:8 84.6696
3 *653:8 *653:10 9
4 *653:10 *653:11 127.036
5 *653:11 *1252:data_in 32.7317
*END
*D_NET *654 0.0220778
*CONN
*I *1252:latch_enable_in I *D scanchain
*I *1251:latch_enable_out O *D scanchain
*CAP
1 *1252:latch_enable_in 0.000590598
2 *1251:latch_enable_out 0.00215493
3 *654:14 0.00275771
4 *654:13 0.00216712
5 *654:11 0.00612628
6 *654:10 0.00612628
7 *654:8 0.00215493
8 *654:8 *671:10 0
9 *654:11 *671:11 0
10 *654:14 *671:14 0
11 *654:14 *673:8 0
12 *654:14 *674:8 0
13 *654:14 *691:10 0
14 *68:8 *654:11 0
15 *82:11 *654:8 0
16 *652:8 *654:8 0
17 *653:8 *654:8 0
*RES
1 *1251:latch_enable_out *654:8 48.4957
2 *654:8 *654:10 9
3 *654:10 *654:11 127.857
4 *654:11 *654:13 9
5 *654:13 *654:14 56.4375
6 *654:14 *1252:latch_enable_in 5.77567
*END
*D_NET *655 0.000624708
*CONN
*I *1281:io_in[0] I *D user_module_341535056611770964
*I *1251:module_data_in[0] O *D scanchain
*CAP
1 *1281:io_in[0] 0.000312354
2 *1251:module_data_in[0] 0.000312354
*RES
1 *1251:module_data_in[0] *1281:io_in[0] 1.316
*END
*D_NET *656 0.000624708
*CONN
*I *1281:io_in[1] I *D user_module_341535056611770964
*I *1251:module_data_in[1] O *D scanchain
*CAP
1 *1281:io_in[1] 0.000312354
2 *1251:module_data_in[1] 0.000312354
*RES
1 *1251:module_data_in[1] *1281:io_in[1] 1.316
*END
*D_NET *657 0.000624708
*CONN
*I *1281:io_in[2] I *D user_module_341535056611770964
*I *1251:module_data_in[2] O *D scanchain
*CAP
1 *1281:io_in[2] 0.000312354
2 *1251:module_data_in[2] 0.000312354
*RES
1 *1251:module_data_in[2] *1281:io_in[2] 1.316
*END
*D_NET *658 0.000624708
*CONN
*I *1281:io_in[3] I *D user_module_341535056611770964
*I *1251:module_data_in[3] O *D scanchain
*CAP
1 *1281:io_in[3] 0.000312354
2 *1251:module_data_in[3] 0.000312354
*RES
1 *1251:module_data_in[3] *1281:io_in[3] 1.316
*END
*D_NET *659 0.000624708
*CONN
*I *1281:io_in[4] I *D user_module_341535056611770964
*I *1251:module_data_in[4] O *D scanchain
*CAP
1 *1281:io_in[4] 0.000312354
2 *1251:module_data_in[4] 0.000312354
*RES
1 *1251:module_data_in[4] *1281:io_in[4] 1.316
*END
*D_NET *660 0.000624708
*CONN
*I *1281:io_in[5] I *D user_module_341535056611770964
*I *1251:module_data_in[5] O *D scanchain
*CAP
1 *1281:io_in[5] 0.000312354
2 *1251:module_data_in[5] 0.000312354
*RES
1 *1251:module_data_in[5] *1281:io_in[5] 1.316
*END
*D_NET *661 0.000624708
*CONN
*I *1281:io_in[6] I *D user_module_341535056611770964
*I *1251:module_data_in[6] O *D scanchain
*CAP
1 *1281:io_in[6] 0.000312354
2 *1251:module_data_in[6] 0.000312354
*RES
1 *1251:module_data_in[6] *1281:io_in[6] 1.316
*END
*D_NET *662 0.000624708
*CONN
*I *1281:io_in[7] I *D user_module_341535056611770964
*I *1251:module_data_in[7] O *D scanchain
*CAP
1 *1281:io_in[7] 0.000312354
2 *1251:module_data_in[7] 0.000312354
*RES
1 *1251:module_data_in[7] *1281:io_in[7] 1.316
*END
*D_NET *663 0.000624708
*CONN
*I *1251:module_data_out[0] I *D scanchain
*I *1281:io_out[0] O *D user_module_341535056611770964
*CAP
1 *1251:module_data_out[0] 0.000312354
2 *1281:io_out[0] 0.000312354
3 *1251:module_data_out[0] *1251:module_data_out[1] 0
*RES
1 *1281:io_out[0] *1251:module_data_out[0] 1.316
*END
*D_NET *664 0.000624708
*CONN
*I *1251:module_data_out[1] I *D scanchain
*I *1281:io_out[1] O *D user_module_341535056611770964
*CAP
1 *1251:module_data_out[1] 0.000312354
2 *1281:io_out[1] 0.000312354
3 *1251:module_data_out[0] *1251:module_data_out[1] 0
*RES
1 *1281:io_out[1] *1251:module_data_out[1] 1.316
*END
*D_NET *665 0.000624708
*CONN
*I *1251:module_data_out[2] I *D scanchain
*I *1281:io_out[2] O *D user_module_341535056611770964
*CAP
1 *1251:module_data_out[2] 0.000312354
2 *1281:io_out[2] 0.000312354
*RES
1 *1281:io_out[2] *1251:module_data_out[2] 1.316
*END
*D_NET *666 0.000624708
*CONN
*I *1251:module_data_out[3] I *D scanchain
*I *1281:io_out[3] O *D user_module_341535056611770964
*CAP
1 *1251:module_data_out[3] 0.000312354
2 *1281:io_out[3] 0.000312354
*RES
1 *1281:io_out[3] *1251:module_data_out[3] 1.316
*END
*D_NET *667 0.000624708
*CONN
*I *1251:module_data_out[4] I *D scanchain
*I *1281:io_out[4] O *D user_module_341535056611770964
*CAP
1 *1251:module_data_out[4] 0.000312354
2 *1281:io_out[4] 0.000312354
*RES
1 *1281:io_out[4] *1251:module_data_out[4] 1.316
*END
*D_NET *668 0.000624708
*CONN
*I *1251:module_data_out[5] I *D scanchain
*I *1281:io_out[5] O *D user_module_341535056611770964
*CAP
1 *1251:module_data_out[5] 0.000312354
2 *1281:io_out[5] 0.000312354
*RES
1 *1281:io_out[5] *1251:module_data_out[5] 1.316
*END
*D_NET *669 0.000624708
*CONN
*I *1251:module_data_out[6] I *D scanchain
*I *1281:io_out[6] O *D user_module_341535056611770964
*CAP
1 *1251:module_data_out[6] 0.000312354
2 *1281:io_out[6] 0.000312354
3 *1251:module_data_out[6] *1251:module_data_out[7] 0
*RES
1 *1281:io_out[6] *1251:module_data_out[6] 1.316
*END
*D_NET *670 0.000624708
*CONN
*I *1251:module_data_out[7] I *D scanchain
*I *1281:io_out[7] O *D user_module_341535056611770964
*CAP
1 *1251:module_data_out[7] 0.000312354
2 *1281:io_out[7] 0.000312354
3 *1251:module_data_out[6] *1251:module_data_out[7] 0
4 *646:13 *1251:module_data_out[7] 0
*RES
1 *1281:io_out[7] *1251:module_data_out[7] 1.316
*END
*D_NET *671 0.0221965
*CONN
*I *1252:scan_select_in I *D scanchain
*I *1251:scan_select_out O *D scanchain
*CAP
1 *1252:scan_select_in 0.000608592
2 *1251:scan_select_out 0.00167168
3 *671:14 0.00330026
4 *671:13 0.00269167
5 *671:11 0.00612628
6 *671:10 0.00779797
7 *671:14 *673:8 0
8 *82:11 *671:10 0
9 *654:8 *671:10 0
10 *654:11 *671:11 0
11 *654:14 *671:14 0
*RES
1 *1251:scan_select_out *671:10 44.5142
2 *671:10 *671:11 127.857
3 *671:11 *671:13 9
4 *671:13 *671:14 70.0982
5 *671:14 *1252:scan_select_in 5.84773
*END
*D_NET *672 0.0222223
*CONN
*I *1263:clk_in I *D scanchain
*I *1252:clk_out O *D scanchain
*CAP
1 *1263:clk_in 0.000836474
2 *1252:clk_out 0.000482711
3 *672:11 0.00686436
4 *672:10 0.00602788
5 *672:8 0.00376408
6 *672:7 0.0042468
7 *1263:clk_in *1263:data_in 0
8 *672:8 *673:8 0
9 *672:8 *674:8 0
10 *672:11 *673:11 0
11 *1252:data_in *672:8 0
12 *646:13 *672:7 0
*RES
1 *1252:clk_out *672:7 5.34327
2 *672:7 *672:8 98.0268
3 *672:8 *672:10 9
4 *672:10 *672:11 125.804
5 *672:11 *1263:clk_in 19.5907
*END
*D_NET *673 0.0222578
*CONN
*I *1263:data_in I *D scanchain
*I *1252:data_out O *D scanchain
*CAP
1 *1263:data_in 0.00130172
2 *1252:data_out 0.000500705
3 *673:11 0.00738865
4 *673:10 0.00608692
5 *673:8 0.00323953
6 *673:7 0.00374024
7 *1263:data_in *692:8 0
8 *673:8 *674:8 0
9 *1252:data_in *673:8 0
10 *1263:clk_in *1263:data_in 0
11 *654:14 *673:8 0
12 *671:14 *673:8 0
13 *672:8 *673:8 0
14 *672:11 *673:11 0
*RES
1 *1252:data_out *673:7 5.41533
2 *673:7 *673:8 84.3661
3 *673:8 *673:10 9
4 *673:10 *673:11 127.036
5 *673:11 *1263:data_in 32.5002
*END
*D_NET *674 0.0221497
*CONN
*I *1263:latch_enable_in I *D scanchain
*I *1252:latch_enable_out O *D scanchain
*CAP
1 *1263:latch_enable_in 0.000608513
2 *1252:latch_enable_out 0.00217292
3 *674:14 0.00277563
4 *674:13 0.00216712
5 *674:11 0.00612628
6 *674:10 0.00612628
7 *674:8 0.00217292
8 *674:8 *691:10 0
9 *674:11 *691:11 0
10 *674:14 *691:14 0
11 *674:14 *692:8 0
12 *674:14 *694:8 0
13 *68:8 *674:11 0
14 *654:14 *674:8 0
15 *672:8 *674:8 0
16 *673:8 *674:8 0
*RES
1 *1252:latch_enable_out *674:8 48.5678
2 *674:8 *674:10 9
3 *674:10 *674:11 127.857
4 *674:11 *674:13 9
5 *674:13 *674:14 56.4375
6 *674:14 *1263:latch_enable_in 5.84773
*END
*D_NET *675 0.000575811
*CONN
*I *1282:io_in[0] I *D user_module_341535056611770964
*I *1252:module_data_in[0] O *D scanchain
*CAP
1 *1282:io_in[0] 0.000287906
2 *1252:module_data_in[0] 0.000287906
*RES
1 *1252:module_data_in[0] *1282:io_in[0] 1.15307
*END
*D_NET *676 0.000575811
*CONN
*I *1282:io_in[1] I *D user_module_341535056611770964
*I *1252:module_data_in[1] O *D scanchain
*CAP
1 *1282:io_in[1] 0.000287906
2 *1252:module_data_in[1] 0.000287906
*RES
1 *1252:module_data_in[1] *1282:io_in[1] 1.15307
*END
*D_NET *677 0.000575811
*CONN
*I *1282:io_in[2] I *D user_module_341535056611770964
*I *1252:module_data_in[2] O *D scanchain
*CAP
1 *1282:io_in[2] 0.000287906
2 *1252:module_data_in[2] 0.000287906
*RES
1 *1252:module_data_in[2] *1282:io_in[2] 1.15307
*END
*D_NET *678 0.000575811
*CONN
*I *1282:io_in[3] I *D user_module_341535056611770964
*I *1252:module_data_in[3] O *D scanchain
*CAP
1 *1282:io_in[3] 0.000287906
2 *1252:module_data_in[3] 0.000287906
*RES
1 *1252:module_data_in[3] *1282:io_in[3] 1.15307
*END
*D_NET *679 0.000575811
*CONN
*I *1282:io_in[4] I *D user_module_341535056611770964
*I *1252:module_data_in[4] O *D scanchain
*CAP
1 *1282:io_in[4] 0.000287906
2 *1252:module_data_in[4] 0.000287906
*RES
1 *1252:module_data_in[4] *1282:io_in[4] 1.15307
*END
*D_NET *680 0.000575811
*CONN
*I *1282:io_in[5] I *D user_module_341535056611770964
*I *1252:module_data_in[5] O *D scanchain
*CAP
1 *1282:io_in[5] 0.000287906
2 *1252:module_data_in[5] 0.000287906
*RES
1 *1252:module_data_in[5] *1282:io_in[5] 1.15307
*END
*D_NET *681 0.000575811
*CONN
*I *1282:io_in[6] I *D user_module_341535056611770964
*I *1252:module_data_in[6] O *D scanchain
*CAP
1 *1282:io_in[6] 0.000287906
2 *1252:module_data_in[6] 0.000287906
*RES
1 *1252:module_data_in[6] *1282:io_in[6] 1.15307
*END
*D_NET *682 0.000575811
*CONN
*I *1282:io_in[7] I *D user_module_341535056611770964
*I *1252:module_data_in[7] O *D scanchain
*CAP
1 *1282:io_in[7] 0.000287906
2 *1252:module_data_in[7] 0.000287906
*RES
1 *1252:module_data_in[7] *1282:io_in[7] 1.15307
*END
*D_NET *683 0.000575811
*CONN
*I *1252:module_data_out[0] I *D scanchain
*I *1282:io_out[0] O *D user_module_341535056611770964
*CAP
1 *1252:module_data_out[0] 0.000287906
2 *1282:io_out[0] 0.000287906
*RES
1 *1282:io_out[0] *1252:module_data_out[0] 1.15307
*END
*D_NET *684 0.000575811
*CONN
*I *1252:module_data_out[1] I *D scanchain
*I *1282:io_out[1] O *D user_module_341535056611770964
*CAP
1 *1252:module_data_out[1] 0.000287906
2 *1282:io_out[1] 0.000287906
*RES
1 *1282:io_out[1] *1252:module_data_out[1] 1.15307
*END
*D_NET *685 0.000575811
*CONN
*I *1252:module_data_out[2] I *D scanchain
*I *1282:io_out[2] O *D user_module_341535056611770964
*CAP
1 *1252:module_data_out[2] 0.000287906
2 *1282:io_out[2] 0.000287906
*RES
1 *1282:io_out[2] *1252:module_data_out[2] 1.15307
*END
*D_NET *686 0.000575811
*CONN
*I *1252:module_data_out[3] I *D scanchain
*I *1282:io_out[3] O *D user_module_341535056611770964
*CAP
1 *1252:module_data_out[3] 0.000287906
2 *1282:io_out[3] 0.000287906
*RES
1 *1282:io_out[3] *1252:module_data_out[3] 1.15307
*END
*D_NET *687 0.000575811
*CONN
*I *1252:module_data_out[4] I *D scanchain
*I *1282:io_out[4] O *D user_module_341535056611770964
*CAP
1 *1252:module_data_out[4] 0.000287906
2 *1282:io_out[4] 0.000287906
*RES
1 *1282:io_out[4] *1252:module_data_out[4] 1.15307
*END
*D_NET *688 0.000575811
*CONN
*I *1252:module_data_out[5] I *D scanchain
*I *1282:io_out[5] O *D user_module_341535056611770964
*CAP
1 *1252:module_data_out[5] 0.000287906
2 *1282:io_out[5] 0.000287906
*RES
1 *1282:io_out[5] *1252:module_data_out[5] 1.15307
*END
*D_NET *689 0.000575811
*CONN
*I *1252:module_data_out[6] I *D scanchain
*I *1282:io_out[6] O *D user_module_341535056611770964
*CAP
1 *1252:module_data_out[6] 0.000287906
2 *1282:io_out[6] 0.000287906
*RES
1 *1282:io_out[6] *1252:module_data_out[6] 1.15307
*END
*D_NET *690 0.000575811
*CONN
*I *1252:module_data_out[7] I *D scanchain
*I *1282:io_out[7] O *D user_module_341535056611770964
*CAP
1 *1252:module_data_out[7] 0.000287906
2 *1282:io_out[7] 0.000287906
3 *646:13 *1252:module_data_out[7] 0
*RES
1 *1282:io_out[7] *1252:module_data_out[7] 1.15307
*END
*D_NET *691 0.0222683
*CONN
*I *1263:scan_select_in I *D scanchain
*I *1252:scan_select_out O *D scanchain
*CAP
1 *1263:scan_select_in 0.000626507
2 *1252:scan_select_out 0.00168968
3 *691:14 0.00331818
4 *691:13 0.00269167
5 *691:11 0.00612628
6 *691:10 0.00781596
7 *691:14 *692:8 0
8 *654:14 *691:10 0
9 *674:8 *691:10 0
10 *674:11 *691:11 0
11 *674:14 *691:14 0
*RES
1 *1252:scan_select_out *691:10 44.5863
2 *691:10 *691:11 127.857
3 *691:11 *691:13 9
4 *691:13 *691:14 70.0982
5 *691:14 *1263:scan_select_in 5.9198
*END
*D_NET *692 0.0224382
*CONN
*I *1274:clk_in I *D scanchain
*I *1263:clk_out O *D scanchain
*CAP
1 *1274:clk_in 0.000872462
2 *1263:clk_out 0.000554688
3 *692:11 0.00690035
4 *692:10 0.00602788
5 *692:8 0.00376408
6 *692:7 0.00431877
7 *1274:clk_in *1274:data_in 0
8 *692:8 *693:8 0
9 *692:8 *694:8 0
10 *692:11 *693:11 0
11 *1263:data_in *692:8 0
12 *646:13 *692:7 0
13 *674:14 *692:8 0
14 *691:14 *692:8 0
*RES
1 *1263:clk_out *692:7 5.63153
2 *692:7 *692:8 98.0268
3 *692:8 *692:10 9
4 *692:10 *692:11 125.804
5 *692:11 *1274:clk_in 19.7349
*END
*D_NET *693 0.0222578
*CONN
*I *1274:data_in I *D scanchain
*I *1263:data_out O *D scanchain
*CAP
1 *1274:data_in 0.00128373
2 *1263:data_out 0.000518699
3 *693:11 0.00737065
4 *693:10 0.00608692
5 *693:8 0.00323953
6 *693:7 0.00375823
7 *1274:data_in *712:8 0
8 *693:8 *694:8 0
9 *693:8 *711:10 0
10 *1274:clk_in *1274:data_in 0
11 *692:8 *693:8 0
12 *692:11 *693:11 0
*RES
1 *1263:data_out *693:7 5.4874
2 *693:7 *693:8 84.3661
3 *693:8 *693:10 9
4 *693:10 *693:11 127.036
5 *693:11 *1274:data_in 32.4281
*END
*D_NET *694 0.0221497
*CONN
*I *1274:latch_enable_in I *D scanchain
*I *1263:latch_enable_out O *D scanchain
*CAP
1 *1274:latch_enable_in 0.000590519
2 *1263:latch_enable_out 0.00219092
3 *694:14 0.00275764
4 *694:13 0.00216712
5 *694:11 0.00612628
6 *694:10 0.00612628
7 *694:8 0.00219092
8 *694:8 *711:10 0
9 *694:11 *711:11 0
10 *694:14 *711:14 0
11 *694:14 *712:8 0
12 *694:14 *714:8 0
13 *68:8 *694:11 0
14 *674:14 *694:8 0
15 *692:8 *694:8 0
16 *693:8 *694:8 0
*RES
1 *1263:latch_enable_out *694:8 48.6398
2 *694:8 *694:10 9
3 *694:10 *694:11 127.857
4 *694:11 *694:13 9
5 *694:13 *694:14 56.4375
6 *694:14 *1274:latch_enable_in 5.77567
*END
*D_NET *695 0.000575811
*CONN
*I *1293:io_in[0] I *D user_module_341535056611770964
*I *1263:module_data_in[0] O *D scanchain
*CAP
1 *1293:io_in[0] 0.000287906
2 *1263:module_data_in[0] 0.000287906
*RES
1 *1263:module_data_in[0] *1293:io_in[0] 1.15307
*END
*D_NET *696 0.000575811
*CONN
*I *1293:io_in[1] I *D user_module_341535056611770964
*I *1263:module_data_in[1] O *D scanchain
*CAP
1 *1293:io_in[1] 0.000287906
2 *1263:module_data_in[1] 0.000287906
*RES
1 *1263:module_data_in[1] *1293:io_in[1] 1.15307
*END
*D_NET *697 0.000575811
*CONN
*I *1293:io_in[2] I *D user_module_341535056611770964
*I *1263:module_data_in[2] O *D scanchain
*CAP
1 *1293:io_in[2] 0.000287906
2 *1263:module_data_in[2] 0.000287906
*RES
1 *1263:module_data_in[2] *1293:io_in[2] 1.15307
*END
*D_NET *698 0.000575811
*CONN
*I *1293:io_in[3] I *D user_module_341535056611770964
*I *1263:module_data_in[3] O *D scanchain
*CAP
1 *1293:io_in[3] 0.000287906
2 *1263:module_data_in[3] 0.000287906
*RES
1 *1263:module_data_in[3] *1293:io_in[3] 1.15307
*END
*D_NET *699 0.000575811
*CONN
*I *1293:io_in[4] I *D user_module_341535056611770964
*I *1263:module_data_in[4] O *D scanchain
*CAP
1 *1293:io_in[4] 0.000287906
2 *1263:module_data_in[4] 0.000287906
*RES
1 *1263:module_data_in[4] *1293:io_in[4] 1.15307
*END
*D_NET *700 0.000575811
*CONN
*I *1293:io_in[5] I *D user_module_341535056611770964
*I *1263:module_data_in[5] O *D scanchain
*CAP
1 *1293:io_in[5] 0.000287906
2 *1263:module_data_in[5] 0.000287906
*RES
1 *1263:module_data_in[5] *1293:io_in[5] 1.15307
*END
*D_NET *701 0.000575811
*CONN
*I *1293:io_in[6] I *D user_module_341535056611770964
*I *1263:module_data_in[6] O *D scanchain
*CAP
1 *1293:io_in[6] 0.000287906
2 *1263:module_data_in[6] 0.000287906
*RES
1 *1263:module_data_in[6] *1293:io_in[6] 1.15307
*END
*D_NET *702 0.000575811
*CONN
*I *1293:io_in[7] I *D user_module_341535056611770964
*I *1263:module_data_in[7] O *D scanchain
*CAP
1 *1293:io_in[7] 0.000287906
2 *1263:module_data_in[7] 0.000287906
*RES
1 *1263:module_data_in[7] *1293:io_in[7] 1.15307
*END
*D_NET *703 0.000575811
*CONN
*I *1263:module_data_out[0] I *D scanchain
*I *1293:io_out[0] O *D user_module_341535056611770964
*CAP
1 *1263:module_data_out[0] 0.000287906
2 *1293:io_out[0] 0.000287906
*RES
1 *1293:io_out[0] *1263:module_data_out[0] 1.15307
*END
*D_NET *704 0.000575811
*CONN
*I *1263:module_data_out[1] I *D scanchain
*I *1293:io_out[1] O *D user_module_341535056611770964
*CAP
1 *1263:module_data_out[1] 0.000287906
2 *1293:io_out[1] 0.000287906
*RES
1 *1293:io_out[1] *1263:module_data_out[1] 1.15307
*END
*D_NET *705 0.000575811
*CONN
*I *1263:module_data_out[2] I *D scanchain
*I *1293:io_out[2] O *D user_module_341535056611770964
*CAP
1 *1263:module_data_out[2] 0.000287906
2 *1293:io_out[2] 0.000287906
*RES
1 *1293:io_out[2] *1263:module_data_out[2] 1.15307
*END
*D_NET *706 0.000575811
*CONN
*I *1263:module_data_out[3] I *D scanchain
*I *1293:io_out[3] O *D user_module_341535056611770964
*CAP
1 *1263:module_data_out[3] 0.000287906
2 *1293:io_out[3] 0.000287906
*RES
1 *1293:io_out[3] *1263:module_data_out[3] 1.15307
*END
*D_NET *707 0.000575811
*CONN
*I *1263:module_data_out[4] I *D scanchain
*I *1293:io_out[4] O *D user_module_341535056611770964
*CAP
1 *1263:module_data_out[4] 0.000287906
2 *1293:io_out[4] 0.000287906
*RES
1 *1293:io_out[4] *1263:module_data_out[4] 1.15307
*END
*D_NET *708 0.000575811
*CONN
*I *1263:module_data_out[5] I *D scanchain
*I *1293:io_out[5] O *D user_module_341535056611770964
*CAP
1 *1263:module_data_out[5] 0.000287906
2 *1293:io_out[5] 0.000287906
*RES
1 *1293:io_out[5] *1263:module_data_out[5] 1.15307
*END
*D_NET *709 0.000575811
*CONN
*I *1263:module_data_out[6] I *D scanchain
*I *1293:io_out[6] O *D user_module_341535056611770964
*CAP
1 *1263:module_data_out[6] 0.000287906
2 *1293:io_out[6] 0.000287906
*RES
1 *1293:io_out[6] *1263:module_data_out[6] 1.15307
*END
*D_NET *710 0.000575811
*CONN
*I *1263:module_data_out[7] I *D scanchain
*I *1293:io_out[7] O *D user_module_341535056611770964
*CAP
1 *1263:module_data_out[7] 0.000287906
2 *1293:io_out[7] 0.000287906
3 *646:13 *1263:module_data_out[7] 0
*RES
1 *1293:io_out[7] *1263:module_data_out[7] 1.15307
*END
*D_NET *711 0.0220422
*CONN
*I *1274:scan_select_in I *D scanchain
*I *1263:scan_select_out O *D scanchain
*CAP
1 *1274:scan_select_in 0.000608513
2 *1263:scan_select_out 0.00165369
3 *711:14 0.00330018
4 *711:13 0.00269167
5 *711:11 0.00606724
6 *711:10 0.00772093
7 *711:14 *712:8 0
8 *693:8 *711:10 0
9 *694:8 *711:10 0
10 *694:11 *711:11 0
11 *694:14 *711:14 0
*RES
1 *1263:scan_select_out *711:10 44.4421
2 *711:10 *711:11 126.625
3 *711:11 *711:13 9
4 *711:13 *711:14 70.0982
5 *711:14 *1274:scan_select_in 5.84773
*END
*D_NET *712 0.0224483
*CONN
*I *1275:clk_in I *D scanchain
*I *1274:clk_out O *D scanchain
*CAP
1 *1275:clk_in 0.000836474
2 *1274:clk_out 0.000536693
3 *712:11 0.0069234
4 *712:10 0.00608692
5 *712:8 0.00376408
6 *712:7 0.00430078
7 *1275:clk_in *1275:data_in 0
8 *712:8 *713:8 0
9 *712:8 *714:8 0
10 *712:11 *713:11 0
11 *1274:data_in *712:8 0
12 *646:13 *712:7 0
13 *694:14 *712:8 0
14 *711:14 *712:8 0
*RES
1 *1274:clk_out *712:7 5.55947
2 *712:7 *712:8 98.0268
3 *712:8 *712:10 9
4 *712:10 *712:11 127.036
5 *712:11 *1275:clk_in 19.5907
*END
*D_NET *713 0.0222578
*CONN
*I *1275:data_in I *D scanchain
*I *1274:data_out O *D scanchain
*CAP
1 *1275:data_in 0.00130172
2 *1274:data_out 0.000500705
3 *713:11 0.00738865
4 *713:10 0.00608692
5 *713:8 0.00323953
6 *713:7 0.00374024
7 *1275:data_in *732:8 0
8 *713:8 *714:8 0
9 *713:8 *731:10 0
10 *1275:clk_in *1275:data_in 0
11 *712:8 *713:8 0
12 *712:11 *713:11 0
*RES
1 *1274:data_out *713:7 5.41533
2 *713:7 *713:8 84.3661
3 *713:8 *713:10 9
4 *713:10 *713:11 127.036
5 *713:11 *1275:data_in 32.5002
*END
*D_NET *714 0.0221497
*CONN
*I *1275:latch_enable_in I *D scanchain
*I *1274:latch_enable_out O *D scanchain
*CAP
1 *1275:latch_enable_in 0.000608513
2 *1274:latch_enable_out 0.00217292
3 *714:14 0.00277563
4 *714:13 0.00216712
5 *714:11 0.00612628
6 *714:10 0.00612628
7 *714:8 0.00217292
8 *714:8 *731:10 0
9 *714:11 *731:11 0
10 *714:14 *731:14 0
11 *714:14 *732:8 0
12 *714:14 *734:8 0
13 *68:8 *714:11 0
14 *694:14 *714:8 0
15 *712:8 *714:8 0
16 *713:8 *714:8 0
*RES
1 *1274:latch_enable_out *714:8 48.5678
2 *714:8 *714:10 9
3 *714:10 *714:11 127.857
4 *714:11 *714:13 9
5 *714:13 *714:14 56.4375
6 *714:14 *1275:latch_enable_in 5.84773
*END
*D_NET *715 0.000575811
*CONN
*I *1304:io_in[0] I *D user_module_341535056611770964
*I *1274:module_data_in[0] O *D scanchain
*CAP
1 *1304:io_in[0] 0.000287906
2 *1274:module_data_in[0] 0.000287906
*RES
1 *1274:module_data_in[0] *1304:io_in[0] 1.15307
*END
*D_NET *716 0.000575811
*CONN
*I *1304:io_in[1] I *D user_module_341535056611770964
*I *1274:module_data_in[1] O *D scanchain
*CAP
1 *1304:io_in[1] 0.000287906
2 *1274:module_data_in[1] 0.000287906
*RES
1 *1274:module_data_in[1] *1304:io_in[1] 1.15307
*END
*D_NET *717 0.000575811
*CONN
*I *1304:io_in[2] I *D user_module_341535056611770964
*I *1274:module_data_in[2] O *D scanchain
*CAP
1 *1304:io_in[2] 0.000287906
2 *1274:module_data_in[2] 0.000287906
*RES
1 *1274:module_data_in[2] *1304:io_in[2] 1.15307
*END
*D_NET *718 0.000575811
*CONN
*I *1304:io_in[3] I *D user_module_341535056611770964
*I *1274:module_data_in[3] O *D scanchain
*CAP
1 *1304:io_in[3] 0.000287906
2 *1274:module_data_in[3] 0.000287906
*RES
1 *1274:module_data_in[3] *1304:io_in[3] 1.15307
*END
*D_NET *719 0.000575811
*CONN
*I *1304:io_in[4] I *D user_module_341535056611770964
*I *1274:module_data_in[4] O *D scanchain
*CAP
1 *1304:io_in[4] 0.000287906
2 *1274:module_data_in[4] 0.000287906
*RES
1 *1274:module_data_in[4] *1304:io_in[4] 1.15307
*END
*D_NET *720 0.000575811
*CONN
*I *1304:io_in[5] I *D user_module_341535056611770964
*I *1274:module_data_in[5] O *D scanchain
*CAP
1 *1304:io_in[5] 0.000287906
2 *1274:module_data_in[5] 0.000287906
*RES
1 *1274:module_data_in[5] *1304:io_in[5] 1.15307
*END
*D_NET *721 0.000575811
*CONN
*I *1304:io_in[6] I *D user_module_341535056611770964
*I *1274:module_data_in[6] O *D scanchain
*CAP
1 *1304:io_in[6] 0.000287906
2 *1274:module_data_in[6] 0.000287906
*RES
1 *1274:module_data_in[6] *1304:io_in[6] 1.15307
*END
*D_NET *722 0.000575811
*CONN
*I *1304:io_in[7] I *D user_module_341535056611770964
*I *1274:module_data_in[7] O *D scanchain
*CAP
1 *1304:io_in[7] 0.000287906
2 *1274:module_data_in[7] 0.000287906
*RES
1 *1274:module_data_in[7] *1304:io_in[7] 1.15307
*END
*D_NET *723 0.000575811
*CONN
*I *1274:module_data_out[0] I *D scanchain
*I *1304:io_out[0] O *D user_module_341535056611770964
*CAP
1 *1274:module_data_out[0] 0.000287906
2 *1304:io_out[0] 0.000287906
*RES
1 *1304:io_out[0] *1274:module_data_out[0] 1.15307
*END
*D_NET *724 0.000575811
*CONN
*I *1274:module_data_out[1] I *D scanchain
*I *1304:io_out[1] O *D user_module_341535056611770964
*CAP
1 *1274:module_data_out[1] 0.000287906
2 *1304:io_out[1] 0.000287906
*RES
1 *1304:io_out[1] *1274:module_data_out[1] 1.15307
*END
*D_NET *725 0.000575811
*CONN
*I *1274:module_data_out[2] I *D scanchain
*I *1304:io_out[2] O *D user_module_341535056611770964
*CAP
1 *1274:module_data_out[2] 0.000287906
2 *1304:io_out[2] 0.000287906
*RES
1 *1304:io_out[2] *1274:module_data_out[2] 1.15307
*END
*D_NET *726 0.000575811
*CONN
*I *1274:module_data_out[3] I *D scanchain
*I *1304:io_out[3] O *D user_module_341535056611770964
*CAP
1 *1274:module_data_out[3] 0.000287906
2 *1304:io_out[3] 0.000287906
*RES
1 *1304:io_out[3] *1274:module_data_out[3] 1.15307
*END
*D_NET *727 0.000575811
*CONN
*I *1274:module_data_out[4] I *D scanchain
*I *1304:io_out[4] O *D user_module_341535056611770964
*CAP
1 *1274:module_data_out[4] 0.000287906
2 *1304:io_out[4] 0.000287906
*RES
1 *1304:io_out[4] *1274:module_data_out[4] 1.15307
*END
*D_NET *728 0.000575811
*CONN
*I *1274:module_data_out[5] I *D scanchain
*I *1304:io_out[5] O *D user_module_341535056611770964
*CAP
1 *1274:module_data_out[5] 0.000287906
2 *1304:io_out[5] 0.000287906
*RES
1 *1304:io_out[5] *1274:module_data_out[5] 1.15307
*END
*D_NET *729 0.000575811
*CONN
*I *1274:module_data_out[6] I *D scanchain
*I *1304:io_out[6] O *D user_module_341535056611770964
*CAP
1 *1274:module_data_out[6] 0.000287906
2 *1304:io_out[6] 0.000287906
*RES
1 *1304:io_out[6] *1274:module_data_out[6] 1.15307
*END
*D_NET *730 0.000575811
*CONN
*I *1274:module_data_out[7] I *D scanchain
*I *1304:io_out[7] O *D user_module_341535056611770964
*CAP
1 *1274:module_data_out[7] 0.000287906
2 *1304:io_out[7] 0.000287906
3 *646:13 *1274:module_data_out[7] 0
*RES
1 *1304:io_out[7] *1274:module_data_out[7] 1.15307
*END
*D_NET *731 0.0220422
*CONN
*I *1275:scan_select_in I *D scanchain
*I *1274:scan_select_out O *D scanchain
*CAP
1 *1275:scan_select_in 0.000626507
2 *1274:scan_select_out 0.0016357
3 *731:14 0.00331818
4 *731:13 0.00269167
5 *731:11 0.00606724
6 *731:10 0.00770294
7 *731:14 *732:8 0
8 *713:8 *731:10 0
9 *714:8 *731:10 0
10 *714:11 *731:11 0
11 *714:14 *731:14 0
*RES
1 *1274:scan_select_out *731:10 44.3701
2 *731:10 *731:11 126.625
3 *731:11 *731:13 9
4 *731:13 *731:14 70.0982
5 *731:14 *1275:scan_select_in 5.9198
*END
*D_NET *732 0.0224017
*CONN
*I *1276:clk_in I *D scanchain
*I *1275:clk_out O *D scanchain
*CAP
1 *1276:clk_in 0.000806823
2 *1275:clk_out 0.000554688
3 *732:11 0.00689375
4 *732:10 0.00608692
5 *732:8 0.00375243
6 *732:7 0.00430712
7 *1276:clk_in *1276:data_in 0
8 *732:8 *733:8 0
9 *732:8 *734:8 0
10 *732:11 *733:11 0
11 *1275:data_in *732:8 0
12 *646:13 *732:7 0
13 *714:14 *732:8 0
14 *731:14 *732:8 0
*RES
1 *1275:clk_out *732:7 5.63153
2 *732:7 *732:8 97.7232
3 *732:8 *732:10 9
4 *732:10 *732:11 127.036
5 *732:11 *1276:clk_in 19.2151
*END
*D_NET *733 0.0223044
*CONN
*I *1276:data_in I *D scanchain
*I *1275:data_out O *D scanchain
*CAP
1 *1276:data_in 0.00129539
2 *1275:data_out 0.000518699
3 *733:11 0.00738231
4 *733:10 0.00608692
5 *733:8 0.00325119
6 *733:7 0.00376989
7 *1276:data_in *752:8 0
8 *1276:data_in *753:8 0
9 *733:8 *734:8 0
10 *733:8 *751:10 0
11 *1276:clk_in *1276:data_in 0
12 *732:8 *733:8 0
13 *732:11 *733:11 0
*RES
1 *1275:data_out *733:7 5.4874
2 *733:7 *733:8 84.6696
3 *733:8 *733:10 9
4 *733:10 *733:11 127.036
5 *733:11 *1276:data_in 32.7317
*END
*D_NET *734 0.0221497
*CONN
*I *1276:latch_enable_in I *D scanchain
*I *1275:latch_enable_out O *D scanchain
*CAP
1 *1276:latch_enable_in 0.000590519
2 *1275:latch_enable_out 0.00219092
3 *734:14 0.00275764
4 *734:13 0.00216712
5 *734:11 0.00612628
6 *734:10 0.00612628
7 *734:8 0.00219092
8 *734:8 *751:10 0
9 *734:11 *751:11 0
10 *734:14 *751:14 0
11 *734:14 *752:8 0
12 *734:14 *754:8 0
13 *68:8 *734:11 0
14 *714:14 *734:8 0
15 *732:8 *734:8 0
16 *733:8 *734:8 0
*RES
1 *1275:latch_enable_out *734:8 48.6398
2 *734:8 *734:10 9
3 *734:10 *734:11 127.857
4 *734:11 *734:13 9
5 *734:13 *734:14 56.4375
6 *734:14 *1276:latch_enable_in 5.77567
*END
*D_NET *735 0.000575811
*CONN
*I *1305:io_in[0] I *D user_module_341535056611770964
*I *1275:module_data_in[0] O *D scanchain
*CAP
1 *1305:io_in[0] 0.000287906
2 *1275:module_data_in[0] 0.000287906
*RES
1 *1275:module_data_in[0] *1305:io_in[0] 1.15307
*END
*D_NET *736 0.000575811
*CONN
*I *1305:io_in[1] I *D user_module_341535056611770964
*I *1275:module_data_in[1] O *D scanchain
*CAP
1 *1305:io_in[1] 0.000287906
2 *1275:module_data_in[1] 0.000287906
*RES
1 *1275:module_data_in[1] *1305:io_in[1] 1.15307
*END
*D_NET *737 0.000575811
*CONN
*I *1305:io_in[2] I *D user_module_341535056611770964
*I *1275:module_data_in[2] O *D scanchain
*CAP
1 *1305:io_in[2] 0.000287906
2 *1275:module_data_in[2] 0.000287906
*RES
1 *1275:module_data_in[2] *1305:io_in[2] 1.15307
*END
*D_NET *738 0.000575811
*CONN
*I *1305:io_in[3] I *D user_module_341535056611770964
*I *1275:module_data_in[3] O *D scanchain
*CAP
1 *1305:io_in[3] 0.000287906
2 *1275:module_data_in[3] 0.000287906
*RES
1 *1275:module_data_in[3] *1305:io_in[3] 1.15307
*END
*D_NET *739 0.000575811
*CONN
*I *1305:io_in[4] I *D user_module_341535056611770964
*I *1275:module_data_in[4] O *D scanchain
*CAP
1 *1305:io_in[4] 0.000287906
2 *1275:module_data_in[4] 0.000287906
*RES
1 *1275:module_data_in[4] *1305:io_in[4] 1.15307
*END
*D_NET *740 0.000575811
*CONN
*I *1305:io_in[5] I *D user_module_341535056611770964
*I *1275:module_data_in[5] O *D scanchain
*CAP
1 *1305:io_in[5] 0.000287906
2 *1275:module_data_in[5] 0.000287906
*RES
1 *1275:module_data_in[5] *1305:io_in[5] 1.15307
*END
*D_NET *741 0.000575811
*CONN
*I *1305:io_in[6] I *D user_module_341535056611770964
*I *1275:module_data_in[6] O *D scanchain
*CAP
1 *1305:io_in[6] 0.000287906
2 *1275:module_data_in[6] 0.000287906
*RES
1 *1275:module_data_in[6] *1305:io_in[6] 1.15307
*END
*D_NET *742 0.000575811
*CONN
*I *1305:io_in[7] I *D user_module_341535056611770964
*I *1275:module_data_in[7] O *D scanchain
*CAP
1 *1305:io_in[7] 0.000287906
2 *1275:module_data_in[7] 0.000287906
*RES
1 *1275:module_data_in[7] *1305:io_in[7] 1.15307
*END
*D_NET *743 0.000575811
*CONN
*I *1275:module_data_out[0] I *D scanchain
*I *1305:io_out[0] O *D user_module_341535056611770964
*CAP
1 *1275:module_data_out[0] 0.000287906
2 *1305:io_out[0] 0.000287906
*RES
1 *1305:io_out[0] *1275:module_data_out[0] 1.15307
*END
*D_NET *744 0.000575811
*CONN
*I *1275:module_data_out[1] I *D scanchain
*I *1305:io_out[1] O *D user_module_341535056611770964
*CAP
1 *1275:module_data_out[1] 0.000287906
2 *1305:io_out[1] 0.000287906
*RES
1 *1305:io_out[1] *1275:module_data_out[1] 1.15307
*END
*D_NET *745 0.000575811
*CONN
*I *1275:module_data_out[2] I *D scanchain
*I *1305:io_out[2] O *D user_module_341535056611770964
*CAP
1 *1275:module_data_out[2] 0.000287906
2 *1305:io_out[2] 0.000287906
*RES
1 *1305:io_out[2] *1275:module_data_out[2] 1.15307
*END
*D_NET *746 0.000575811
*CONN
*I *1275:module_data_out[3] I *D scanchain
*I *1305:io_out[3] O *D user_module_341535056611770964
*CAP
1 *1275:module_data_out[3] 0.000287906
2 *1305:io_out[3] 0.000287906
*RES
1 *1305:io_out[3] *1275:module_data_out[3] 1.15307
*END
*D_NET *747 0.000575811
*CONN
*I *1275:module_data_out[4] I *D scanchain
*I *1305:io_out[4] O *D user_module_341535056611770964
*CAP
1 *1275:module_data_out[4] 0.000287906
2 *1305:io_out[4] 0.000287906
*RES
1 *1305:io_out[4] *1275:module_data_out[4] 1.15307
*END
*D_NET *748 0.000575811
*CONN
*I *1275:module_data_out[5] I *D scanchain
*I *1305:io_out[5] O *D user_module_341535056611770964
*CAP
1 *1275:module_data_out[5] 0.000287906
2 *1305:io_out[5] 0.000287906
*RES
1 *1305:io_out[5] *1275:module_data_out[5] 1.15307
*END
*D_NET *749 0.000575811
*CONN
*I *1275:module_data_out[6] I *D scanchain
*I *1305:io_out[6] O *D user_module_341535056611770964
*CAP
1 *1275:module_data_out[6] 0.000287906
2 *1305:io_out[6] 0.000287906
*RES
1 *1305:io_out[6] *1275:module_data_out[6] 1.15307
*END
*D_NET *750 0.000575811
*CONN
*I *1275:module_data_out[7] I *D scanchain
*I *1305:io_out[7] O *D user_module_341535056611770964
*CAP
1 *1275:module_data_out[7] 0.000287906
2 *1305:io_out[7] 0.000287906
3 *646:13 *1275:module_data_out[7] 0
*RES
1 *1305:io_out[7] *1275:module_data_out[7] 1.15307
*END
*D_NET *751 0.0220422
*CONN
*I *1276:scan_select_in I *D scanchain
*I *1275:scan_select_out O *D scanchain
*CAP
1 *1276:scan_select_in 0.000608513
2 *1275:scan_select_out 0.00165369
3 *751:14 0.00330018
4 *751:13 0.00269167
5 *751:11 0.00606724
6 *751:10 0.00772093
7 *751:14 *752:8 0
8 *733:8 *751:10 0
9 *734:8 *751:10 0
10 *734:11 *751:11 0
11 *734:14 *751:14 0
*RES
1 *1275:scan_select_out *751:10 44.4421
2 *751:10 *751:11 126.625
3 *751:11 *751:13 9
4 *751:13 *751:14 70.0982
5 *751:14 *1276:scan_select_in 5.84773
*END
*D_NET *752 0.0224354
*CONN
*I *1277:clk_in I *D scanchain
*I *1276:clk_out O *D scanchain
*CAP
1 *1277:clk_in 0.000644876
2 *1276:clk_out 0.000536693
3 *752:11 0.00692859
4 *752:10 0.00628372
5 *752:8 0.00375243
6 *752:7 0.00428912
7 *1277:clk_in *1277:data_in 0
8 *752:8 *753:8 0
9 *752:8 *754:8 0
10 *752:11 *753:11 0
11 *1276:data_in *752:8 0
12 *646:13 *752:7 0
13 *734:14 *752:8 0
14 *751:14 *752:8 0
*RES
1 *1276:clk_out *752:7 5.55947
2 *752:7 *752:8 97.7232
3 *752:8 *752:10 9
4 *752:10 *752:11 131.143
5 *752:11 *1277:clk_in 18.5665
*END
*D_NET *753 0.022355
*CONN
*I *1277:data_in I *D scanchain
*I *1276:data_out O *D scanchain
*CAP
1 *1277:data_in 0.00104347
2 *1276:data_out 0.000500705
3 *753:11 0.00742558
4 *753:10 0.00638211
5 *753:8 0.00325119
6 *753:7 0.00375189
7 *1277:data_in *772:8 0
8 *753:8 *754:8 0
9 *753:8 *771:10 0
10 *1276:data_in *753:8 0
11 *1277:clk_in *1277:data_in 0
12 *752:8 *753:8 0
13 *752:11 *753:11 0
*RES
1 *1276:data_out *753:7 5.41533
2 *753:7 *753:8 84.6696
3 *753:8 *753:10 9
4 *753:10 *753:11 133.196
5 *753:11 *1277:data_in 31.7227
*END
*D_NET *754 0.0222002
*CONN
*I *1277:latch_enable_in I *D scanchain
*I *1276:latch_enable_out O *D scanchain
*CAP
1 *1277:latch_enable_in 0.000338602
2 *1276:latch_enable_out 0.00217292
3 *754:14 0.00250572
4 *754:13 0.00216712
5 *754:11 0.00642147
6 *754:10 0.00642147
7 *754:8 0.00217292
8 *754:8 *771:10 0
9 *754:11 *771:11 0
10 *754:14 *771:14 0
11 *754:14 *772:8 0
12 *754:14 *774:8 0
13 *68:8 *754:11 0
14 *734:14 *754:8 0
15 *752:8 *754:8 0
16 *753:8 *754:8 0
*RES
1 *1276:latch_enable_out *754:8 48.5678
2 *754:8 *754:10 9
3 *754:10 *754:11 134.018
4 *754:11 *754:13 9
5 *754:13 *754:14 56.4375
6 *754:14 *1277:latch_enable_in 4.76673
*END
*D_NET *755 0.000575811
*CONN
*I *1306:io_in[0] I *D user_module_341535056611770964
*I *1276:module_data_in[0] O *D scanchain
*CAP
1 *1306:io_in[0] 0.000287906
2 *1276:module_data_in[0] 0.000287906
*RES
1 *1276:module_data_in[0] *1306:io_in[0] 1.15307
*END
*D_NET *756 0.000575811
*CONN
*I *1306:io_in[1] I *D user_module_341535056611770964
*I *1276:module_data_in[1] O *D scanchain
*CAP
1 *1306:io_in[1] 0.000287906
2 *1276:module_data_in[1] 0.000287906
*RES
1 *1276:module_data_in[1] *1306:io_in[1] 1.15307
*END
*D_NET *757 0.000575811
*CONN
*I *1306:io_in[2] I *D user_module_341535056611770964
*I *1276:module_data_in[2] O *D scanchain
*CAP
1 *1306:io_in[2] 0.000287906
2 *1276:module_data_in[2] 0.000287906
*RES
1 *1276:module_data_in[2] *1306:io_in[2] 1.15307
*END
*D_NET *758 0.000575811
*CONN
*I *1306:io_in[3] I *D user_module_341535056611770964
*I *1276:module_data_in[3] O *D scanchain
*CAP
1 *1306:io_in[3] 0.000287906
2 *1276:module_data_in[3] 0.000287906
*RES
1 *1276:module_data_in[3] *1306:io_in[3] 1.15307
*END
*D_NET *759 0.000575811
*CONN
*I *1306:io_in[4] I *D user_module_341535056611770964
*I *1276:module_data_in[4] O *D scanchain
*CAP
1 *1306:io_in[4] 0.000287906
2 *1276:module_data_in[4] 0.000287906
*RES
1 *1276:module_data_in[4] *1306:io_in[4] 1.15307
*END
*D_NET *760 0.000575811
*CONN
*I *1306:io_in[5] I *D user_module_341535056611770964
*I *1276:module_data_in[5] O *D scanchain
*CAP
1 *1306:io_in[5] 0.000287906
2 *1276:module_data_in[5] 0.000287906
*RES
1 *1276:module_data_in[5] *1306:io_in[5] 1.15307
*END
*D_NET *761 0.000575811
*CONN
*I *1306:io_in[6] I *D user_module_341535056611770964
*I *1276:module_data_in[6] O *D scanchain
*CAP
1 *1306:io_in[6] 0.000287906
2 *1276:module_data_in[6] 0.000287906
*RES
1 *1276:module_data_in[6] *1306:io_in[6] 1.15307
*END
*D_NET *762 0.000575811
*CONN
*I *1306:io_in[7] I *D user_module_341535056611770964
*I *1276:module_data_in[7] O *D scanchain
*CAP
1 *1306:io_in[7] 0.000287906
2 *1276:module_data_in[7] 0.000287906
*RES
1 *1276:module_data_in[7] *1306:io_in[7] 1.15307
*END
*D_NET *763 0.000575811
*CONN
*I *1276:module_data_out[0] I *D scanchain
*I *1306:io_out[0] O *D user_module_341535056611770964
*CAP
1 *1276:module_data_out[0] 0.000287906
2 *1306:io_out[0] 0.000287906
*RES
1 *1306:io_out[0] *1276:module_data_out[0] 1.15307
*END
*D_NET *764 0.000575811
*CONN
*I *1276:module_data_out[1] I *D scanchain
*I *1306:io_out[1] O *D user_module_341535056611770964
*CAP
1 *1276:module_data_out[1] 0.000287906
2 *1306:io_out[1] 0.000287906
*RES
1 *1306:io_out[1] *1276:module_data_out[1] 1.15307
*END
*D_NET *765 0.000575811
*CONN
*I *1276:module_data_out[2] I *D scanchain
*I *1306:io_out[2] O *D user_module_341535056611770964
*CAP
1 *1276:module_data_out[2] 0.000287906
2 *1306:io_out[2] 0.000287906
*RES
1 *1306:io_out[2] *1276:module_data_out[2] 1.15307
*END
*D_NET *766 0.000575811
*CONN
*I *1276:module_data_out[3] I *D scanchain
*I *1306:io_out[3] O *D user_module_341535056611770964
*CAP
1 *1276:module_data_out[3] 0.000287906
2 *1306:io_out[3] 0.000287906
*RES
1 *1306:io_out[3] *1276:module_data_out[3] 1.15307
*END
*D_NET *767 0.000575811
*CONN
*I *1276:module_data_out[4] I *D scanchain
*I *1306:io_out[4] O *D user_module_341535056611770964
*CAP
1 *1276:module_data_out[4] 0.000287906
2 *1306:io_out[4] 0.000287906
*RES
1 *1306:io_out[4] *1276:module_data_out[4] 1.15307
*END
*D_NET *768 0.000575811
*CONN
*I *1276:module_data_out[5] I *D scanchain
*I *1306:io_out[5] O *D user_module_341535056611770964
*CAP
1 *1276:module_data_out[5] 0.000287906
2 *1306:io_out[5] 0.000287906
*RES
1 *1306:io_out[5] *1276:module_data_out[5] 1.15307
*END
*D_NET *769 0.000575811
*CONN
*I *1276:module_data_out[6] I *D scanchain
*I *1306:io_out[6] O *D user_module_341535056611770964
*CAP
1 *1276:module_data_out[6] 0.000287906
2 *1306:io_out[6] 0.000287906
*RES
1 *1306:io_out[6] *1276:module_data_out[6] 1.15307
*END
*D_NET *770 0.000575811
*CONN
*I *1276:module_data_out[7] I *D scanchain
*I *1306:io_out[7] O *D user_module_341535056611770964
*CAP
1 *1276:module_data_out[7] 0.000287906
2 *1306:io_out[7] 0.000287906
*RES
1 *1306:io_out[7] *1276:module_data_out[7] 1.15307
*END
*D_NET *771 0.0220928
*CONN
*I *1277:scan_select_in I *D scanchain
*I *1276:scan_select_out O *D scanchain
*CAP
1 *1277:scan_select_in 0.000356596
2 *1276:scan_select_out 0.0016357
3 *771:14 0.00304826
4 *771:13 0.00269167
5 *771:11 0.00636243
6 *771:10 0.00799813
7 *771:14 *772:8 0
8 *753:8 *771:10 0
9 *754:8 *771:10 0
10 *754:11 *771:11 0
11 *754:14 *771:14 0
*RES
1 *1276:scan_select_out *771:10 44.3701
2 *771:10 *771:11 132.786
3 *771:11 *771:13 9
4 *771:13 *771:14 70.0982
5 *771:14 *1277:scan_select_in 4.8388
*END
*D_NET *772 0.0214238
*CONN
*I *1278:clk_in I *D scanchain
*I *1277:clk_out O *D scanchain
*CAP
1 *1278:clk_in 0.000674527
2 *1277:clk_out 0.000284776
3 *772:11 0.00666305
4 *772:10 0.00598853
5 *772:8 0.00376408
6 *772:7 0.00404886
7 *1278:clk_in *1278:data_in 0
8 *772:8 *773:8 0
9 *772:8 *774:8 0
10 *772:11 *773:11 0
11 *1277:data_in *772:8 0
12 *754:14 *772:8 0
13 *771:14 *772:8 0
*RES
1 *1277:clk_out *772:7 4.55053
2 *772:7 *772:8 98.0268
3 *772:8 *772:10 9
4 *772:10 *772:11 124.982
5 *772:11 *1278:clk_in 18.9421
*END
*D_NET *773 0.0212501
*CONN
*I *1278:data_in I *D scanchain
*I *1277:data_out O *D scanchain
*CAP
1 *1278:data_in 0.00104981
2 *1277:data_out 0.000248788
3 *773:11 0.00713673
4 *773:10 0.00608692
5 *773:8 0.00323953
6 *773:7 0.00348832
7 *1278:data_in *792:8 0
8 *773:8 *774:8 0
9 *773:8 *791:10 0
10 *1278:clk_in *1278:data_in 0
11 *772:8 *773:8 0
12 *772:11 *773:11 0
*RES
1 *1277:data_out *773:7 4.4064
2 *773:7 *773:8 84.3661
3 *773:8 *773:10 9
4 *773:10 *773:11 127.036
5 *773:11 *1278:data_in 31.4912
*END
*D_NET *774 0.021142
*CONN
*I *1278:latch_enable_in I *D scanchain
*I *1277:latch_enable_out O *D scanchain
*CAP
1 *1278:latch_enable_in 0.000356596
2 *1277:latch_enable_out 0.001921
3 *774:14 0.00252371
4 *774:13 0.00216712
5 *774:11 0.00612628
6 *774:10 0.00612628
7 *774:8 0.001921
8 *774:8 *791:10 0
9 *774:11 *791:11 0
10 *774:14 *791:14 0
11 *774:14 *792:8 0
12 *774:14 *794:8 0
13 *68:8 *774:11 0
14 *754:14 *774:8 0
15 *772:8 *774:8 0
16 *773:8 *774:8 0
*RES
1 *1277:latch_enable_out *774:8 47.5588
2 *774:8 *774:10 9
3 *774:10 *774:11 127.857
4 *774:11 *774:13 9
5 *774:13 *774:14 56.4375
6 *774:14 *1278:latch_enable_in 4.8388
*END
*D_NET *775 0.000575811
*CONN
*I *1307:io_in[0] I *D user_module_341535056611770964
*I *1277:module_data_in[0] O *D scanchain
*CAP
1 *1307:io_in[0] 0.000287906
2 *1277:module_data_in[0] 0.000287906
*RES
1 *1277:module_data_in[0] *1307:io_in[0] 1.15307
*END
*D_NET *776 0.000575811
*CONN
*I *1307:io_in[1] I *D user_module_341535056611770964
*I *1277:module_data_in[1] O *D scanchain
*CAP
1 *1307:io_in[1] 0.000287906
2 *1277:module_data_in[1] 0.000287906
*RES
1 *1277:module_data_in[1] *1307:io_in[1] 1.15307
*END
*D_NET *777 0.000575811
*CONN
*I *1307:io_in[2] I *D user_module_341535056611770964
*I *1277:module_data_in[2] O *D scanchain
*CAP
1 *1307:io_in[2] 0.000287906
2 *1277:module_data_in[2] 0.000287906
*RES
1 *1277:module_data_in[2] *1307:io_in[2] 1.15307
*END
*D_NET *778 0.000575811
*CONN
*I *1307:io_in[3] I *D user_module_341535056611770964
*I *1277:module_data_in[3] O *D scanchain
*CAP
1 *1307:io_in[3] 0.000287906
2 *1277:module_data_in[3] 0.000287906
*RES
1 *1277:module_data_in[3] *1307:io_in[3] 1.15307
*END
*D_NET *779 0.000575811
*CONN
*I *1307:io_in[4] I *D user_module_341535056611770964
*I *1277:module_data_in[4] O *D scanchain
*CAP
1 *1307:io_in[4] 0.000287906
2 *1277:module_data_in[4] 0.000287906
*RES
1 *1277:module_data_in[4] *1307:io_in[4] 1.15307
*END
*D_NET *780 0.000575811
*CONN
*I *1307:io_in[5] I *D user_module_341535056611770964
*I *1277:module_data_in[5] O *D scanchain
*CAP
1 *1307:io_in[5] 0.000287906
2 *1277:module_data_in[5] 0.000287906
*RES
1 *1277:module_data_in[5] *1307:io_in[5] 1.15307
*END
*D_NET *781 0.000575811
*CONN
*I *1307:io_in[6] I *D user_module_341535056611770964
*I *1277:module_data_in[6] O *D scanchain
*CAP
1 *1307:io_in[6] 0.000287906
2 *1277:module_data_in[6] 0.000287906
*RES
1 *1277:module_data_in[6] *1307:io_in[6] 1.15307
*END
*D_NET *782 0.000575811
*CONN
*I *1307:io_in[7] I *D user_module_341535056611770964
*I *1277:module_data_in[7] O *D scanchain
*CAP
1 *1307:io_in[7] 0.000287906
2 *1277:module_data_in[7] 0.000287906
*RES
1 *1277:module_data_in[7] *1307:io_in[7] 1.15307
*END
*D_NET *783 0.000575811
*CONN
*I *1277:module_data_out[0] I *D scanchain
*I *1307:io_out[0] O *D user_module_341535056611770964
*CAP
1 *1277:module_data_out[0] 0.000287906
2 *1307:io_out[0] 0.000287906
*RES
1 *1307:io_out[0] *1277:module_data_out[0] 1.15307
*END
*D_NET *784 0.000575811
*CONN
*I *1277:module_data_out[1] I *D scanchain
*I *1307:io_out[1] O *D user_module_341535056611770964
*CAP
1 *1277:module_data_out[1] 0.000287906
2 *1307:io_out[1] 0.000287906
*RES
1 *1307:io_out[1] *1277:module_data_out[1] 1.15307
*END
*D_NET *785 0.000575811
*CONN
*I *1277:module_data_out[2] I *D scanchain
*I *1307:io_out[2] O *D user_module_341535056611770964
*CAP
1 *1277:module_data_out[2] 0.000287906
2 *1307:io_out[2] 0.000287906
*RES
1 *1307:io_out[2] *1277:module_data_out[2] 1.15307
*END
*D_NET *786 0.000575811
*CONN
*I *1277:module_data_out[3] I *D scanchain
*I *1307:io_out[3] O *D user_module_341535056611770964
*CAP
1 *1277:module_data_out[3] 0.000287906
2 *1307:io_out[3] 0.000287906
*RES
1 *1307:io_out[3] *1277:module_data_out[3] 1.15307
*END
*D_NET *787 0.000575811
*CONN
*I *1277:module_data_out[4] I *D scanchain
*I *1307:io_out[4] O *D user_module_341535056611770964
*CAP
1 *1277:module_data_out[4] 0.000287906
2 *1307:io_out[4] 0.000287906
*RES
1 *1307:io_out[4] *1277:module_data_out[4] 1.15307
*END
*D_NET *788 0.000575811
*CONN
*I *1277:module_data_out[5] I *D scanchain
*I *1307:io_out[5] O *D user_module_341535056611770964
*CAP
1 *1277:module_data_out[5] 0.000287906
2 *1307:io_out[5] 0.000287906
*RES
1 *1307:io_out[5] *1277:module_data_out[5] 1.15307
*END
*D_NET *789 0.000575811
*CONN
*I *1277:module_data_out[6] I *D scanchain
*I *1307:io_out[6] O *D user_module_341535056611770964
*CAP
1 *1277:module_data_out[6] 0.000287906
2 *1307:io_out[6] 0.000287906
*RES
1 *1307:io_out[6] *1277:module_data_out[6] 1.15307
*END
*D_NET *790 0.000575811
*CONN
*I *1277:module_data_out[7] I *D scanchain
*I *1307:io_out[7] O *D user_module_341535056611770964
*CAP
1 *1277:module_data_out[7] 0.000287906
2 *1307:io_out[7] 0.000287906
*RES
1 *1307:io_out[7] *1277:module_data_out[7] 1.15307
*END
*D_NET *791 0.0210346
*CONN
*I *1278:scan_select_in I *D scanchain
*I *1277:scan_select_out O *D scanchain
*CAP
1 *1278:scan_select_in 0.00037459
2 *1277:scan_select_out 0.00138378
3 *791:14 0.00306626
4 *791:13 0.00269167
5 *791:11 0.00606724
6 *791:10 0.00745102
7 *791:14 *792:8 0
8 *773:8 *791:10 0
9 *774:8 *791:10 0
10 *774:11 *791:11 0
11 *774:14 *791:14 0
*RES
1 *1277:scan_select_out *791:10 43.3611
2 *791:10 *791:11 126.625
3 *791:11 *791:13 9
4 *791:13 *791:14 70.0982
5 *791:14 *1278:scan_select_in 4.91087
*END
*D_NET *792 0.0214238
*CONN
*I *1279:clk_in I *D scanchain
*I *1278:clk_out O *D scanchain
*CAP
1 *1279:clk_in 0.000656533
2 *1278:clk_out 0.00030277
3 *792:11 0.00664506
4 *792:10 0.00598853
5 *792:8 0.00376408
6 *792:7 0.00406685
7 *1279:clk_in *1279:data_in 0
8 *792:8 *793:8 0
9 *792:8 *794:8 0
10 *792:11 *793:11 0
11 *1278:data_in *792:8 0
12 *774:14 *792:8 0
13 *791:14 *792:8 0
*RES
1 *1278:clk_out *792:7 4.6226
2 *792:7 *792:8 98.0268
3 *792:8 *792:10 9
4 *792:10 *792:11 124.982
5 *792:11 *1279:clk_in 18.8701
*END
*D_NET *793 0.0212501
*CONN
*I *1279:data_in I *D scanchain
*I *1278:data_out O *D scanchain
*CAP
1 *1279:data_in 0.00103181
2 *1278:data_out 0.000266782
3 *793:11 0.00711874
4 *793:10 0.00608692
5 *793:8 0.00323953
6 *793:7 0.00350631
7 *1279:data_in *812:8 0
8 *793:8 *794:8 0
9 *793:8 *811:10 0
10 *1279:clk_in *1279:data_in 0
11 *792:8 *793:8 0
12 *792:11 *793:11 0
*RES
1 *1278:data_out *793:7 4.47847
2 *793:7 *793:8 84.3661
3 *793:8 *793:10 9
4 *793:10 *793:11 127.036
5 *793:11 *1279:data_in 31.4192
*END
*D_NET *794 0.021142
*CONN
*I *1279:latch_enable_in I *D scanchain
*I *1278:latch_enable_out O *D scanchain
*CAP
1 *1279:latch_enable_in 0.000338602
2 *1278:latch_enable_out 0.001939
3 *794:14 0.00250572
4 *794:13 0.00216712
5 *794:11 0.00612628
6 *794:10 0.00612628
7 *794:8 0.001939
8 *794:8 *811:10 0
9 *794:11 *811:11 0
10 *794:14 *811:14 0
11 *794:14 *812:8 0
12 *794:14 *814:8 0
13 *68:8 *794:11 0
14 *774:14 *794:8 0
15 *792:8 *794:8 0
16 *793:8 *794:8 0
*RES
1 *1278:latch_enable_out *794:8 47.6309
2 *794:8 *794:10 9
3 *794:10 *794:11 127.857
4 *794:11 *794:13 9
5 *794:13 *794:14 56.4375
6 *794:14 *1279:latch_enable_in 4.76673
*END
*D_NET *795 0.000575811
*CONN
*I *1308:io_in[0] I *D user_module_341535056611770964
*I *1278:module_data_in[0] O *D scanchain
*CAP
1 *1308:io_in[0] 0.000287906
2 *1278:module_data_in[0] 0.000287906
*RES
1 *1278:module_data_in[0] *1308:io_in[0] 1.15307
*END
*D_NET *796 0.000575811
*CONN
*I *1308:io_in[1] I *D user_module_341535056611770964
*I *1278:module_data_in[1] O *D scanchain
*CAP
1 *1308:io_in[1] 0.000287906
2 *1278:module_data_in[1] 0.000287906
*RES
1 *1278:module_data_in[1] *1308:io_in[1] 1.15307
*END
*D_NET *797 0.000575811
*CONN
*I *1308:io_in[2] I *D user_module_341535056611770964
*I *1278:module_data_in[2] O *D scanchain
*CAP
1 *1308:io_in[2] 0.000287906
2 *1278:module_data_in[2] 0.000287906
*RES
1 *1278:module_data_in[2] *1308:io_in[2] 1.15307
*END
*D_NET *798 0.000575811
*CONN
*I *1308:io_in[3] I *D user_module_341535056611770964
*I *1278:module_data_in[3] O *D scanchain
*CAP
1 *1308:io_in[3] 0.000287906
2 *1278:module_data_in[3] 0.000287906
*RES
1 *1278:module_data_in[3] *1308:io_in[3] 1.15307
*END
*D_NET *799 0.000575811
*CONN
*I *1308:io_in[4] I *D user_module_341535056611770964
*I *1278:module_data_in[4] O *D scanchain
*CAP
1 *1308:io_in[4] 0.000287906
2 *1278:module_data_in[4] 0.000287906
*RES
1 *1278:module_data_in[4] *1308:io_in[4] 1.15307
*END
*D_NET *800 0.000575811
*CONN
*I *1308:io_in[5] I *D user_module_341535056611770964
*I *1278:module_data_in[5] O *D scanchain
*CAP
1 *1308:io_in[5] 0.000287906
2 *1278:module_data_in[5] 0.000287906
*RES
1 *1278:module_data_in[5] *1308:io_in[5] 1.15307
*END
*D_NET *801 0.000575811
*CONN
*I *1308:io_in[6] I *D user_module_341535056611770964
*I *1278:module_data_in[6] O *D scanchain
*CAP
1 *1308:io_in[6] 0.000287906
2 *1278:module_data_in[6] 0.000287906
*RES
1 *1278:module_data_in[6] *1308:io_in[6] 1.15307
*END
*D_NET *802 0.000575811
*CONN
*I *1308:io_in[7] I *D user_module_341535056611770964
*I *1278:module_data_in[7] O *D scanchain
*CAP
1 *1308:io_in[7] 0.000287906
2 *1278:module_data_in[7] 0.000287906
*RES
1 *1278:module_data_in[7] *1308:io_in[7] 1.15307
*END
*D_NET *803 0.000575811
*CONN
*I *1278:module_data_out[0] I *D scanchain
*I *1308:io_out[0] O *D user_module_341535056611770964
*CAP
1 *1278:module_data_out[0] 0.000287906
2 *1308:io_out[0] 0.000287906
*RES
1 *1308:io_out[0] *1278:module_data_out[0] 1.15307
*END
*D_NET *804 0.000575811
*CONN
*I *1278:module_data_out[1] I *D scanchain
*I *1308:io_out[1] O *D user_module_341535056611770964
*CAP
1 *1278:module_data_out[1] 0.000287906
2 *1308:io_out[1] 0.000287906
*RES
1 *1308:io_out[1] *1278:module_data_out[1] 1.15307
*END
*D_NET *805 0.000575811
*CONN
*I *1278:module_data_out[2] I *D scanchain
*I *1308:io_out[2] O *D user_module_341535056611770964
*CAP
1 *1278:module_data_out[2] 0.000287906
2 *1308:io_out[2] 0.000287906
*RES
1 *1308:io_out[2] *1278:module_data_out[2] 1.15307
*END
*D_NET *806 0.000575811
*CONN
*I *1278:module_data_out[3] I *D scanchain
*I *1308:io_out[3] O *D user_module_341535056611770964
*CAP
1 *1278:module_data_out[3] 0.000287906
2 *1308:io_out[3] 0.000287906
*RES
1 *1308:io_out[3] *1278:module_data_out[3] 1.15307
*END
*D_NET *807 0.000575811
*CONN
*I *1278:module_data_out[4] I *D scanchain
*I *1308:io_out[4] O *D user_module_341535056611770964
*CAP
1 *1278:module_data_out[4] 0.000287906
2 *1308:io_out[4] 0.000287906
*RES
1 *1308:io_out[4] *1278:module_data_out[4] 1.15307
*END
*D_NET *808 0.000575811
*CONN
*I *1278:module_data_out[5] I *D scanchain
*I *1308:io_out[5] O *D user_module_341535056611770964
*CAP
1 *1278:module_data_out[5] 0.000287906
2 *1308:io_out[5] 0.000287906
*RES
1 *1308:io_out[5] *1278:module_data_out[5] 1.15307
*END
*D_NET *809 0.000575811
*CONN
*I *1278:module_data_out[6] I *D scanchain
*I *1308:io_out[6] O *D user_module_341535056611770964
*CAP
1 *1278:module_data_out[6] 0.000287906
2 *1308:io_out[6] 0.000287906
*RES
1 *1308:io_out[6] *1278:module_data_out[6] 1.15307
*END
*D_NET *810 0.000575811
*CONN
*I *1278:module_data_out[7] I *D scanchain
*I *1308:io_out[7] O *D user_module_341535056611770964
*CAP
1 *1278:module_data_out[7] 0.000287906
2 *1308:io_out[7] 0.000287906
*RES
1 *1308:io_out[7] *1278:module_data_out[7] 1.15307
*END
*D_NET *811 0.0210346
*CONN
*I *1279:scan_select_in I *D scanchain
*I *1278:scan_select_out O *D scanchain
*CAP
1 *1279:scan_select_in 0.000356596
2 *1278:scan_select_out 0.00140177
3 *811:14 0.00304826
4 *811:13 0.00269167
5 *811:11 0.00606724
6 *811:10 0.00746902
7 *811:14 *812:8 0
8 *793:8 *811:10 0
9 *794:8 *811:10 0
10 *794:11 *811:11 0
11 *794:14 *811:14 0
*RES
1 *1278:scan_select_out *811:10 43.4332
2 *811:10 *811:11 126.625
3 *811:11 *811:13 9
4 *811:13 *811:14 70.0982
5 *811:14 *1279:scan_select_in 4.8388
*END
*D_NET *812 0.0213772
*CONN
*I *1280:clk_in I *D scanchain
*I *1279:clk_out O *D scanchain
*CAP
1 *1280:clk_in 0.00066287
2 *1279:clk_out 0.000284776
3 *812:11 0.0066514
4 *812:10 0.00598853
5 *812:8 0.00375243
6 *812:7 0.0040372
7 *1280:clk_in *1280:data_in 0
8 *812:8 *813:8 0
9 *812:8 *814:8 0
10 *812:11 *813:11 0
11 *1279:data_in *812:8 0
12 *794:14 *812:8 0
13 *811:14 *812:8 0
*RES
1 *1279:clk_out *812:7 4.55053
2 *812:7 *812:8 97.7232
3 *812:8 *812:10 9
4 *812:10 *812:11 124.982
5 *812:11 *1280:clk_in 18.6385
*END
*D_NET *813 0.0212967
*CONN
*I *1280:data_in I *D scanchain
*I *1279:data_out O *D scanchain
*CAP
1 *1280:data_in 0.00106146
2 *1279:data_out 0.000248788
3 *813:11 0.00714839
4 *813:10 0.00608692
5 *813:8 0.00325119
6 *813:7 0.00349998
7 *1280:data_in *832:8 0
8 *1280:data_in *833:8 0
9 *813:8 *814:8 0
10 *813:8 *831:10 0
11 *1280:clk_in *1280:data_in 0
12 *812:8 *813:8 0
13 *812:11 *813:11 0
*RES
1 *1279:data_out *813:7 4.4064
2 *813:7 *813:8 84.6696
3 *813:8 *813:10 9
4 *813:10 *813:11 127.036
5 *813:11 *1280:data_in 31.7948
*END
*D_NET *814 0.021142
*CONN
*I *1280:latch_enable_in I *D scanchain
*I *1279:latch_enable_out O *D scanchain
*CAP
1 *1280:latch_enable_in 0.000356596
2 *1279:latch_enable_out 0.001921
3 *814:14 0.00252371
4 *814:13 0.00216712
5 *814:11 0.00612628
6 *814:10 0.00612628
7 *814:8 0.001921
8 *814:8 *831:10 0
9 *814:11 *831:11 0
10 *814:14 *831:14 0
11 *814:14 *832:8 0
12 *814:14 *834:8 0
13 *68:8 *814:11 0
14 *794:14 *814:8 0
15 *812:8 *814:8 0
16 *813:8 *814:8 0
*RES
1 *1279:latch_enable_out *814:8 47.5588
2 *814:8 *814:10 9
3 *814:10 *814:11 127.857
4 *814:11 *814:13 9
5 *814:13 *814:14 56.4375
6 *814:14 *1280:latch_enable_in 4.8388
*END
*D_NET *815 0.000575811
*CONN
*I *1309:io_in[0] I *D user_module_341535056611770964
*I *1279:module_data_in[0] O *D scanchain
*CAP
1 *1309:io_in[0] 0.000287906
2 *1279:module_data_in[0] 0.000287906
*RES
1 *1279:module_data_in[0] *1309:io_in[0] 1.15307
*END
*D_NET *816 0.000575811
*CONN
*I *1309:io_in[1] I *D user_module_341535056611770964
*I *1279:module_data_in[1] O *D scanchain
*CAP
1 *1309:io_in[1] 0.000287906
2 *1279:module_data_in[1] 0.000287906
*RES
1 *1279:module_data_in[1] *1309:io_in[1] 1.15307
*END
*D_NET *817 0.000575811
*CONN
*I *1309:io_in[2] I *D user_module_341535056611770964
*I *1279:module_data_in[2] O *D scanchain
*CAP
1 *1309:io_in[2] 0.000287906
2 *1279:module_data_in[2] 0.000287906
*RES
1 *1279:module_data_in[2] *1309:io_in[2] 1.15307
*END
*D_NET *818 0.000575811
*CONN
*I *1309:io_in[3] I *D user_module_341535056611770964
*I *1279:module_data_in[3] O *D scanchain
*CAP
1 *1309:io_in[3] 0.000287906
2 *1279:module_data_in[3] 0.000287906
*RES
1 *1279:module_data_in[3] *1309:io_in[3] 1.15307
*END
*D_NET *819 0.000575811
*CONN
*I *1309:io_in[4] I *D user_module_341535056611770964
*I *1279:module_data_in[4] O *D scanchain
*CAP
1 *1309:io_in[4] 0.000287906
2 *1279:module_data_in[4] 0.000287906
*RES
1 *1279:module_data_in[4] *1309:io_in[4] 1.15307
*END
*D_NET *820 0.000575811
*CONN
*I *1309:io_in[5] I *D user_module_341535056611770964
*I *1279:module_data_in[5] O *D scanchain
*CAP
1 *1309:io_in[5] 0.000287906
2 *1279:module_data_in[5] 0.000287906
*RES
1 *1279:module_data_in[5] *1309:io_in[5] 1.15307
*END
*D_NET *821 0.000575811
*CONN
*I *1309:io_in[6] I *D user_module_341535056611770964
*I *1279:module_data_in[6] O *D scanchain
*CAP
1 *1309:io_in[6] 0.000287906
2 *1279:module_data_in[6] 0.000287906
*RES
1 *1279:module_data_in[6] *1309:io_in[6] 1.15307
*END
*D_NET *822 0.000575811
*CONN
*I *1309:io_in[7] I *D user_module_341535056611770964
*I *1279:module_data_in[7] O *D scanchain
*CAP
1 *1309:io_in[7] 0.000287906
2 *1279:module_data_in[7] 0.000287906
*RES
1 *1279:module_data_in[7] *1309:io_in[7] 1.15307
*END
*D_NET *823 0.000575811
*CONN
*I *1279:module_data_out[0] I *D scanchain
*I *1309:io_out[0] O *D user_module_341535056611770964
*CAP
1 *1279:module_data_out[0] 0.000287906
2 *1309:io_out[0] 0.000287906
*RES
1 *1309:io_out[0] *1279:module_data_out[0] 1.15307
*END
*D_NET *824 0.000575811
*CONN
*I *1279:module_data_out[1] I *D scanchain
*I *1309:io_out[1] O *D user_module_341535056611770964
*CAP
1 *1279:module_data_out[1] 0.000287906
2 *1309:io_out[1] 0.000287906
*RES
1 *1309:io_out[1] *1279:module_data_out[1] 1.15307
*END
*D_NET *825 0.000575811
*CONN
*I *1279:module_data_out[2] I *D scanchain
*I *1309:io_out[2] O *D user_module_341535056611770964
*CAP
1 *1279:module_data_out[2] 0.000287906
2 *1309:io_out[2] 0.000287906
*RES
1 *1309:io_out[2] *1279:module_data_out[2] 1.15307
*END
*D_NET *826 0.000575811
*CONN
*I *1279:module_data_out[3] I *D scanchain
*I *1309:io_out[3] O *D user_module_341535056611770964
*CAP
1 *1279:module_data_out[3] 0.000287906
2 *1309:io_out[3] 0.000287906
*RES
1 *1309:io_out[3] *1279:module_data_out[3] 1.15307
*END
*D_NET *827 0.000575811
*CONN
*I *1279:module_data_out[4] I *D scanchain
*I *1309:io_out[4] O *D user_module_341535056611770964
*CAP
1 *1279:module_data_out[4] 0.000287906
2 *1309:io_out[4] 0.000287906
*RES
1 *1309:io_out[4] *1279:module_data_out[4] 1.15307
*END
*D_NET *828 0.000575811
*CONN
*I *1279:module_data_out[5] I *D scanchain
*I *1309:io_out[5] O *D user_module_341535056611770964
*CAP
1 *1279:module_data_out[5] 0.000287906
2 *1309:io_out[5] 0.000287906
*RES
1 *1309:io_out[5] *1279:module_data_out[5] 1.15307
*END
*D_NET *829 0.000575811
*CONN
*I *1279:module_data_out[6] I *D scanchain
*I *1309:io_out[6] O *D user_module_341535056611770964
*CAP
1 *1279:module_data_out[6] 0.000287906
2 *1309:io_out[6] 0.000287906
*RES
1 *1309:io_out[6] *1279:module_data_out[6] 1.15307
*END
*D_NET *830 0.000575811
*CONN
*I *1279:module_data_out[7] I *D scanchain
*I *1309:io_out[7] O *D user_module_341535056611770964
*CAP
1 *1279:module_data_out[7] 0.000287906
2 *1309:io_out[7] 0.000287906
*RES
1 *1309:io_out[7] *1279:module_data_out[7] 1.15307
*END
*D_NET *831 0.0210346
*CONN
*I *1280:scan_select_in I *D scanchain
*I *1279:scan_select_out O *D scanchain
*CAP
1 *1280:scan_select_in 0.00037459
2 *1279:scan_select_out 0.00138378
3 *831:14 0.00306626
4 *831:13 0.00269167
5 *831:11 0.00606724
6 *831:10 0.00745102
7 *831:14 *832:8 0
8 *813:8 *831:10 0
9 *814:8 *831:10 0
10 *814:11 *831:11 0
11 *814:14 *831:14 0
*RES
1 *1279:scan_select_out *831:10 43.3611
2 *831:10 *831:11 126.625
3 *831:11 *831:13 9
4 *831:13 *831:14 70.0982
5 *831:14 *1280:scan_select_in 4.91087
*END
*D_NET *832 0.0213772
*CONN
*I *1253:clk_in I *D scanchain
*I *1280:clk_out O *D scanchain
*CAP
1 *1253:clk_in 0.000644876
2 *1280:clk_out 0.00030277
3 *832:11 0.0066334
4 *832:10 0.00598853
5 *832:8 0.00375243
6 *832:7 0.0040552
7 *1253:clk_in *1253:data_in 0
8 *832:8 *833:8 0
9 *832:8 *834:8 0
10 *832:11 *833:11 0
11 *1280:data_in *832:8 0
12 *814:14 *832:8 0
13 *831:14 *832:8 0
*RES
1 *1280:clk_out *832:7 4.6226
2 *832:7 *832:8 97.7232
3 *832:8 *832:10 9
4 *832:10 *832:11 124.982
5 *832:11 *1253:clk_in 18.5665
*END
*D_NET *833 0.0212967
*CONN
*I *1253:data_in I *D scanchain
*I *1280:data_out O *D scanchain
*CAP
1 *1253:data_in 0.00104347
2 *1280:data_out 0.000266782
3 *833:11 0.00713039
4 *833:10 0.00608692
5 *833:8 0.00325119
6 *833:7 0.00351797
7 *1253:data_in *852:8 0
8 *1253:data_in *853:8 0
9 *833:8 *834:8 0
10 *833:8 *851:10 0
11 *1253:clk_in *1253:data_in 0
12 *1280:data_in *833:8 0
13 *832:8 *833:8 0
14 *832:11 *833:11 0
*RES
1 *1280:data_out *833:7 4.47847
2 *833:7 *833:8 84.6696
3 *833:8 *833:10 9
4 *833:10 *833:11 127.036
5 *833:11 *1253:data_in 31.7227
*END
*D_NET *834 0.021142
*CONN
*I *1253:latch_enable_in I *D scanchain
*I *1280:latch_enable_out O *D scanchain
*CAP
1 *1253:latch_enable_in 0.000338602
2 *1280:latch_enable_out 0.001939
3 *834:14 0.00250572
4 *834:13 0.00216712
5 *834:11 0.00612628
6 *834:10 0.00612628
7 *834:8 0.001939
8 *834:8 *851:10 0
9 *834:11 *851:11 0
10 *834:14 *851:14 0
11 *834:14 *852:8 0
12 *834:14 *854:8 0
13 *68:8 *834:11 0
14 *814:14 *834:8 0
15 *832:8 *834:8 0
16 *833:8 *834:8 0
*RES
1 *1280:latch_enable_out *834:8 47.6309
2 *834:8 *834:10 9
3 *834:10 *834:11 127.857
4 *834:11 *834:13 9
5 *834:13 *834:14 56.4375
6 *834:14 *1253:latch_enable_in 4.76673
*END
*D_NET *835 0.000575811
*CONN
*I *1310:io_in[0] I *D user_module_341535056611770964
*I *1280:module_data_in[0] O *D scanchain
*CAP
1 *1310:io_in[0] 0.000287906
2 *1280:module_data_in[0] 0.000287906
*RES
1 *1280:module_data_in[0] *1310:io_in[0] 1.15307
*END
*D_NET *836 0.000575811
*CONN
*I *1310:io_in[1] I *D user_module_341535056611770964
*I *1280:module_data_in[1] O *D scanchain
*CAP
1 *1310:io_in[1] 0.000287906
2 *1280:module_data_in[1] 0.000287906
*RES
1 *1280:module_data_in[1] *1310:io_in[1] 1.15307
*END
*D_NET *837 0.000575811
*CONN
*I *1310:io_in[2] I *D user_module_341535056611770964
*I *1280:module_data_in[2] O *D scanchain
*CAP
1 *1310:io_in[2] 0.000287906
2 *1280:module_data_in[2] 0.000287906
*RES
1 *1280:module_data_in[2] *1310:io_in[2] 1.15307
*END
*D_NET *838 0.000575811
*CONN
*I *1310:io_in[3] I *D user_module_341535056611770964
*I *1280:module_data_in[3] O *D scanchain
*CAP
1 *1310:io_in[3] 0.000287906
2 *1280:module_data_in[3] 0.000287906
*RES
1 *1280:module_data_in[3] *1310:io_in[3] 1.15307
*END
*D_NET *839 0.000575811
*CONN
*I *1310:io_in[4] I *D user_module_341535056611770964
*I *1280:module_data_in[4] O *D scanchain
*CAP
1 *1310:io_in[4] 0.000287906
2 *1280:module_data_in[4] 0.000287906
*RES
1 *1280:module_data_in[4] *1310:io_in[4] 1.15307
*END
*D_NET *840 0.000575811
*CONN
*I *1310:io_in[5] I *D user_module_341535056611770964
*I *1280:module_data_in[5] O *D scanchain
*CAP
1 *1310:io_in[5] 0.000287906
2 *1280:module_data_in[5] 0.000287906
*RES
1 *1280:module_data_in[5] *1310:io_in[5] 1.15307
*END
*D_NET *841 0.000575811
*CONN
*I *1310:io_in[6] I *D user_module_341535056611770964
*I *1280:module_data_in[6] O *D scanchain
*CAP
1 *1310:io_in[6] 0.000287906
2 *1280:module_data_in[6] 0.000287906
*RES
1 *1280:module_data_in[6] *1310:io_in[6] 1.15307
*END
*D_NET *842 0.000575811
*CONN
*I *1310:io_in[7] I *D user_module_341535056611770964
*I *1280:module_data_in[7] O *D scanchain
*CAP
1 *1310:io_in[7] 0.000287906
2 *1280:module_data_in[7] 0.000287906
*RES
1 *1280:module_data_in[7] *1310:io_in[7] 1.15307
*END
*D_NET *843 0.000575811
*CONN
*I *1280:module_data_out[0] I *D scanchain
*I *1310:io_out[0] O *D user_module_341535056611770964
*CAP
1 *1280:module_data_out[0] 0.000287906
2 *1310:io_out[0] 0.000287906
*RES
1 *1310:io_out[0] *1280:module_data_out[0] 1.15307
*END
*D_NET *844 0.000575811
*CONN
*I *1280:module_data_out[1] I *D scanchain
*I *1310:io_out[1] O *D user_module_341535056611770964
*CAP
1 *1280:module_data_out[1] 0.000287906
2 *1310:io_out[1] 0.000287906
*RES
1 *1310:io_out[1] *1280:module_data_out[1] 1.15307
*END
*D_NET *845 0.000575811
*CONN
*I *1280:module_data_out[2] I *D scanchain
*I *1310:io_out[2] O *D user_module_341535056611770964
*CAP
1 *1280:module_data_out[2] 0.000287906
2 *1310:io_out[2] 0.000287906
*RES
1 *1310:io_out[2] *1280:module_data_out[2] 1.15307
*END
*D_NET *846 0.000575811
*CONN
*I *1280:module_data_out[3] I *D scanchain
*I *1310:io_out[3] O *D user_module_341535056611770964
*CAP
1 *1280:module_data_out[3] 0.000287906
2 *1310:io_out[3] 0.000287906
*RES
1 *1310:io_out[3] *1280:module_data_out[3] 1.15307
*END
*D_NET *847 0.000575811
*CONN
*I *1280:module_data_out[4] I *D scanchain
*I *1310:io_out[4] O *D user_module_341535056611770964
*CAP
1 *1280:module_data_out[4] 0.000287906
2 *1310:io_out[4] 0.000287906
*RES
1 *1310:io_out[4] *1280:module_data_out[4] 1.15307
*END
*D_NET *848 0.000575811
*CONN
*I *1280:module_data_out[5] I *D scanchain
*I *1310:io_out[5] O *D user_module_341535056611770964
*CAP
1 *1280:module_data_out[5] 0.000287906
2 *1310:io_out[5] 0.000287906
*RES
1 *1310:io_out[5] *1280:module_data_out[5] 1.15307
*END
*D_NET *849 0.000575811
*CONN
*I *1280:module_data_out[6] I *D scanchain
*I *1310:io_out[6] O *D user_module_341535056611770964
*CAP
1 *1280:module_data_out[6] 0.000287906
2 *1310:io_out[6] 0.000287906
*RES
1 *1310:io_out[6] *1280:module_data_out[6] 1.15307
*END
*D_NET *850 0.000575811
*CONN
*I *1280:module_data_out[7] I *D scanchain
*I *1310:io_out[7] O *D user_module_341535056611770964
*CAP
1 *1280:module_data_out[7] 0.000287906
2 *1310:io_out[7] 0.000287906
*RES
1 *1310:io_out[7] *1280:module_data_out[7] 1.15307
*END
*D_NET *851 0.0210346
*CONN
*I *1253:scan_select_in I *D scanchain
*I *1280:scan_select_out O *D scanchain
*CAP
1 *1253:scan_select_in 0.000356596
2 *1280:scan_select_out 0.00140177
3 *851:14 0.00304826
4 *851:13 0.00269167
5 *851:11 0.00606724
6 *851:10 0.00746902
7 *851:14 *852:8 0
8 *833:8 *851:10 0
9 *834:8 *851:10 0
10 *834:11 *851:11 0
11 *834:14 *851:14 0
*RES
1 *1280:scan_select_out *851:10 43.4332
2 *851:10 *851:11 126.625
3 *851:11 *851:13 9
4 *851:13 *851:14 70.0982
5 *851:14 *1253:scan_select_in 4.8388
*END
*D_NET *852 0.0213772
*CONN
*I *1254:clk_in I *D scanchain
*I *1253:clk_out O *D scanchain
*CAP
1 *1254:clk_in 0.00066287
2 *1253:clk_out 0.000284776
3 *852:11 0.0066514
4 *852:10 0.00598853
5 *852:8 0.00375243
6 *852:7 0.0040372
7 *1254:clk_in *1254:data_in 0
8 *852:8 *853:8 0
9 *852:8 *854:8 0
10 *852:11 *853:11 0
11 *1253:data_in *852:8 0
12 *834:14 *852:8 0
13 *851:14 *852:8 0
*RES
1 *1253:clk_out *852:7 4.55053
2 *852:7 *852:8 97.7232
3 *852:8 *852:10 9
4 *852:10 *852:11 124.982
5 *852:11 *1254:clk_in 18.6385
*END
*D_NET *853 0.0212967
*CONN
*I *1254:data_in I *D scanchain
*I *1253:data_out O *D scanchain
*CAP
1 *1254:data_in 0.00106146
2 *1253:data_out 0.000248788
3 *853:11 0.00714839
4 *853:10 0.00608692
5 *853:8 0.00325119
6 *853:7 0.00349998
7 *1254:data_in *872:8 0
8 *853:8 *854:8 0
9 *853:8 *871:10 0
10 *1253:data_in *853:8 0
11 *1254:clk_in *1254:data_in 0
12 *852:8 *853:8 0
13 *852:11 *853:11 0
*RES
1 *1253:data_out *853:7 4.4064
2 *853:7 *853:8 84.6696
3 *853:8 *853:10 9
4 *853:10 *853:11 127.036
5 *853:11 *1254:data_in 31.7948
*END
*D_NET *854 0.021142
*CONN
*I *1254:latch_enable_in I *D scanchain
*I *1253:latch_enable_out O *D scanchain
*CAP
1 *1254:latch_enable_in 0.000356596
2 *1253:latch_enable_out 0.001921
3 *854:14 0.00252371
4 *854:13 0.00216712
5 *854:11 0.00612628
6 *854:10 0.00612628
7 *854:8 0.001921
8 *854:8 *871:10 0
9 *854:11 *871:11 0
10 *854:14 *871:14 0
11 *854:14 *872:8 0
12 *854:14 *874:8 0
13 *68:8 *854:11 0
14 *834:14 *854:8 0
15 *852:8 *854:8 0
16 *853:8 *854:8 0
*RES
1 *1253:latch_enable_out *854:8 47.5588
2 *854:8 *854:10 9
3 *854:10 *854:11 127.857
4 *854:11 *854:13 9
5 *854:13 *854:14 56.4375
6 *854:14 *1254:latch_enable_in 4.8388
*END
*D_NET *855 0.000575811
*CONN
*I *1283:io_in[0] I *D user_module_341535056611770964
*I *1253:module_data_in[0] O *D scanchain
*CAP
1 *1283:io_in[0] 0.000287906
2 *1253:module_data_in[0] 0.000287906
*RES
1 *1253:module_data_in[0] *1283:io_in[0] 1.15307
*END
*D_NET *856 0.000575811
*CONN
*I *1283:io_in[1] I *D user_module_341535056611770964
*I *1253:module_data_in[1] O *D scanchain
*CAP
1 *1283:io_in[1] 0.000287906
2 *1253:module_data_in[1] 0.000287906
*RES
1 *1253:module_data_in[1] *1283:io_in[1] 1.15307
*END
*D_NET *857 0.000575811
*CONN
*I *1283:io_in[2] I *D user_module_341535056611770964
*I *1253:module_data_in[2] O *D scanchain
*CAP
1 *1283:io_in[2] 0.000287906
2 *1253:module_data_in[2] 0.000287906
*RES
1 *1253:module_data_in[2] *1283:io_in[2] 1.15307
*END
*D_NET *858 0.000575811
*CONN
*I *1283:io_in[3] I *D user_module_341535056611770964
*I *1253:module_data_in[3] O *D scanchain
*CAP
1 *1283:io_in[3] 0.000287906
2 *1253:module_data_in[3] 0.000287906
*RES
1 *1253:module_data_in[3] *1283:io_in[3] 1.15307
*END
*D_NET *859 0.000575811
*CONN
*I *1283:io_in[4] I *D user_module_341535056611770964
*I *1253:module_data_in[4] O *D scanchain
*CAP
1 *1283:io_in[4] 0.000287906
2 *1253:module_data_in[4] 0.000287906
*RES
1 *1253:module_data_in[4] *1283:io_in[4] 1.15307
*END
*D_NET *860 0.000575811
*CONN
*I *1283:io_in[5] I *D user_module_341535056611770964
*I *1253:module_data_in[5] O *D scanchain
*CAP
1 *1283:io_in[5] 0.000287906
2 *1253:module_data_in[5] 0.000287906
*RES
1 *1253:module_data_in[5] *1283:io_in[5] 1.15307
*END
*D_NET *861 0.000575811
*CONN
*I *1283:io_in[6] I *D user_module_341535056611770964
*I *1253:module_data_in[6] O *D scanchain
*CAP
1 *1283:io_in[6] 0.000287906
2 *1253:module_data_in[6] 0.000287906
*RES
1 *1253:module_data_in[6] *1283:io_in[6] 1.15307
*END
*D_NET *862 0.000575811
*CONN
*I *1283:io_in[7] I *D user_module_341535056611770964
*I *1253:module_data_in[7] O *D scanchain
*CAP
1 *1283:io_in[7] 0.000287906
2 *1253:module_data_in[7] 0.000287906
*RES
1 *1253:module_data_in[7] *1283:io_in[7] 1.15307
*END
*D_NET *863 0.000575811
*CONN
*I *1253:module_data_out[0] I *D scanchain
*I *1283:io_out[0] O *D user_module_341535056611770964
*CAP
1 *1253:module_data_out[0] 0.000287906
2 *1283:io_out[0] 0.000287906
*RES
1 *1283:io_out[0] *1253:module_data_out[0] 1.15307
*END
*D_NET *864 0.000575811
*CONN
*I *1253:module_data_out[1] I *D scanchain
*I *1283:io_out[1] O *D user_module_341535056611770964
*CAP
1 *1253:module_data_out[1] 0.000287906
2 *1283:io_out[1] 0.000287906
*RES
1 *1283:io_out[1] *1253:module_data_out[1] 1.15307
*END
*D_NET *865 0.000575811
*CONN
*I *1253:module_data_out[2] I *D scanchain
*I *1283:io_out[2] O *D user_module_341535056611770964
*CAP
1 *1253:module_data_out[2] 0.000287906
2 *1283:io_out[2] 0.000287906
*RES
1 *1283:io_out[2] *1253:module_data_out[2] 1.15307
*END
*D_NET *866 0.000575811
*CONN
*I *1253:module_data_out[3] I *D scanchain
*I *1283:io_out[3] O *D user_module_341535056611770964
*CAP
1 *1253:module_data_out[3] 0.000287906
2 *1283:io_out[3] 0.000287906
*RES
1 *1283:io_out[3] *1253:module_data_out[3] 1.15307
*END
*D_NET *867 0.000575811
*CONN
*I *1253:module_data_out[4] I *D scanchain
*I *1283:io_out[4] O *D user_module_341535056611770964
*CAP
1 *1253:module_data_out[4] 0.000287906
2 *1283:io_out[4] 0.000287906
*RES
1 *1283:io_out[4] *1253:module_data_out[4] 1.15307
*END
*D_NET *868 0.000575811
*CONN
*I *1253:module_data_out[5] I *D scanchain
*I *1283:io_out[5] O *D user_module_341535056611770964
*CAP
1 *1253:module_data_out[5] 0.000287906
2 *1283:io_out[5] 0.000287906
*RES
1 *1283:io_out[5] *1253:module_data_out[5] 1.15307
*END
*D_NET *869 0.000575811
*CONN
*I *1253:module_data_out[6] I *D scanchain
*I *1283:io_out[6] O *D user_module_341535056611770964
*CAP
1 *1253:module_data_out[6] 0.000287906
2 *1283:io_out[6] 0.000287906
*RES
1 *1283:io_out[6] *1253:module_data_out[6] 1.15307
*END
*D_NET *870 0.000575811
*CONN
*I *1253:module_data_out[7] I *D scanchain
*I *1283:io_out[7] O *D user_module_341535056611770964
*CAP
1 *1253:module_data_out[7] 0.000287906
2 *1283:io_out[7] 0.000287906
*RES
1 *1283:io_out[7] *1253:module_data_out[7] 1.15307
*END
*D_NET *871 0.0210346
*CONN
*I *1254:scan_select_in I *D scanchain
*I *1253:scan_select_out O *D scanchain
*CAP
1 *1254:scan_select_in 0.00037459
2 *1253:scan_select_out 0.00138378
3 *871:14 0.00306626
4 *871:13 0.00269167
5 *871:11 0.00606724
6 *871:10 0.00745102
7 *871:14 *872:8 0
8 *853:8 *871:10 0
9 *854:8 *871:10 0
10 *854:11 *871:11 0
11 *854:14 *871:14 0
*RES
1 *1253:scan_select_out *871:10 43.3611
2 *871:10 *871:11 126.625
3 *871:11 *871:13 9
4 *871:13 *871:14 70.0982
5 *871:14 *1254:scan_select_in 4.91087
*END
*D_NET *872 0.0214958
*CONN
*I *1255:clk_in I *D scanchain
*I *1254:clk_out O *D scanchain
*CAP
1 *1255:clk_in 0.000692521
2 *1254:clk_out 0.00030277
3 *872:11 0.00668105
4 *872:10 0.00598853
5 *872:8 0.00376408
6 *872:7 0.00406685
7 *1255:clk_in *1255:data_in 0
8 *872:8 *873:8 0
9 *872:8 *874:8 0
10 *872:11 *873:11 0
11 *1254:data_in *872:8 0
12 *854:14 *872:8 0
13 *871:14 *872:8 0
*RES
1 *1254:clk_out *872:7 4.6226
2 *872:7 *872:8 98.0268
3 *872:8 *872:10 9
4 *872:10 *872:11 124.982
5 *872:11 *1255:clk_in 19.0142
*END
*D_NET *873 0.0213221
*CONN
*I *1255:data_in I *D scanchain
*I *1254:data_out O *D scanchain
*CAP
1 *1255:data_in 0.0010678
2 *1254:data_out 0.000266782
3 *873:11 0.00715472
4 *873:10 0.00608692
5 *873:8 0.00323953
6 *873:7 0.00350631
7 *1255:data_in *892:8 0
8 *873:8 *874:8 0
9 *873:8 *891:10 0
10 *1255:clk_in *1255:data_in 0
11 *872:8 *873:8 0
12 *872:11 *873:11 0
*RES
1 *1254:data_out *873:7 4.47847
2 *873:7 *873:8 84.3661
3 *873:8 *873:10 9
4 *873:10 *873:11 127.036
5 *873:11 *1255:data_in 31.5633
*END
*D_NET *874 0.021214
*CONN
*I *1255:latch_enable_in I *D scanchain
*I *1254:latch_enable_out O *D scanchain
*CAP
1 *1255:latch_enable_in 0.00037459
2 *1254:latch_enable_out 0.001939
3 *874:14 0.00254171
4 *874:13 0.00216712
5 *874:11 0.00612628
6 *874:10 0.00612628
7 *874:8 0.001939
8 *874:8 *891:10 0
9 *874:11 *891:11 0
10 *874:14 *891:14 0
11 *874:14 *892:8 0
12 *874:14 *894:8 0
13 *68:8 *874:11 0
14 *854:14 *874:8 0
15 *872:8 *874:8 0
16 *873:8 *874:8 0
*RES
1 *1254:latch_enable_out *874:8 47.6309
2 *874:8 *874:10 9
3 *874:10 *874:11 127.857
4 *874:11 *874:13 9
5 *874:13 *874:14 56.4375
6 *874:14 *1255:latch_enable_in 4.91087
*END
*D_NET *875 0.000575811
*CONN
*I *1284:io_in[0] I *D user_module_341535056611770964
*I *1254:module_data_in[0] O *D scanchain
*CAP
1 *1284:io_in[0] 0.000287906
2 *1254:module_data_in[0] 0.000287906
*RES
1 *1254:module_data_in[0] *1284:io_in[0] 1.15307
*END
*D_NET *876 0.000575811
*CONN
*I *1284:io_in[1] I *D user_module_341535056611770964
*I *1254:module_data_in[1] O *D scanchain
*CAP
1 *1284:io_in[1] 0.000287906
2 *1254:module_data_in[1] 0.000287906
*RES
1 *1254:module_data_in[1] *1284:io_in[1] 1.15307
*END
*D_NET *877 0.000575811
*CONN
*I *1284:io_in[2] I *D user_module_341535056611770964
*I *1254:module_data_in[2] O *D scanchain
*CAP
1 *1284:io_in[2] 0.000287906
2 *1254:module_data_in[2] 0.000287906
*RES
1 *1254:module_data_in[2] *1284:io_in[2] 1.15307
*END
*D_NET *878 0.000575811
*CONN
*I *1284:io_in[3] I *D user_module_341535056611770964
*I *1254:module_data_in[3] O *D scanchain
*CAP
1 *1284:io_in[3] 0.000287906
2 *1254:module_data_in[3] 0.000287906
*RES
1 *1254:module_data_in[3] *1284:io_in[3] 1.15307
*END
*D_NET *879 0.000575811
*CONN
*I *1284:io_in[4] I *D user_module_341535056611770964
*I *1254:module_data_in[4] O *D scanchain
*CAP
1 *1284:io_in[4] 0.000287906
2 *1254:module_data_in[4] 0.000287906
*RES
1 *1254:module_data_in[4] *1284:io_in[4] 1.15307
*END
*D_NET *880 0.000575811
*CONN
*I *1284:io_in[5] I *D user_module_341535056611770964
*I *1254:module_data_in[5] O *D scanchain
*CAP
1 *1284:io_in[5] 0.000287906
2 *1254:module_data_in[5] 0.000287906
*RES
1 *1254:module_data_in[5] *1284:io_in[5] 1.15307
*END
*D_NET *881 0.000575811
*CONN
*I *1284:io_in[6] I *D user_module_341535056611770964
*I *1254:module_data_in[6] O *D scanchain
*CAP
1 *1284:io_in[6] 0.000287906
2 *1254:module_data_in[6] 0.000287906
*RES
1 *1254:module_data_in[6] *1284:io_in[6] 1.15307
*END
*D_NET *882 0.000575811
*CONN
*I *1284:io_in[7] I *D user_module_341535056611770964
*I *1254:module_data_in[7] O *D scanchain
*CAP
1 *1284:io_in[7] 0.000287906
2 *1254:module_data_in[7] 0.000287906
*RES
1 *1254:module_data_in[7] *1284:io_in[7] 1.15307
*END
*D_NET *883 0.000575811
*CONN
*I *1254:module_data_out[0] I *D scanchain
*I *1284:io_out[0] O *D user_module_341535056611770964
*CAP
1 *1254:module_data_out[0] 0.000287906
2 *1284:io_out[0] 0.000287906
*RES
1 *1284:io_out[0] *1254:module_data_out[0] 1.15307
*END
*D_NET *884 0.000575811
*CONN
*I *1254:module_data_out[1] I *D scanchain
*I *1284:io_out[1] O *D user_module_341535056611770964
*CAP
1 *1254:module_data_out[1] 0.000287906
2 *1284:io_out[1] 0.000287906
*RES
1 *1284:io_out[1] *1254:module_data_out[1] 1.15307
*END
*D_NET *885 0.000575811
*CONN
*I *1254:module_data_out[2] I *D scanchain
*I *1284:io_out[2] O *D user_module_341535056611770964
*CAP
1 *1254:module_data_out[2] 0.000287906
2 *1284:io_out[2] 0.000287906
*RES
1 *1284:io_out[2] *1254:module_data_out[2] 1.15307
*END
*D_NET *886 0.000575811
*CONN
*I *1254:module_data_out[3] I *D scanchain
*I *1284:io_out[3] O *D user_module_341535056611770964
*CAP
1 *1254:module_data_out[3] 0.000287906
2 *1284:io_out[3] 0.000287906
*RES
1 *1284:io_out[3] *1254:module_data_out[3] 1.15307
*END
*D_NET *887 0.000575811
*CONN
*I *1254:module_data_out[4] I *D scanchain
*I *1284:io_out[4] O *D user_module_341535056611770964
*CAP
1 *1254:module_data_out[4] 0.000287906
2 *1284:io_out[4] 0.000287906
*RES
1 *1284:io_out[4] *1254:module_data_out[4] 1.15307
*END
*D_NET *888 0.000575811
*CONN
*I *1254:module_data_out[5] I *D scanchain
*I *1284:io_out[5] O *D user_module_341535056611770964
*CAP
1 *1254:module_data_out[5] 0.000287906
2 *1284:io_out[5] 0.000287906
*RES
1 *1284:io_out[5] *1254:module_data_out[5] 1.15307
*END
*D_NET *889 0.000575811
*CONN
*I *1254:module_data_out[6] I *D scanchain
*I *1284:io_out[6] O *D user_module_341535056611770964
*CAP
1 *1254:module_data_out[6] 0.000287906
2 *1284:io_out[6] 0.000287906
*RES
1 *1284:io_out[6] *1254:module_data_out[6] 1.15307
*END
*D_NET *890 0.000575811
*CONN
*I *1254:module_data_out[7] I *D scanchain
*I *1284:io_out[7] O *D user_module_341535056611770964
*CAP
1 *1254:module_data_out[7] 0.000287906
2 *1284:io_out[7] 0.000287906
*RES
1 *1284:io_out[7] *1254:module_data_out[7] 1.15307
*END
*D_NET *891 0.0211065
*CONN
*I *1255:scan_select_in I *D scanchain
*I *1254:scan_select_out O *D scanchain
*CAP
1 *1255:scan_select_in 0.000392584
2 *1254:scan_select_out 0.00140177
3 *891:14 0.00308425
4 *891:13 0.00269167
5 *891:11 0.00606724
6 *891:10 0.00746902
7 *891:14 *892:8 0
8 *873:8 *891:10 0
9 *874:8 *891:10 0
10 *874:11 *891:11 0
11 *874:14 *891:14 0
*RES
1 *1254:scan_select_out *891:10 43.4332
2 *891:10 *891:11 126.625
3 *891:11 *891:13 9
4 *891:13 *891:14 70.0982
5 *891:14 *1255:scan_select_in 4.98293
*END
*D_NET *892 0.0214958
*CONN
*I *1256:clk_in I *D scanchain
*I *1255:clk_out O *D scanchain
*CAP
1 *1256:clk_in 0.000674527
2 *1255:clk_out 0.000320764
3 *892:11 0.00666305
4 *892:10 0.00598853
5 *892:8 0.00376408
6 *892:7 0.00408485
7 *1256:clk_in *1256:data_in 0
8 *892:8 *893:8 0
9 *892:8 *894:8 0
10 *892:11 *893:11 0
11 *1255:data_in *892:8 0
12 *874:14 *892:8 0
13 *891:14 *892:8 0
*RES
1 *1255:clk_out *892:7 4.69467
2 *892:7 *892:8 98.0268
3 *892:8 *892:10 9
4 *892:10 *892:11 124.982
5 *892:11 *1256:clk_in 18.9421
*END
*D_NET *893 0.0213221
*CONN
*I *1256:data_in I *D scanchain
*I *1255:data_out O *D scanchain
*CAP
1 *1256:data_in 0.00104981
2 *1255:data_out 0.000284776
3 *893:11 0.00713673
4 *893:10 0.00608692
5 *893:8 0.00323953
6 *893:7 0.00352431
7 *1256:data_in *912:8 0
8 *893:8 *894:8 0
9 *893:8 *911:10 0
10 *1256:clk_in *1256:data_in 0
11 *892:8 *893:8 0
12 *892:11 *893:11 0
*RES
1 *1255:data_out *893:7 4.55053
2 *893:7 *893:8 84.3661
3 *893:8 *893:10 9
4 *893:10 *893:11 127.036
5 *893:11 *1256:data_in 31.4912
*END
*D_NET *894 0.021214
*CONN
*I *1256:latch_enable_in I *D scanchain
*I *1255:latch_enable_out O *D scanchain
*CAP
1 *1256:latch_enable_in 0.000356596
2 *1255:latch_enable_out 0.00195699
3 *894:14 0.00252371
4 *894:13 0.00216712
5 *894:11 0.00612628
6 *894:10 0.00612628
7 *894:8 0.00195699
8 *894:8 *911:10 0
9 *894:11 *911:11 0
10 *894:14 *911:14 0
11 *894:14 *912:8 0
12 *894:14 *914:8 0
13 *68:8 *894:11 0
14 *874:14 *894:8 0
15 *892:8 *894:8 0
16 *893:8 *894:8 0
*RES
1 *1255:latch_enable_out *894:8 47.703
2 *894:8 *894:10 9
3 *894:10 *894:11 127.857
4 *894:11 *894:13 9
5 *894:13 *894:14 56.4375
6 *894:14 *1256:latch_enable_in 4.8388
*END
*D_NET *895 0.000575811
*CONN
*I *1285:io_in[0] I *D user_module_341535056611770964
*I *1255:module_data_in[0] O *D scanchain
*CAP
1 *1285:io_in[0] 0.000287906
2 *1255:module_data_in[0] 0.000287906
*RES
1 *1255:module_data_in[0] *1285:io_in[0] 1.15307
*END
*D_NET *896 0.000575811
*CONN
*I *1285:io_in[1] I *D user_module_341535056611770964
*I *1255:module_data_in[1] O *D scanchain
*CAP
1 *1285:io_in[1] 0.000287906
2 *1255:module_data_in[1] 0.000287906
*RES
1 *1255:module_data_in[1] *1285:io_in[1] 1.15307
*END
*D_NET *897 0.000575811
*CONN
*I *1285:io_in[2] I *D user_module_341535056611770964
*I *1255:module_data_in[2] O *D scanchain
*CAP
1 *1285:io_in[2] 0.000287906
2 *1255:module_data_in[2] 0.000287906
*RES
1 *1255:module_data_in[2] *1285:io_in[2] 1.15307
*END
*D_NET *898 0.000575811
*CONN
*I *1285:io_in[3] I *D user_module_341535056611770964
*I *1255:module_data_in[3] O *D scanchain
*CAP
1 *1285:io_in[3] 0.000287906
2 *1255:module_data_in[3] 0.000287906
*RES
1 *1255:module_data_in[3] *1285:io_in[3] 1.15307
*END
*D_NET *899 0.000575811
*CONN
*I *1285:io_in[4] I *D user_module_341535056611770964
*I *1255:module_data_in[4] O *D scanchain
*CAP
1 *1285:io_in[4] 0.000287906
2 *1255:module_data_in[4] 0.000287906
*RES
1 *1255:module_data_in[4] *1285:io_in[4] 1.15307
*END
*D_NET *900 0.000575811
*CONN
*I *1285:io_in[5] I *D user_module_341535056611770964
*I *1255:module_data_in[5] O *D scanchain
*CAP
1 *1285:io_in[5] 0.000287906
2 *1255:module_data_in[5] 0.000287906
*RES
1 *1255:module_data_in[5] *1285:io_in[5] 1.15307
*END
*D_NET *901 0.000575811
*CONN
*I *1285:io_in[6] I *D user_module_341535056611770964
*I *1255:module_data_in[6] O *D scanchain
*CAP
1 *1285:io_in[6] 0.000287906
2 *1255:module_data_in[6] 0.000287906
*RES
1 *1255:module_data_in[6] *1285:io_in[6] 1.15307
*END
*D_NET *902 0.000575811
*CONN
*I *1285:io_in[7] I *D user_module_341535056611770964
*I *1255:module_data_in[7] O *D scanchain
*CAP
1 *1285:io_in[7] 0.000287906
2 *1255:module_data_in[7] 0.000287906
*RES
1 *1255:module_data_in[7] *1285:io_in[7] 1.15307
*END
*D_NET *903 0.000575811
*CONN
*I *1255:module_data_out[0] I *D scanchain
*I *1285:io_out[0] O *D user_module_341535056611770964
*CAP
1 *1255:module_data_out[0] 0.000287906
2 *1285:io_out[0] 0.000287906
*RES
1 *1285:io_out[0] *1255:module_data_out[0] 1.15307
*END
*D_NET *904 0.000575811
*CONN
*I *1255:module_data_out[1] I *D scanchain
*I *1285:io_out[1] O *D user_module_341535056611770964
*CAP
1 *1255:module_data_out[1] 0.000287906
2 *1285:io_out[1] 0.000287906
*RES
1 *1285:io_out[1] *1255:module_data_out[1] 1.15307
*END
*D_NET *905 0.000575811
*CONN
*I *1255:module_data_out[2] I *D scanchain
*I *1285:io_out[2] O *D user_module_341535056611770964
*CAP
1 *1255:module_data_out[2] 0.000287906
2 *1285:io_out[2] 0.000287906
*RES
1 *1285:io_out[2] *1255:module_data_out[2] 1.15307
*END
*D_NET *906 0.000575811
*CONN
*I *1255:module_data_out[3] I *D scanchain
*I *1285:io_out[3] O *D user_module_341535056611770964
*CAP
1 *1255:module_data_out[3] 0.000287906
2 *1285:io_out[3] 0.000287906
*RES
1 *1285:io_out[3] *1255:module_data_out[3] 1.15307
*END
*D_NET *907 0.000575811
*CONN
*I *1255:module_data_out[4] I *D scanchain
*I *1285:io_out[4] O *D user_module_341535056611770964
*CAP
1 *1255:module_data_out[4] 0.000287906
2 *1285:io_out[4] 0.000287906
*RES
1 *1285:io_out[4] *1255:module_data_out[4] 1.15307
*END
*D_NET *908 0.000575811
*CONN
*I *1255:module_data_out[5] I *D scanchain
*I *1285:io_out[5] O *D user_module_341535056611770964
*CAP
1 *1255:module_data_out[5] 0.000287906
2 *1285:io_out[5] 0.000287906
*RES
1 *1285:io_out[5] *1255:module_data_out[5] 1.15307
*END
*D_NET *909 0.000575811
*CONN
*I *1255:module_data_out[6] I *D scanchain
*I *1285:io_out[6] O *D user_module_341535056611770964
*CAP
1 *1255:module_data_out[6] 0.000287906
2 *1285:io_out[6] 0.000287906
*RES
1 *1285:io_out[6] *1255:module_data_out[6] 1.15307
*END
*D_NET *910 0.000575811
*CONN
*I *1255:module_data_out[7] I *D scanchain
*I *1285:io_out[7] O *D user_module_341535056611770964
*CAP
1 *1255:module_data_out[7] 0.000287906
2 *1285:io_out[7] 0.000287906
*RES
1 *1285:io_out[7] *1255:module_data_out[7] 1.15307
*END
*D_NET *911 0.0211065
*CONN
*I *1256:scan_select_in I *D scanchain
*I *1255:scan_select_out O *D scanchain
*CAP
1 *1256:scan_select_in 0.00037459
2 *1255:scan_select_out 0.00141977
3 *911:14 0.00306626
4 *911:13 0.00269167
5 *911:11 0.00606724
6 *911:10 0.00748701
7 *911:14 *912:8 0
8 *893:8 *911:10 0
9 *894:8 *911:10 0
10 *894:11 *911:11 0
11 *894:14 *911:14 0
*RES
1 *1255:scan_select_out *911:10 43.5053
2 *911:10 *911:11 126.625
3 *911:11 *911:13 9
4 *911:13 *911:14 70.0982
5 *911:14 *1256:scan_select_in 4.91087
*END
*D_NET *912 0.0214958
*CONN
*I *1257:clk_in I *D scanchain
*I *1256:clk_out O *D scanchain
*CAP
1 *1257:clk_in 0.000692521
2 *1256:clk_out 0.00030277
3 *912:11 0.00668105
4 *912:10 0.00598853
5 *912:8 0.00376408
6 *912:7 0.00406685
7 *1257:clk_in *1257:data_in 0
8 *912:8 *913:8 0
9 *912:8 *914:8 0
10 *912:11 *913:11 0
11 *1256:data_in *912:8 0
12 *894:14 *912:8 0
13 *911:14 *912:8 0
*RES
1 *1256:clk_out *912:7 4.6226
2 *912:7 *912:8 98.0268
3 *912:8 *912:10 9
4 *912:10 *912:11 124.982
5 *912:11 *1257:clk_in 19.0142
*END
*D_NET *913 0.0213221
*CONN
*I *1257:data_in I *D scanchain
*I *1256:data_out O *D scanchain
*CAP
1 *1257:data_in 0.0010678
2 *1256:data_out 0.000266782
3 *913:11 0.00715472
4 *913:10 0.00608692
5 *913:8 0.00323953
6 *913:7 0.00350631
7 *1257:data_in *932:8 0
8 *913:8 *914:8 0
9 *913:8 *931:10 0
10 *1257:clk_in *1257:data_in 0
11 *912:8 *913:8 0
12 *912:11 *913:11 0
*RES
1 *1256:data_out *913:7 4.47847
2 *913:7 *913:8 84.3661
3 *913:8 *913:10 9
4 *913:10 *913:11 127.036
5 *913:11 *1257:data_in 31.5633
*END
*D_NET *914 0.021214
*CONN
*I *1257:latch_enable_in I *D scanchain
*I *1256:latch_enable_out O *D scanchain
*CAP
1 *1257:latch_enable_in 0.00037459
2 *1256:latch_enable_out 0.001939
3 *914:14 0.00254171
4 *914:13 0.00216712
5 *914:11 0.00612628
6 *914:10 0.00612628
7 *914:8 0.001939
8 *914:8 *931:10 0
9 *914:11 *931:11 0
10 *914:14 *931:14 0
11 *914:14 *932:8 0
12 *914:14 *934:8 0
13 *68:8 *914:11 0
14 *894:14 *914:8 0
15 *912:8 *914:8 0
16 *913:8 *914:8 0
*RES
1 *1256:latch_enable_out *914:8 47.6309
2 *914:8 *914:10 9
3 *914:10 *914:11 127.857
4 *914:11 *914:13 9
5 *914:13 *914:14 56.4375
6 *914:14 *1257:latch_enable_in 4.91087
*END
*D_NET *915 0.000624708
*CONN
*I *1286:io_in[0] I *D user_module_341535056611770964
*I *1256:module_data_in[0] O *D scanchain
*CAP
1 *1286:io_in[0] 0.000312354
2 *1256:module_data_in[0] 0.000312354
*RES
1 *1256:module_data_in[0] *1286:io_in[0] 1.316
*END
*D_NET *916 0.000624708
*CONN
*I *1286:io_in[1] I *D user_module_341535056611770964
*I *1256:module_data_in[1] O *D scanchain
*CAP
1 *1286:io_in[1] 0.000312354
2 *1256:module_data_in[1] 0.000312354
*RES
1 *1256:module_data_in[1] *1286:io_in[1] 1.316
*END
*D_NET *917 0.000624708
*CONN
*I *1286:io_in[2] I *D user_module_341535056611770964
*I *1256:module_data_in[2] O *D scanchain
*CAP
1 *1286:io_in[2] 0.000312354
2 *1256:module_data_in[2] 0.000312354
*RES
1 *1256:module_data_in[2] *1286:io_in[2] 1.316
*END
*D_NET *918 0.000624708
*CONN
*I *1286:io_in[3] I *D user_module_341535056611770964
*I *1256:module_data_in[3] O *D scanchain
*CAP
1 *1286:io_in[3] 0.000312354
2 *1256:module_data_in[3] 0.000312354
*RES
1 *1256:module_data_in[3] *1286:io_in[3] 1.316
*END
*D_NET *919 0.000624708
*CONN
*I *1286:io_in[4] I *D user_module_341535056611770964
*I *1256:module_data_in[4] O *D scanchain
*CAP
1 *1286:io_in[4] 0.000312354
2 *1256:module_data_in[4] 0.000312354
*RES
1 *1256:module_data_in[4] *1286:io_in[4] 1.316
*END
*D_NET *920 0.000624708
*CONN
*I *1286:io_in[5] I *D user_module_341535056611770964
*I *1256:module_data_in[5] O *D scanchain
*CAP
1 *1286:io_in[5] 0.000312354
2 *1256:module_data_in[5] 0.000312354
*RES
1 *1256:module_data_in[5] *1286:io_in[5] 1.316
*END
*D_NET *921 0.000624708
*CONN
*I *1286:io_in[6] I *D user_module_341535056611770964
*I *1256:module_data_in[6] O *D scanchain
*CAP
1 *1286:io_in[6] 0.000312354
2 *1256:module_data_in[6] 0.000312354
*RES
1 *1256:module_data_in[6] *1286:io_in[6] 1.316
*END
*D_NET *922 0.000624708
*CONN
*I *1286:io_in[7] I *D user_module_341535056611770964
*I *1256:module_data_in[7] O *D scanchain
*CAP
1 *1286:io_in[7] 0.000312354
2 *1256:module_data_in[7] 0.000312354
*RES
1 *1256:module_data_in[7] *1286:io_in[7] 1.316
*END
*D_NET *923 0.000624708
*CONN
*I *1256:module_data_out[0] I *D scanchain
*I *1286:io_out[0] O *D user_module_341535056611770964
*CAP
1 *1256:module_data_out[0] 0.000312354
2 *1286:io_out[0] 0.000312354
3 *1256:module_data_out[0] *1256:module_data_out[1] 0
*RES
1 *1286:io_out[0] *1256:module_data_out[0] 1.316
*END
*D_NET *924 0.000624708
*CONN
*I *1256:module_data_out[1] I *D scanchain
*I *1286:io_out[1] O *D user_module_341535056611770964
*CAP
1 *1256:module_data_out[1] 0.000312354
2 *1286:io_out[1] 0.000312354
3 *1256:module_data_out[0] *1256:module_data_out[1] 0
*RES
1 *1286:io_out[1] *1256:module_data_out[1] 1.316
*END
*D_NET *925 0.000624708
*CONN
*I *1256:module_data_out[2] I *D scanchain
*I *1286:io_out[2] O *D user_module_341535056611770964
*CAP
1 *1256:module_data_out[2] 0.000312354
2 *1286:io_out[2] 0.000312354
*RES
1 *1286:io_out[2] *1256:module_data_out[2] 1.316
*END
*D_NET *926 0.000624708
*CONN
*I *1256:module_data_out[3] I *D scanchain
*I *1286:io_out[3] O *D user_module_341535056611770964
*CAP
1 *1256:module_data_out[3] 0.000312354
2 *1286:io_out[3] 0.000312354
*RES
1 *1286:io_out[3] *1256:module_data_out[3] 1.316
*END
*D_NET *927 0.000624708
*CONN
*I *1256:module_data_out[4] I *D scanchain
*I *1286:io_out[4] O *D user_module_341535056611770964
*CAP
1 *1256:module_data_out[4] 0.000312354
2 *1286:io_out[4] 0.000312354
*RES
1 *1286:io_out[4] *1256:module_data_out[4] 1.316
*END
*D_NET *928 0.000624708
*CONN
*I *1256:module_data_out[5] I *D scanchain
*I *1286:io_out[5] O *D user_module_341535056611770964
*CAP
1 *1256:module_data_out[5] 0.000312354
2 *1286:io_out[5] 0.000312354
*RES
1 *1286:io_out[5] *1256:module_data_out[5] 1.316
*END
*D_NET *929 0.000624708
*CONN
*I *1256:module_data_out[6] I *D scanchain
*I *1286:io_out[6] O *D user_module_341535056611770964
*CAP
1 *1256:module_data_out[6] 0.000312354
2 *1286:io_out[6] 0.000312354
*RES
1 *1286:io_out[6] *1256:module_data_out[6] 1.316
*END
*D_NET *930 0.000624708
*CONN
*I *1256:module_data_out[7] I *D scanchain
*I *1286:io_out[7] O *D user_module_341535056611770964
*CAP
1 *1256:module_data_out[7] 0.000312354
2 *1286:io_out[7] 0.000312354
*RES
1 *1286:io_out[7] *1256:module_data_out[7] 1.316
*END
*D_NET *931 0.0211065
*CONN
*I *1257:scan_select_in I *D scanchain
*I *1256:scan_select_out O *D scanchain
*CAP
1 *1257:scan_select_in 0.000392584
2 *1256:scan_select_out 0.00140177
3 *931:14 0.00308425
4 *931:13 0.00269167
5 *931:11 0.00606724
6 *931:10 0.00746902
7 *931:14 *932:8 0
8 *913:8 *931:10 0
9 *914:8 *931:10 0
10 *914:11 *931:11 0
11 *914:14 *931:14 0
*RES
1 *1256:scan_select_out *931:10 43.4332
2 *931:10 *931:11 126.625
3 *931:11 *931:13 9
4 *931:13 *931:14 70.0982
5 *931:14 *1257:scan_select_in 4.98293
*END
*D_NET *932 0.0214924
*CONN
*I *1258:clk_in I *D scanchain
*I *1257:clk_out O *D scanchain
*CAP
1 *1258:clk_in 0.000684465
2 *1257:clk_out 0.000320764
3 *932:11 0.00667299
4 *932:10 0.00598853
5 *932:8 0.00375243
6 *932:7 0.00407319
7 *1258:clk_in *1258:data_in 0
8 *932:8 *933:8 0
9 *932:8 *934:8 0
10 *932:11 *933:11 0
11 *1257:data_in *932:8 0
12 *914:14 *932:8 0
13 *931:14 *932:8 0
*RES
1 *1257:clk_out *932:7 4.69467
2 *932:7 *932:8 97.7232
3 *932:8 *932:10 9
4 *932:10 *932:11 124.982
5 *932:11 *1258:clk_in 17.1837
*END
*D_NET *933 0.0214185
*CONN
*I *1258:data_in I *D scanchain
*I *1257:data_out O *D scanchain
*CAP
1 *1258:data_in 0.00108634
2 *1257:data_out 0.000284776
3 *933:11 0.00717327
4 *933:10 0.00608692
5 *933:8 0.00325119
6 *933:7 0.00353597
7 *1258:data_in *952:10 0
8 *933:8 *934:8 0
9 *933:8 *951:10 0
10 *1258:clk_in *1258:data_in 0
11 *932:8 *933:8 0
12 *932:11 *933:11 0
*RES
1 *1257:data_out *933:7 4.55053
2 *933:7 *933:8 84.6696
3 *933:8 *933:10 9
4 *933:10 *933:11 127.036
5 *933:11 *1258:data_in 29.8394
*END
*D_NET *934 0.0212637
*CONN
*I *1258:latch_enable_in I *D scanchain
*I *1257:latch_enable_out O *D scanchain
*CAP
1 *1258:latch_enable_in 0.000474692
2 *1257:latch_enable_out 0.00195699
3 *934:14 0.00254856
4 *934:13 0.00207386
5 *934:11 0.00612628
6 *934:10 0.00612628
7 *934:8 0.00195699
8 *934:8 *951:10 0
9 *934:11 *951:11 0
10 *934:14 *951:14 0
11 *934:14 *952:10 0
12 *934:14 *954:10 0
13 *68:8 *934:11 0
14 *914:14 *934:8 0
15 *932:8 *934:8 0
16 *933:8 *934:8 0
*RES
1 *1257:latch_enable_out *934:8 47.703
2 *934:8 *934:10 9
3 *934:10 *934:11 127.857
4 *934:11 *934:13 9
5 *934:13 *934:14 54.0089
6 *934:14 *1258:latch_enable_in 5.31193
*END
*D_NET *935 0.000575811
*CONN
*I *1287:io_in[0] I *D user_module_341535056611770964
*I *1257:module_data_in[0] O *D scanchain
*CAP
1 *1287:io_in[0] 0.000287906
2 *1257:module_data_in[0] 0.000287906
*RES
1 *1257:module_data_in[0] *1287:io_in[0] 1.15307
*END
*D_NET *936 0.000575811
*CONN
*I *1287:io_in[1] I *D user_module_341535056611770964
*I *1257:module_data_in[1] O *D scanchain
*CAP
1 *1287:io_in[1] 0.000287906
2 *1257:module_data_in[1] 0.000287906
*RES
1 *1257:module_data_in[1] *1287:io_in[1] 1.15307
*END
*D_NET *937 0.000575811
*CONN
*I *1287:io_in[2] I *D user_module_341535056611770964
*I *1257:module_data_in[2] O *D scanchain
*CAP
1 *1287:io_in[2] 0.000287906
2 *1257:module_data_in[2] 0.000287906
*RES
1 *1257:module_data_in[2] *1287:io_in[2] 1.15307
*END
*D_NET *938 0.000575811
*CONN
*I *1287:io_in[3] I *D user_module_341535056611770964
*I *1257:module_data_in[3] O *D scanchain
*CAP
1 *1287:io_in[3] 0.000287906
2 *1257:module_data_in[3] 0.000287906
*RES
1 *1257:module_data_in[3] *1287:io_in[3] 1.15307
*END
*D_NET *939 0.000575811
*CONN
*I *1287:io_in[4] I *D user_module_341535056611770964
*I *1257:module_data_in[4] O *D scanchain
*CAP
1 *1287:io_in[4] 0.000287906
2 *1257:module_data_in[4] 0.000287906
*RES
1 *1257:module_data_in[4] *1287:io_in[4] 1.15307
*END
*D_NET *940 0.000575811
*CONN
*I *1287:io_in[5] I *D user_module_341535056611770964
*I *1257:module_data_in[5] O *D scanchain
*CAP
1 *1287:io_in[5] 0.000287906
2 *1257:module_data_in[5] 0.000287906
*RES
1 *1257:module_data_in[5] *1287:io_in[5] 1.15307
*END
*D_NET *941 0.000575811
*CONN
*I *1287:io_in[6] I *D user_module_341535056611770964
*I *1257:module_data_in[6] O *D scanchain
*CAP
1 *1287:io_in[6] 0.000287906
2 *1257:module_data_in[6] 0.000287906
*RES
1 *1257:module_data_in[6] *1287:io_in[6] 1.15307
*END
*D_NET *942 0.000575811
*CONN
*I *1287:io_in[7] I *D user_module_341535056611770964
*I *1257:module_data_in[7] O *D scanchain
*CAP
1 *1287:io_in[7] 0.000287906
2 *1257:module_data_in[7] 0.000287906
*RES
1 *1257:module_data_in[7] *1287:io_in[7] 1.15307
*END
*D_NET *943 0.000575811
*CONN
*I *1257:module_data_out[0] I *D scanchain
*I *1287:io_out[0] O *D user_module_341535056611770964
*CAP
1 *1257:module_data_out[0] 0.000287906
2 *1287:io_out[0] 0.000287906
*RES
1 *1287:io_out[0] *1257:module_data_out[0] 1.15307
*END
*D_NET *944 0.000575811
*CONN
*I *1257:module_data_out[1] I *D scanchain
*I *1287:io_out[1] O *D user_module_341535056611770964
*CAP
1 *1257:module_data_out[1] 0.000287906
2 *1287:io_out[1] 0.000287906
*RES
1 *1287:io_out[1] *1257:module_data_out[1] 1.15307
*END
*D_NET *945 0.000575811
*CONN
*I *1257:module_data_out[2] I *D scanchain
*I *1287:io_out[2] O *D user_module_341535056611770964
*CAP
1 *1257:module_data_out[2] 0.000287906
2 *1287:io_out[2] 0.000287906
*RES
1 *1287:io_out[2] *1257:module_data_out[2] 1.15307
*END
*D_NET *946 0.000575811
*CONN
*I *1257:module_data_out[3] I *D scanchain
*I *1287:io_out[3] O *D user_module_341535056611770964
*CAP
1 *1257:module_data_out[3] 0.000287906
2 *1287:io_out[3] 0.000287906
*RES
1 *1287:io_out[3] *1257:module_data_out[3] 1.15307
*END
*D_NET *947 0.000575811
*CONN
*I *1257:module_data_out[4] I *D scanchain
*I *1287:io_out[4] O *D user_module_341535056611770964
*CAP
1 *1257:module_data_out[4] 0.000287906
2 *1287:io_out[4] 0.000287906
*RES
1 *1287:io_out[4] *1257:module_data_out[4] 1.15307
*END
*D_NET *948 0.000575811
*CONN
*I *1257:module_data_out[5] I *D scanchain
*I *1287:io_out[5] O *D user_module_341535056611770964
*CAP
1 *1257:module_data_out[5] 0.000287906
2 *1287:io_out[5] 0.000287906
*RES
1 *1287:io_out[5] *1257:module_data_out[5] 1.15307
*END
*D_NET *949 0.000575811
*CONN
*I *1257:module_data_out[6] I *D scanchain
*I *1287:io_out[6] O *D user_module_341535056611770964
*CAP
1 *1257:module_data_out[6] 0.000287906
2 *1287:io_out[6] 0.000287906
*RES
1 *1287:io_out[6] *1257:module_data_out[6] 1.15307
*END
*D_NET *950 0.000575811
*CONN
*I *1257:module_data_out[7] I *D scanchain
*I *1287:io_out[7] O *D user_module_341535056611770964
*CAP
1 *1257:module_data_out[7] 0.000287906
2 *1287:io_out[7] 0.000287906
*RES
1 *1287:io_out[7] *1257:module_data_out[7] 1.15307
*END
*D_NET *951 0.0211566
*CONN
*I *1258:scan_select_in I *D scanchain
*I *1257:scan_select_out O *D scanchain
*CAP
1 *1258:scan_select_in 0.000492882
2 *1257:scan_select_out 0.00141977
3 *951:14 0.0030913
4 *951:13 0.00259841
5 *951:11 0.00606724
6 *951:10 0.00748701
7 *951:14 *952:10 0
8 *933:8 *951:10 0
9 *934:8 *951:10 0
10 *934:11 *951:11 0
11 *934:14 *951:14 0
*RES
1 *1257:scan_select_out *951:10 43.5053
2 *951:10 *951:11 126.625
3 *951:11 *951:13 9
4 *951:13 *951:14 67.6696
5 *951:14 *1258:scan_select_in 5.384
*END
*D_NET *952 0.0215456
*CONN
*I *1259:clk_in I *D scanchain
*I *1258:clk_out O *D scanchain
*CAP
1 *1259:clk_in 0.000692521
2 *1258:clk_out 0.000420905
3 *952:13 0.00668105
4 *952:12 0.00598853
5 *952:10 0.00367083
6 *952:9 0.00409174
7 *1259:clk_in *1259:data_in 0
8 *952:10 *953:10 0
9 *952:10 *954:10 0
10 *952:13 *953:13 0
11 *1258:data_in *952:10 0
12 *934:14 *952:10 0
13 *951:14 *952:10 0
*RES
1 *1258:clk_out *952:9 5.09573
2 *952:9 *952:10 95.5982
3 *952:10 *952:12 9
4 *952:12 *952:13 124.982
5 *952:13 *1259:clk_in 19.0142
*END
*D_NET *953 0.0213718
*CONN
*I *1259:data_in I *D scanchain
*I *1258:data_out O *D scanchain
*CAP
1 *1259:data_in 0.0010678
2 *1258:data_out 0.000384917
3 *953:13 0.00715472
4 *953:12 0.00608692
5 *953:10 0.00314628
6 *953:9 0.0035312
7 *953:10 *954:10 0
8 *953:10 *971:12 0
9 *1259:clk_in *1259:data_in 0
10 *952:10 *953:10 0
11 *952:13 *953:13 0
*RES
1 *1258:data_out *953:9 4.9516
2 *953:9 *953:10 81.9375
3 *953:10 *953:12 9
4 *953:12 *953:13 127.036
5 *953:13 *1259:data_in 31.5633
*END
*D_NET *954 0.0212641
*CONN
*I *1259:latch_enable_in I *D scanchain
*I *1258:latch_enable_out O *D scanchain
*CAP
1 *1259:latch_enable_in 0.000374747
2 *1258:latch_enable_out 0.00196388
3 *954:16 0.00254186
4 *954:15 0.00216712
5 *954:13 0.00612628
6 *954:12 0.00612628
7 *954:10 0.00196388
8 *954:10 *971:12 0
9 *954:13 *971:13 0
10 *954:16 *971:16 0
11 *954:16 *973:10 0
12 *954:16 *974:8 0
13 *954:16 *991:10 0
14 *68:8 *954:13 0
15 *934:14 *954:10 0
16 *952:10 *954:10 0
17 *953:10 *954:10 0
*RES
1 *1258:latch_enable_out *954:10 45.6755
2 *954:10 *954:12 9
3 *954:12 *954:13 127.857
4 *954:13 *954:15 9
5 *954:15 *954:16 56.4375
6 *954:16 *1259:latch_enable_in 4.91087
*END
*D_NET *955 0.000575811
*CONN
*I *1288:io_in[0] I *D user_module_341535056611770964
*I *1258:module_data_in[0] O *D scanchain
*CAP
1 *1288:io_in[0] 0.000287906
2 *1258:module_data_in[0] 0.000287906
*RES
1 *1258:module_data_in[0] *1288:io_in[0] 1.15307
*END
*D_NET *956 0.000575811
*CONN
*I *1288:io_in[1] I *D user_module_341535056611770964
*I *1258:module_data_in[1] O *D scanchain
*CAP
1 *1288:io_in[1] 0.000287906
2 *1258:module_data_in[1] 0.000287906
*RES
1 *1258:module_data_in[1] *1288:io_in[1] 1.15307
*END
*D_NET *957 0.000575811
*CONN
*I *1288:io_in[2] I *D user_module_341535056611770964
*I *1258:module_data_in[2] O *D scanchain
*CAP
1 *1288:io_in[2] 0.000287906
2 *1258:module_data_in[2] 0.000287906
*RES
1 *1258:module_data_in[2] *1288:io_in[2] 1.15307
*END
*D_NET *958 0.000575811
*CONN
*I *1288:io_in[3] I *D user_module_341535056611770964
*I *1258:module_data_in[3] O *D scanchain
*CAP
1 *1288:io_in[3] 0.000287906
2 *1258:module_data_in[3] 0.000287906
*RES
1 *1258:module_data_in[3] *1288:io_in[3] 1.15307
*END
*D_NET *959 0.000575811
*CONN
*I *1288:io_in[4] I *D user_module_341535056611770964
*I *1258:module_data_in[4] O *D scanchain
*CAP
1 *1288:io_in[4] 0.000287906
2 *1258:module_data_in[4] 0.000287906
*RES
1 *1258:module_data_in[4] *1288:io_in[4] 1.15307
*END
*D_NET *960 0.000575811
*CONN
*I *1288:io_in[5] I *D user_module_341535056611770964
*I *1258:module_data_in[5] O *D scanchain
*CAP
1 *1288:io_in[5] 0.000287906
2 *1258:module_data_in[5] 0.000287906
*RES
1 *1258:module_data_in[5] *1288:io_in[5] 1.15307
*END
*D_NET *961 0.000575811
*CONN
*I *1288:io_in[6] I *D user_module_341535056611770964
*I *1258:module_data_in[6] O *D scanchain
*CAP
1 *1288:io_in[6] 0.000287906
2 *1258:module_data_in[6] 0.000287906
*RES
1 *1258:module_data_in[6] *1288:io_in[6] 1.15307
*END
*D_NET *962 0.000575811
*CONN
*I *1288:io_in[7] I *D user_module_341535056611770964
*I *1258:module_data_in[7] O *D scanchain
*CAP
1 *1288:io_in[7] 0.000287906
2 *1258:module_data_in[7] 0.000287906
*RES
1 *1258:module_data_in[7] *1288:io_in[7] 1.15307
*END
*D_NET *963 0.000575811
*CONN
*I *1258:module_data_out[0] I *D scanchain
*I *1288:io_out[0] O *D user_module_341535056611770964
*CAP
1 *1258:module_data_out[0] 0.000287906
2 *1288:io_out[0] 0.000287906
*RES
1 *1288:io_out[0] *1258:module_data_out[0] 1.15307
*END
*D_NET *964 0.000575811
*CONN
*I *1258:module_data_out[1] I *D scanchain
*I *1288:io_out[1] O *D user_module_341535056611770964
*CAP
1 *1258:module_data_out[1] 0.000287906
2 *1288:io_out[1] 0.000287906
*RES
1 *1288:io_out[1] *1258:module_data_out[1] 1.15307
*END
*D_NET *965 0.000575811
*CONN
*I *1258:module_data_out[2] I *D scanchain
*I *1288:io_out[2] O *D user_module_341535056611770964
*CAP
1 *1258:module_data_out[2] 0.000287906
2 *1288:io_out[2] 0.000287906
*RES
1 *1288:io_out[2] *1258:module_data_out[2] 1.15307
*END
*D_NET *966 0.000575811
*CONN
*I *1258:module_data_out[3] I *D scanchain
*I *1288:io_out[3] O *D user_module_341535056611770964
*CAP
1 *1258:module_data_out[3] 0.000287906
2 *1288:io_out[3] 0.000287906
*RES
1 *1288:io_out[3] *1258:module_data_out[3] 1.15307
*END
*D_NET *967 0.000575811
*CONN
*I *1258:module_data_out[4] I *D scanchain
*I *1288:io_out[4] O *D user_module_341535056611770964
*CAP
1 *1258:module_data_out[4] 0.000287906
2 *1288:io_out[4] 0.000287906
*RES
1 *1288:io_out[4] *1258:module_data_out[4] 1.15307
*END
*D_NET *968 0.000575811
*CONN
*I *1258:module_data_out[5] I *D scanchain
*I *1288:io_out[5] O *D user_module_341535056611770964
*CAP
1 *1258:module_data_out[5] 0.000287906
2 *1288:io_out[5] 0.000287906
*RES
1 *1288:io_out[5] *1258:module_data_out[5] 1.15307
*END
*D_NET *969 0.000575811
*CONN
*I *1258:module_data_out[6] I *D scanchain
*I *1288:io_out[6] O *D user_module_341535056611770964
*CAP
1 *1258:module_data_out[6] 0.000287906
2 *1288:io_out[6] 0.000287906
*RES
1 *1288:io_out[6] *1258:module_data_out[6] 1.15307
*END
*D_NET *970 0.000575811
*CONN
*I *1258:module_data_out[7] I *D scanchain
*I *1288:io_out[7] O *D user_module_341535056611770964
*CAP
1 *1258:module_data_out[7] 0.000287906
2 *1288:io_out[7] 0.000287906
*RES
1 *1288:io_out[7] *1258:module_data_out[7] 1.15307
*END
*D_NET *971 0.0211566
*CONN
*I *1259:scan_select_in I *D scanchain
*I *1258:scan_select_out O *D scanchain
*CAP
1 *1259:scan_select_in 0.000392741
2 *1258:scan_select_out 0.00142665
3 *971:16 0.00308441
4 *971:15 0.00269167
5 *971:13 0.00606724
6 *971:12 0.0074939
7 *953:10 *971:12 0
8 *954:10 *971:12 0
9 *954:13 *971:13 0
10 *954:16 *971:16 0
*RES
1 *1258:scan_select_out *971:12 41.4777
2 *971:12 *971:13 126.625
3 *971:13 *971:15 9
4 *971:15 *971:16 70.0982
5 *971:16 *1259:scan_select_in 4.98293
*END
*D_NET *972 0.0225445
*CONN
*I *1260:clk_in I *D scanchain
*I *1259:clk_out O *D scanchain
*CAP
1 *1260:clk_in 0.00030277
2 *1259:clk_out 0.000425186
3 *972:20 0.00284753
4 *972:18 0.00429995
5 *972:15 0.00175519
6 *972:13 0.00624436
7 *972:12 0.00666954
8 *972:18 *973:14 0
9 *972:18 *993:10 0
10 *972:20 *973:18 0
*RES
1 *1259:clk_out *972:12 22.3106
2 *972:12 *972:13 130.321
3 *972:13 *972:15 9
4 *972:15 *972:18 45.7411
5 *972:18 *972:20 66.3036
6 *972:20 *1260:clk_in 4.6226
*END
*D_NET *973 0.0238347
*CONN
*I *1260:data_in I *D scanchain
*I *1259:data_out O *D scanchain
*CAP
1 *1260:data_in 0.000320764
2 *1259:data_out 0.0010128
3 *973:18 0.00232932
4 *973:16 0.00202912
5 *973:14 0.00197667
6 *973:13 0.0019561
7 *973:11 0.00659859
8 *973:10 0.00761139
9 *973:10 *974:8 0
10 *973:10 *991:10 0
11 *973:11 *974:11 0
12 *973:14 *991:14 0
13 *973:18 *1260:scan_select_in 0
14 *973:18 *991:14 0
15 *954:16 *973:10 0
16 *972:18 *973:14 0
17 *972:20 *973:18 0
*RES
1 *1259:data_out *973:10 32.1137
2 *973:10 *973:11 137.714
3 *973:11 *973:13 9
4 *973:13 *973:14 50.9732
5 *973:14 *973:16 0.535714
6 *973:16 *973:18 52.3393
7 *973:18 *1260:data_in 4.69467
*END
*D_NET *974 0.0239765
*CONN
*I *1260:latch_enable_in I *D scanchain
*I *1259:latch_enable_out O *D scanchain
*CAP
1 *1260:latch_enable_in 0.000661198
2 *1259:latch_enable_out 0.00197929
3 *974:14 0.00337104
4 *974:13 0.00270984
5 *974:11 0.00663795
6 *974:10 0.00663795
7 *974:8 0.00197929
8 *1260:latch_enable_in *1260:scan_select_in 0
9 *974:8 *991:10 0
10 *974:11 *991:11 0
11 *974:14 *1260:scan_select_in 0
12 *974:14 *991:14 0
13 *974:14 *994:8 0
14 *974:14 *1011:10 0
15 *68:8 *974:11 0
16 *954:16 *974:8 0
17 *973:10 *974:8 0
18 *973:11 *974:11 0
*RES
1 *1259:latch_enable_out *974:8 49.0767
2 *974:8 *974:10 9
3 *974:10 *974:11 138.536
4 *974:11 *974:13 9
5 *974:13 *974:14 70.6339
6 *974:14 *1260:latch_enable_in 12.7674
*END
*D_NET *975 0.000575811
*CONN
*I *1289:io_in[0] I *D user_module_341535056611770964
*I *1259:module_data_in[0] O *D scanchain
*CAP
1 *1289:io_in[0] 0.000287906
2 *1259:module_data_in[0] 0.000287906
*RES
1 *1259:module_data_in[0] *1289:io_in[0] 1.15307
*END
*D_NET *976 0.000575811
*CONN
*I *1289:io_in[1] I *D user_module_341535056611770964
*I *1259:module_data_in[1] O *D scanchain
*CAP
1 *1289:io_in[1] 0.000287906
2 *1259:module_data_in[1] 0.000287906
*RES
1 *1259:module_data_in[1] *1289:io_in[1] 1.15307
*END
*D_NET *977 0.000575811
*CONN
*I *1289:io_in[2] I *D user_module_341535056611770964
*I *1259:module_data_in[2] O *D scanchain
*CAP
1 *1289:io_in[2] 0.000287906
2 *1259:module_data_in[2] 0.000287906
*RES
1 *1259:module_data_in[2] *1289:io_in[2] 1.15307
*END
*D_NET *978 0.000575811
*CONN
*I *1289:io_in[3] I *D user_module_341535056611770964
*I *1259:module_data_in[3] O *D scanchain
*CAP
1 *1289:io_in[3] 0.000287906
2 *1259:module_data_in[3] 0.000287906
*RES
1 *1259:module_data_in[3] *1289:io_in[3] 1.15307
*END
*D_NET *979 0.000575811
*CONN
*I *1289:io_in[4] I *D user_module_341535056611770964
*I *1259:module_data_in[4] O *D scanchain
*CAP
1 *1289:io_in[4] 0.000287906
2 *1259:module_data_in[4] 0.000287906
*RES
1 *1259:module_data_in[4] *1289:io_in[4] 1.15307
*END
*D_NET *980 0.000575811
*CONN
*I *1289:io_in[5] I *D user_module_341535056611770964
*I *1259:module_data_in[5] O *D scanchain
*CAP
1 *1289:io_in[5] 0.000287906
2 *1259:module_data_in[5] 0.000287906
*RES
1 *1259:module_data_in[5] *1289:io_in[5] 1.15307
*END
*D_NET *981 0.000575811
*CONN
*I *1289:io_in[6] I *D user_module_341535056611770964
*I *1259:module_data_in[6] O *D scanchain
*CAP
1 *1289:io_in[6] 0.000287906
2 *1259:module_data_in[6] 0.000287906
*RES
1 *1259:module_data_in[6] *1289:io_in[6] 1.15307
*END
*D_NET *982 0.000575811
*CONN
*I *1289:io_in[7] I *D user_module_341535056611770964
*I *1259:module_data_in[7] O *D scanchain
*CAP
1 *1289:io_in[7] 0.000287906
2 *1259:module_data_in[7] 0.000287906
*RES
1 *1259:module_data_in[7] *1289:io_in[7] 1.15307
*END
*D_NET *983 0.000575811
*CONN
*I *1259:module_data_out[0] I *D scanchain
*I *1289:io_out[0] O *D user_module_341535056611770964
*CAP
1 *1259:module_data_out[0] 0.000287906
2 *1289:io_out[0] 0.000287906
*RES
1 *1289:io_out[0] *1259:module_data_out[0] 1.15307
*END
*D_NET *984 0.000575811
*CONN
*I *1259:module_data_out[1] I *D scanchain
*I *1289:io_out[1] O *D user_module_341535056611770964
*CAP
1 *1259:module_data_out[1] 0.000287906
2 *1289:io_out[1] 0.000287906
*RES
1 *1289:io_out[1] *1259:module_data_out[1] 1.15307
*END
*D_NET *985 0.000575811
*CONN
*I *1259:module_data_out[2] I *D scanchain
*I *1289:io_out[2] O *D user_module_341535056611770964
*CAP
1 *1259:module_data_out[2] 0.000287906
2 *1289:io_out[2] 0.000287906
*RES
1 *1289:io_out[2] *1259:module_data_out[2] 1.15307
*END
*D_NET *986 0.000575811
*CONN
*I *1259:module_data_out[3] I *D scanchain
*I *1289:io_out[3] O *D user_module_341535056611770964
*CAP
1 *1259:module_data_out[3] 0.000287906
2 *1289:io_out[3] 0.000287906
*RES
1 *1289:io_out[3] *1259:module_data_out[3] 1.15307
*END
*D_NET *987 0.000575811
*CONN
*I *1259:module_data_out[4] I *D scanchain
*I *1289:io_out[4] O *D user_module_341535056611770964
*CAP
1 *1259:module_data_out[4] 0.000287906
2 *1289:io_out[4] 0.000287906
*RES
1 *1289:io_out[4] *1259:module_data_out[4] 1.15307
*END
*D_NET *988 0.000575811
*CONN
*I *1259:module_data_out[5] I *D scanchain
*I *1289:io_out[5] O *D user_module_341535056611770964
*CAP
1 *1259:module_data_out[5] 0.000287906
2 *1289:io_out[5] 0.000287906
*RES
1 *1289:io_out[5] *1259:module_data_out[5] 1.15307
*END
*D_NET *989 0.000575811
*CONN
*I *1259:module_data_out[6] I *D scanchain
*I *1289:io_out[6] O *D user_module_341535056611770964
*CAP
1 *1259:module_data_out[6] 0.000287906
2 *1289:io_out[6] 0.000287906
*RES
1 *1289:io_out[6] *1259:module_data_out[6] 1.15307
*END
*D_NET *990 0.000575811
*CONN
*I *1259:module_data_out[7] I *D scanchain
*I *1289:io_out[7] O *D user_module_341535056611770964
*CAP
1 *1259:module_data_out[7] 0.000287906
2 *1289:io_out[7] 0.000287906
*RES
1 *1289:io_out[7] *1259:module_data_out[7] 1.15307
*END
*D_NET *991 0.0238347
*CONN
*I *1260:scan_select_in I *D scanchain
*I *1259:scan_select_out O *D scanchain
*CAP
1 *1260:scan_select_in 0.00183287
2 *1259:scan_select_out 0.00147273
3 *991:14 0.00384605
4 *991:13 0.00201318
5 *991:11 0.00659859
6 *991:10 0.00807132
7 *1260:scan_select_in *994:8 0
8 *991:14 *994:8 0
9 *1260:latch_enable_in *1260:scan_select_in 0
10 *68:8 *991:11 0
11 *954:16 *991:10 0
12 *973:10 *991:10 0
13 *973:14 *991:14 0
14 *973:18 *1260:scan_select_in 0
15 *973:18 *991:14 0
16 *974:8 *991:10 0
17 *974:11 *991:11 0
18 *974:14 *1260:scan_select_in 0
19 *974:14 *991:14 0
*RES
1 *1259:scan_select_out *991:10 44.488
2 *991:10 *991:11 137.714
3 *991:11 *991:13 9
4 *991:13 *991:14 52.4911
5 *991:14 *1260:scan_select_in 43.6774
*END
*D_NET *992 0.0198449
*CONN
*I *1261:clk_in I *D scanchain
*I *1260:clk_out O *D scanchain
*CAP
1 *1261:clk_in 0.000446723
2 *1260:clk_out 0.000155285
3 *992:16 0.00415252
4 *992:15 0.0037058
5 *992:13 0.00561462
6 *992:12 0.0057699
7 *992:13 *993:11 0
8 *992:16 *993:14 0
9 *992:16 *1014:8 0
*RES
1 *1260:clk_out *992:12 13.523
2 *992:12 *992:13 117.179
3 *992:13 *992:15 9
4 *992:15 *992:16 96.5089
5 *992:16 *1261:clk_in 5.19913
*END
*D_NET *993 0.0213194
*CONN
*I *1261:data_in I *D scanchain
*I *1260:data_out O *D scanchain
*CAP
1 *1261:data_in 0.000464717
2 *1260:data_out 0.00094286
3 *993:14 0.00366928
4 *993:13 0.00320456
5 *993:11 0.00604756
6 *993:10 0.00699042
7 *993:11 *1011:11 0
8 *993:14 *1011:14 0
9 *993:14 *1014:8 0
10 *972:18 *993:10 0
11 *992:13 *993:11 0
12 *992:16 *993:14 0
*RES
1 *1260:data_out *993:10 30.2922
2 *993:10 *993:11 126.214
3 *993:11 *993:13 9
4 *993:13 *993:14 83.4554
5 *993:14 *1261:data_in 5.2712
*END
*D_NET *994 0.0217071
*CONN
*I *1261:latch_enable_in I *D scanchain
*I *1260:latch_enable_out O *D scanchain
*CAP
1 *1261:latch_enable_in 0.000500705
2 *1260:latch_enable_out 0.00206382
3 *994:14 0.00270279
4 *994:13 0.00220209
5 *994:11 0.00608692
6 *994:10 0.00608692
7 *994:8 0.00206382
8 *994:8 *1011:10 0
9 *994:11 *1011:11 0
10 *994:14 *1011:14 0
11 *1260:scan_select_in *994:8 0
12 *974:14 *994:8 0
13 *991:14 *994:8 0
*RES
1 *1260:latch_enable_out *994:8 48.9019
2 *994:8 *994:10 9
3 *994:10 *994:11 127.036
4 *994:11 *994:13 9
5 *994:13 *994:14 57.3482
6 *994:14 *1261:latch_enable_in 5.41533
*END
*D_NET *995 0.00158348
*CONN
*I *1290:io_in[0] I *D user_module_341535056611770964
*I *1260:module_data_in[0] O *D scanchain
*CAP
1 *1290:io_in[0] 0.00079174
2 *1260:module_data_in[0] 0.00079174
*RES
1 *1260:module_data_in[0] *1290:io_in[0] 3.17093
*END
*D_NET *996 0.00158348
*CONN
*I *1290:io_in[1] I *D user_module_341535056611770964
*I *1260:module_data_in[1] O *D scanchain
*CAP
1 *1290:io_in[1] 0.00079174
2 *1260:module_data_in[1] 0.00079174
*RES
1 *1260:module_data_in[1] *1290:io_in[1] 3.17093
*END
*D_NET *997 0.00158348
*CONN
*I *1290:io_in[2] I *D user_module_341535056611770964
*I *1260:module_data_in[2] O *D scanchain
*CAP
1 *1290:io_in[2] 0.00079174
2 *1260:module_data_in[2] 0.00079174
*RES
1 *1260:module_data_in[2] *1290:io_in[2] 3.17093
*END
*D_NET *998 0.00158348
*CONN
*I *1290:io_in[3] I *D user_module_341535056611770964
*I *1260:module_data_in[3] O *D scanchain
*CAP
1 *1290:io_in[3] 0.00079174
2 *1260:module_data_in[3] 0.00079174
*RES
1 *1260:module_data_in[3] *1290:io_in[3] 3.17093
*END
*D_NET *999 0.00158348
*CONN
*I *1290:io_in[4] I *D user_module_341535056611770964
*I *1260:module_data_in[4] O *D scanchain
*CAP
1 *1290:io_in[4] 0.00079174
2 *1260:module_data_in[4] 0.00079174
*RES
1 *1260:module_data_in[4] *1290:io_in[4] 3.17093
*END
*D_NET *1000 0.00158348
*CONN
*I *1290:io_in[5] I *D user_module_341535056611770964
*I *1260:module_data_in[5] O *D scanchain
*CAP
1 *1290:io_in[5] 0.00079174
2 *1260:module_data_in[5] 0.00079174
*RES
1 *1260:module_data_in[5] *1290:io_in[5] 3.17093
*END
*D_NET *1001 0.00158348
*CONN
*I *1290:io_in[6] I *D user_module_341535056611770964
*I *1260:module_data_in[6] O *D scanchain
*CAP
1 *1290:io_in[6] 0.00079174
2 *1260:module_data_in[6] 0.00079174
*RES
1 *1260:module_data_in[6] *1290:io_in[6] 3.17093
*END
*D_NET *1002 0.00158348
*CONN
*I *1290:io_in[7] I *D user_module_341535056611770964
*I *1260:module_data_in[7] O *D scanchain
*CAP
1 *1290:io_in[7] 0.00079174
2 *1260:module_data_in[7] 0.00079174
*RES
1 *1260:module_data_in[7] *1290:io_in[7] 3.17093
*END
*D_NET *1003 0.00158348
*CONN
*I *1260:module_data_out[0] I *D scanchain
*I *1290:io_out[0] O *D user_module_341535056611770964
*CAP
1 *1260:module_data_out[0] 0.00079174
2 *1290:io_out[0] 0.00079174
*RES
1 *1290:io_out[0] *1260:module_data_out[0] 3.17093
*END
*D_NET *1004 0.00158348
*CONN
*I *1260:module_data_out[1] I *D scanchain
*I *1290:io_out[1] O *D user_module_341535056611770964
*CAP
1 *1260:module_data_out[1] 0.00079174
2 *1290:io_out[1] 0.00079174
*RES
1 *1290:io_out[1] *1260:module_data_out[1] 3.17093
*END
*D_NET *1005 0.00158348
*CONN
*I *1260:module_data_out[2] I *D scanchain
*I *1290:io_out[2] O *D user_module_341535056611770964
*CAP
1 *1260:module_data_out[2] 0.00079174
2 *1290:io_out[2] 0.00079174
*RES
1 *1290:io_out[2] *1260:module_data_out[2] 3.17093
*END
*D_NET *1006 0.00158348
*CONN
*I *1260:module_data_out[3] I *D scanchain
*I *1290:io_out[3] O *D user_module_341535056611770964
*CAP
1 *1260:module_data_out[3] 0.00079174
2 *1290:io_out[3] 0.00079174
*RES
1 *1290:io_out[3] *1260:module_data_out[3] 3.17093
*END
*D_NET *1007 0.00158348
*CONN
*I *1260:module_data_out[4] I *D scanchain
*I *1290:io_out[4] O *D user_module_341535056611770964
*CAP
1 *1260:module_data_out[4] 0.00079174
2 *1290:io_out[4] 0.00079174
*RES
1 *1290:io_out[4] *1260:module_data_out[4] 3.17093
*END
*D_NET *1008 0.00158348
*CONN
*I *1260:module_data_out[5] I *D scanchain
*I *1290:io_out[5] O *D user_module_341535056611770964
*CAP
1 *1260:module_data_out[5] 0.00079174
2 *1290:io_out[5] 0.00079174
*RES
1 *1290:io_out[5] *1260:module_data_out[5] 3.17093
*END
*D_NET *1009 0.00158348
*CONN
*I *1260:module_data_out[6] I *D scanchain
*I *1290:io_out[6] O *D user_module_341535056611770964
*CAP
1 *1260:module_data_out[6] 0.00079174
2 *1290:io_out[6] 0.00079174
*RES
1 *1290:io_out[6] *1260:module_data_out[6] 3.17093
*END
*D_NET *1010 0.00158348
*CONN
*I *1260:module_data_out[7] I *D scanchain
*I *1290:io_out[7] O *D user_module_341535056611770964
*CAP
1 *1260:module_data_out[7] 0.00079174
2 *1290:io_out[7] 0.00079174
*RES
1 *1290:io_out[7] *1260:module_data_out[7] 3.17093
*END
*D_NET *1011 0.0217393
*CONN
*I *1261:scan_select_in I *D scanchain
*I *1260:scan_select_out O *D scanchain
*CAP
1 *1261:scan_select_in 0.000482711
2 *1260:scan_select_out 0.00155734
3 *1011:14 0.00318604
4 *1011:13 0.00270333
5 *1011:11 0.00612628
6 *1011:10 0.00768362
7 *1011:14 *1014:8 0
8 *974:14 *1011:10 0
9 *993:11 *1011:11 0
10 *993:14 *1011:14 0
11 *994:8 *1011:10 0
12 *994:11 *1011:11 0
13 *994:14 *1011:14 0
*RES
1 *1260:scan_select_out *1011:10 44.3133
2 *1011:10 *1011:11 127.857
3 *1011:11 *1011:13 9
4 *1011:13 *1011:14 70.4018
5 *1011:14 *1261:scan_select_in 5.34327
*END
*D_NET *1012 0.019192
*CONN
*I *1262:clk_in I *D scanchain
*I *1261:clk_out O *D scanchain
*CAP
1 *1262:clk_in 0.000428729
2 *1261:clk_out 0.00538571
3 *1012:10 0.0042103
4 *1012:9 0.00916728
5 *1012:9 *1261:module_data_out[7] 0
6 *1012:10 *1013:14 0
7 *1012:10 *1014:14 0
8 *1012:10 *1031:14 0
9 *1012:10 *1034:8 0
*RES
1 *1261:clk_out *1012:9 24.9799
2 *1012:9 *1012:10 98.4821
3 *1012:10 *1262:clk_in 5.12707
*END
*D_NET *1013 0.0212728
*CONN
*I *1262:data_in I *D scanchain
*I *1261:data_out O *D scanchain
*CAP
1 *1262:data_in 0.000446723
2 *1261:data_out 0.000949197
3 *1013:14 0.00363963
4 *1013:13 0.00319291
5 *1013:11 0.00604756
6 *1013:10 0.00699676
7 *1013:10 *1031:10 0
8 *1013:11 *1031:11 0
9 *1013:14 *1031:14 0
10 *1012:10 *1013:14 0
*RES
1 *1261:data_out *1013:10 30.0607
2 *1013:10 *1013:11 126.214
3 *1013:11 *1013:13 9
4 *1013:13 *1013:14 83.1518
5 *1013:14 *1262:data_in 5.19913
*END
*D_NET *1014 0.0215099
*CONN
*I *1262:latch_enable_in I *D scanchain
*I *1261:latch_enable_out O *D scanchain
*CAP
1 *1262:latch_enable_in 0.000482711
2 *1261:latch_enable_out 0.00203425
3 *1014:14 0.00267314
4 *1014:13 0.00219043
5 *1014:11 0.00604756
6 *1014:10 0.00604756
7 *1014:8 0.00203425
8 *1014:8 *1031:10 0
9 *1014:11 *1031:11 0
10 *1014:14 *1031:14 0
11 *992:16 *1014:8 0
12 *993:14 *1014:8 0
13 *1011:14 *1014:8 0
14 *1012:10 *1014:14 0
*RES
1 *1261:latch_enable_out *1014:8 48.5263
2 *1014:8 *1014:10 9
3 *1014:10 *1014:11 126.214
4 *1014:11 *1014:13 9
5 *1014:13 *1014:14 57.0446
6 *1014:14 *1262:latch_enable_in 5.34327
*END
*D_NET *1015 0.00158348
*CONN
*I *1291:io_in[0] I *D user_module_341535056611770964
*I *1261:module_data_in[0] O *D scanchain
*CAP
1 *1291:io_in[0] 0.00079174
2 *1261:module_data_in[0] 0.00079174
*RES
1 *1261:module_data_in[0] *1291:io_in[0] 3.17093
*END
*D_NET *1016 0.00158348
*CONN
*I *1291:io_in[1] I *D user_module_341535056611770964
*I *1261:module_data_in[1] O *D scanchain
*CAP
1 *1291:io_in[1] 0.00079174
2 *1261:module_data_in[1] 0.00079174
*RES
1 *1261:module_data_in[1] *1291:io_in[1] 3.17093
*END
*D_NET *1017 0.00158348
*CONN
*I *1291:io_in[2] I *D user_module_341535056611770964
*I *1261:module_data_in[2] O *D scanchain
*CAP
1 *1291:io_in[2] 0.00079174
2 *1261:module_data_in[2] 0.00079174
*RES
1 *1261:module_data_in[2] *1291:io_in[2] 3.17093
*END
*D_NET *1018 0.00158348
*CONN
*I *1291:io_in[3] I *D user_module_341535056611770964
*I *1261:module_data_in[3] O *D scanchain
*CAP
1 *1291:io_in[3] 0.00079174
2 *1261:module_data_in[3] 0.00079174
*RES
1 *1261:module_data_in[3] *1291:io_in[3] 3.17093
*END
*D_NET *1019 0.00158348
*CONN
*I *1291:io_in[4] I *D user_module_341535056611770964
*I *1261:module_data_in[4] O *D scanchain
*CAP
1 *1291:io_in[4] 0.00079174
2 *1261:module_data_in[4] 0.00079174
*RES
1 *1261:module_data_in[4] *1291:io_in[4] 3.17093
*END
*D_NET *1020 0.00158348
*CONN
*I *1291:io_in[5] I *D user_module_341535056611770964
*I *1261:module_data_in[5] O *D scanchain
*CAP
1 *1291:io_in[5] 0.00079174
2 *1261:module_data_in[5] 0.00079174
*RES
1 *1261:module_data_in[5] *1291:io_in[5] 3.17093
*END
*D_NET *1021 0.00158348
*CONN
*I *1291:io_in[6] I *D user_module_341535056611770964
*I *1261:module_data_in[6] O *D scanchain
*CAP
1 *1291:io_in[6] 0.00079174
2 *1261:module_data_in[6] 0.00079174
*RES
1 *1261:module_data_in[6] *1291:io_in[6] 3.17093
*END
*D_NET *1022 0.00158348
*CONN
*I *1291:io_in[7] I *D user_module_341535056611770964
*I *1261:module_data_in[7] O *D scanchain
*CAP
1 *1291:io_in[7] 0.00079174
2 *1261:module_data_in[7] 0.00079174
*RES
1 *1261:module_data_in[7] *1291:io_in[7] 3.17093
*END
*D_NET *1023 0.00158348
*CONN
*I *1261:module_data_out[0] I *D scanchain
*I *1291:io_out[0] O *D user_module_341535056611770964
*CAP
1 *1261:module_data_out[0] 0.00079174
2 *1291:io_out[0] 0.00079174
*RES
1 *1291:io_out[0] *1261:module_data_out[0] 3.17093
*END
*D_NET *1024 0.00158348
*CONN
*I *1261:module_data_out[1] I *D scanchain
*I *1291:io_out[1] O *D user_module_341535056611770964
*CAP
1 *1261:module_data_out[1] 0.00079174
2 *1291:io_out[1] 0.00079174
*RES
1 *1291:io_out[1] *1261:module_data_out[1] 3.17093
*END
*D_NET *1025 0.00158348
*CONN
*I *1261:module_data_out[2] I *D scanchain
*I *1291:io_out[2] O *D user_module_341535056611770964
*CAP
1 *1261:module_data_out[2] 0.00079174
2 *1291:io_out[2] 0.00079174
*RES
1 *1291:io_out[2] *1261:module_data_out[2] 3.17093
*END
*D_NET *1026 0.00158348
*CONN
*I *1261:module_data_out[3] I *D scanchain
*I *1291:io_out[3] O *D user_module_341535056611770964
*CAP
1 *1261:module_data_out[3] 0.00079174
2 *1291:io_out[3] 0.00079174
*RES
1 *1291:io_out[3] *1261:module_data_out[3] 3.17093
*END
*D_NET *1027 0.00158348
*CONN
*I *1261:module_data_out[4] I *D scanchain
*I *1291:io_out[4] O *D user_module_341535056611770964
*CAP
1 *1261:module_data_out[4] 0.00079174
2 *1291:io_out[4] 0.00079174
*RES
1 *1291:io_out[4] *1261:module_data_out[4] 3.17093
*END
*D_NET *1028 0.00158348
*CONN
*I *1261:module_data_out[5] I *D scanchain
*I *1291:io_out[5] O *D user_module_341535056611770964
*CAP
1 *1261:module_data_out[5] 0.00079174
2 *1291:io_out[5] 0.00079174
*RES
1 *1291:io_out[5] *1261:module_data_out[5] 3.17093
*END
*D_NET *1029 0.00158348
*CONN
*I *1261:module_data_out[6] I *D scanchain
*I *1291:io_out[6] O *D user_module_341535056611770964
*CAP
1 *1261:module_data_out[6] 0.00079174
2 *1291:io_out[6] 0.00079174
*RES
1 *1291:io_out[6] *1261:module_data_out[6] 3.17093
*END
*D_NET *1030 0.00158348
*CONN
*I *1261:module_data_out[7] I *D scanchain
*I *1291:io_out[7] O *D user_module_341535056611770964
*CAP
1 *1261:module_data_out[7] 0.00079174
2 *1291:io_out[7] 0.00079174
3 *1012:9 *1261:module_data_out[7] 0
*RES
1 *1291:io_out[7] *1261:module_data_out[7] 3.17093
*END
*D_NET *1031 0.0213913
*CONN
*I *1262:scan_select_in I *D scanchain
*I *1261:scan_select_out O *D scanchain
*CAP
1 *1262:scan_select_in 0.000464717
2 *1261:scan_select_out 0.0014917
3 *1031:14 0.00315639
4 *1031:13 0.00269167
5 *1031:11 0.00604756
6 *1031:10 0.00753927
7 *1012:10 *1031:14 0
8 *1013:10 *1031:10 0
9 *1013:11 *1031:11 0
10 *1013:14 *1031:14 0
11 *1014:8 *1031:10 0
12 *1014:11 *1031:11 0
13 *1014:14 *1031:14 0
*RES
1 *1261:scan_select_out *1031:10 43.7935
2 *1031:10 *1031:11 126.214
3 *1031:11 *1031:13 9
4 *1031:13 *1031:14 70.0982
5 *1031:14 *1262:scan_select_in 5.2712
*END
*D_NET *1032 0.019192
*CONN
*I *1264:clk_in I *D scanchain
*I *1262:clk_out O *D scanchain
*CAP
1 *1264:clk_in 0.000446723
2 *1262:clk_out 0.00536772
3 *1032:10 0.00422829
4 *1032:9 0.00914929
5 *1032:9 *1262:module_data_out[7] 0
6 *1032:10 *1033:18 0
7 *1032:10 *1054:8 0
*RES
1 *1262:clk_out *1032:9 24.9078
2 *1032:9 *1032:10 98.4821
3 *1032:10 *1264:clk_in 5.19913
*END
*D_NET *1033 0.0213898
*CONN
*I *1264:data_in I *D scanchain
*I *1262:data_out O *D scanchain
*CAP
1 *1264:data_in 0.000464717
2 *1262:data_out 0.00094286
3 *1033:18 0.00368094
4 *1033:17 0.0032821
5 *1033:11 0.00607109
6 *1033:10 0.00694807
7 *1033:10 *1051:10 0
8 *1033:11 *1034:11 0
9 *1033:11 *1051:11 0
10 *1033:17 *1051:11 0
11 *1033:17 *1051:15 0
12 *1033:18 *1034:14 0
13 *1033:18 *1051:16 0
14 *1032:10 *1033:18 0
*RES
1 *1262:data_out *1033:10 30.2922
2 *1033:10 *1033:11 125.393
3 *1033:11 *1033:17 10.375
4 *1033:17 *1033:18 83.7589
5 *1033:18 *1264:data_in 5.2712
*END
*D_NET *1034 0.0215165
*CONN
*I *1264:latch_enable_in I *D scanchain
*I *1262:latch_enable_out O *D scanchain
*CAP
1 *1264:latch_enable_in 0.000773609
2 *1262:latch_enable_out 0.00201626
3 *1034:14 0.00271411
4 *1034:13 0.0019405
5 *1034:11 0.00602789
6 *1034:10 0.00602789
7 *1034:8 0.00201626
8 *1264:latch_enable_in *1051:16 0
9 *1034:8 *1051:10 0
10 *1034:11 *1051:11 0
11 *1034:14 *1051:16 0
12 *1012:10 *1034:8 0
13 *1033:11 *1034:11 0
14 *1033:18 *1034:14 0
*RES
1 *1262:latch_enable_out *1034:8 48.4542
2 *1034:8 *1034:10 9
3 *1034:10 *1034:11 125.804
4 *1034:11 *1034:13 9
5 *1034:13 *1034:14 50.5982
6 *1034:14 *1264:latch_enable_in 12.5225
*END
*D_NET *1035 0.00158348
*CONN
*I *1292:io_in[0] I *D user_module_341535056611770964
*I *1262:module_data_in[0] O *D scanchain
*CAP
1 *1292:io_in[0] 0.00079174
2 *1262:module_data_in[0] 0.00079174
*RES
1 *1262:module_data_in[0] *1292:io_in[0] 3.17093
*END
*D_NET *1036 0.00158348
*CONN
*I *1292:io_in[1] I *D user_module_341535056611770964
*I *1262:module_data_in[1] O *D scanchain
*CAP
1 *1292:io_in[1] 0.00079174
2 *1262:module_data_in[1] 0.00079174
*RES
1 *1262:module_data_in[1] *1292:io_in[1] 3.17093
*END
*D_NET *1037 0.00158348
*CONN
*I *1292:io_in[2] I *D user_module_341535056611770964
*I *1262:module_data_in[2] O *D scanchain
*CAP
1 *1292:io_in[2] 0.00079174
2 *1262:module_data_in[2] 0.00079174
*RES
1 *1262:module_data_in[2] *1292:io_in[2] 3.17093
*END
*D_NET *1038 0.00158348
*CONN
*I *1292:io_in[3] I *D user_module_341535056611770964
*I *1262:module_data_in[3] O *D scanchain
*CAP
1 *1292:io_in[3] 0.00079174
2 *1262:module_data_in[3] 0.00079174
*RES
1 *1262:module_data_in[3] *1292:io_in[3] 3.17093
*END
*D_NET *1039 0.00158348
*CONN
*I *1292:io_in[4] I *D user_module_341535056611770964
*I *1262:module_data_in[4] O *D scanchain
*CAP
1 *1292:io_in[4] 0.00079174
2 *1262:module_data_in[4] 0.00079174
*RES
1 *1262:module_data_in[4] *1292:io_in[4] 3.17093
*END
*D_NET *1040 0.00158348
*CONN
*I *1292:io_in[5] I *D user_module_341535056611770964
*I *1262:module_data_in[5] O *D scanchain
*CAP
1 *1292:io_in[5] 0.00079174
2 *1262:module_data_in[5] 0.00079174
*RES
1 *1262:module_data_in[5] *1292:io_in[5] 3.17093
*END
*D_NET *1041 0.00158348
*CONN
*I *1292:io_in[6] I *D user_module_341535056611770964
*I *1262:module_data_in[6] O *D scanchain
*CAP
1 *1292:io_in[6] 0.00079174
2 *1262:module_data_in[6] 0.00079174
*RES
1 *1262:module_data_in[6] *1292:io_in[6] 3.17093
*END
*D_NET *1042 0.00158348
*CONN
*I *1292:io_in[7] I *D user_module_341535056611770964
*I *1262:module_data_in[7] O *D scanchain
*CAP
1 *1292:io_in[7] 0.00079174
2 *1262:module_data_in[7] 0.00079174
*RES
1 *1262:module_data_in[7] *1292:io_in[7] 3.17093
*END
*D_NET *1043 0.00158348
*CONN
*I *1262:module_data_out[0] I *D scanchain
*I *1292:io_out[0] O *D user_module_341535056611770964
*CAP
1 *1262:module_data_out[0] 0.00079174
2 *1292:io_out[0] 0.00079174
*RES
1 *1292:io_out[0] *1262:module_data_out[0] 3.17093
*END
*D_NET *1044 0.00158348
*CONN
*I *1262:module_data_out[1] I *D scanchain
*I *1292:io_out[1] O *D user_module_341535056611770964
*CAP
1 *1262:module_data_out[1] 0.00079174
2 *1292:io_out[1] 0.00079174
*RES
1 *1292:io_out[1] *1262:module_data_out[1] 3.17093
*END
*D_NET *1045 0.00158348
*CONN
*I *1262:module_data_out[2] I *D scanchain
*I *1292:io_out[2] O *D user_module_341535056611770964
*CAP
1 *1262:module_data_out[2] 0.00079174
2 *1292:io_out[2] 0.00079174
*RES
1 *1292:io_out[2] *1262:module_data_out[2] 3.17093
*END
*D_NET *1046 0.00158348
*CONN
*I *1262:module_data_out[3] I *D scanchain
*I *1292:io_out[3] O *D user_module_341535056611770964
*CAP
1 *1262:module_data_out[3] 0.00079174
2 *1292:io_out[3] 0.00079174
*RES
1 *1292:io_out[3] *1262:module_data_out[3] 3.17093
*END
*D_NET *1047 0.00158348
*CONN
*I *1262:module_data_out[4] I *D scanchain
*I *1292:io_out[4] O *D user_module_341535056611770964
*CAP
1 *1262:module_data_out[4] 0.00079174
2 *1292:io_out[4] 0.00079174
*RES
1 *1292:io_out[4] *1262:module_data_out[4] 3.17093
*END
*D_NET *1048 0.00158348
*CONN
*I *1262:module_data_out[5] I *D scanchain
*I *1292:io_out[5] O *D user_module_341535056611770964
*CAP
1 *1262:module_data_out[5] 0.00079174
2 *1292:io_out[5] 0.00079174
*RES
1 *1292:io_out[5] *1262:module_data_out[5] 3.17093
*END
*D_NET *1049 0.00158348
*CONN
*I *1262:module_data_out[6] I *D scanchain
*I *1292:io_out[6] O *D user_module_341535056611770964
*CAP
1 *1262:module_data_out[6] 0.00079174
2 *1292:io_out[6] 0.00079174
*RES
1 *1292:io_out[6] *1262:module_data_out[6] 3.17093
*END
*D_NET *1050 0.00158348
*CONN
*I *1262:module_data_out[7] I *D scanchain
*I *1292:io_out[7] O *D user_module_341535056611770964
*CAP
1 *1262:module_data_out[7] 0.00079174
2 *1292:io_out[7] 0.00079174
3 *1032:9 *1262:module_data_out[7] 0
*RES
1 *1292:io_out[7] *1262:module_data_out[7] 3.17093
*END
*D_NET *1051 0.0214091
*CONN
*I *1264:scan_select_in I *D scanchain
*I *1262:scan_select_out O *D scanchain
*CAP
1 *1264:scan_select_in 0.000482711
2 *1262:scan_select_out 0.00146205
3 *1051:16 0.00317438
4 *1051:15 0.0027122
5 *1051:11 0.0060681
6 *1051:10 0.00750962
7 *1264:latch_enable_in *1051:16 0
8 *1033:10 *1051:10 0
9 *1033:11 *1051:11 0
10 *1033:17 *1051:11 0
11 *1033:17 *1051:15 0
12 *1033:18 *1051:16 0
13 *1034:8 *1051:10 0
14 *1034:11 *1051:11 0
15 *1034:14 *1051:16 0
*RES
1 *1262:scan_select_out *1051:10 43.4179
2 *1051:10 *1051:11 126.214
3 *1051:11 *1051:15 9.42857
4 *1051:15 *1051:16 70.0982
5 *1051:16 *1264:scan_select_in 5.34327
*END
*D_NET *1052 0.019192
*CONN
*I *1265:clk_in I *D scanchain
*I *1264:clk_out O *D scanchain
*CAP
1 *1265:clk_in 0.000464717
2 *1264:clk_out 0.00534972
3 *1052:10 0.00424629
4 *1052:9 0.00913129
5 *1052:9 *1264:module_data_out[7] 0
6 *1052:10 *1053:14 0
7 *1052:10 *1071:18 0
8 *1052:10 *1074:8 0
*RES
1 *1264:clk_out *1052:9 24.8357
2 *1052:9 *1052:10 98.4821
3 *1052:10 *1265:clk_in 5.2712
*END
*D_NET *1053 0.0213914
*CONN
*I *1265:data_in I *D scanchain
*I *1264:data_out O *D scanchain
*CAP
1 *1265:data_in 0.000482711
2 *1264:data_out 0.000960854
3 *1053:14 0.00368727
4 *1053:13 0.00320456
5 *1053:11 0.00604756
6 *1053:10 0.00700842
7 *1053:10 *1071:10 0
8 *1053:11 *1054:11 0
9 *1053:11 *1071:11 0
10 *1053:11 *1071:17 0
11 *1053:14 *1071:18 0
12 *1052:10 *1053:14 0
*RES
1 *1264:data_out *1053:10 30.3643
2 *1053:10 *1053:11 126.214
3 *1053:11 *1053:13 9
4 *1053:13 *1053:14 83.4554
5 *1053:14 *1265:data_in 5.34327
*END
*D_NET *1054 0.0215819
*CONN
*I *1265:latch_enable_in I *D scanchain
*I *1264:latch_enable_out O *D scanchain
*CAP
1 *1265:latch_enable_in 0.000518699
2 *1264:latch_enable_out 0.00203425
3 *1054:14 0.00270913
4 *1054:13 0.00219043
5 *1054:11 0.00604756
6 *1054:10 0.00604756
7 *1054:8 0.00203425
8 *1054:8 *1071:10 0
9 *1054:11 *1071:11 0
10 *1054:14 *1071:18 0
11 *1032:10 *1054:8 0
12 *1053:11 *1054:11 0
*RES
1 *1264:latch_enable_out *1054:8 48.5263
2 *1054:8 *1054:10 9
3 *1054:10 *1054:11 126.214
4 *1054:11 *1054:13 9
5 *1054:13 *1054:14 57.0446
6 *1054:14 *1265:latch_enable_in 5.4874
*END
*D_NET *1055 0.00158348
*CONN
*I *1294:io_in[0] I *D user_module_341535056611770964
*I *1264:module_data_in[0] O *D scanchain
*CAP
1 *1294:io_in[0] 0.00079174
2 *1264:module_data_in[0] 0.00079174
*RES
1 *1264:module_data_in[0] *1294:io_in[0] 3.17093
*END
*D_NET *1056 0.00158348
*CONN
*I *1294:io_in[1] I *D user_module_341535056611770964
*I *1264:module_data_in[1] O *D scanchain
*CAP
1 *1294:io_in[1] 0.00079174
2 *1264:module_data_in[1] 0.00079174
*RES
1 *1264:module_data_in[1] *1294:io_in[1] 3.17093
*END
*D_NET *1057 0.00158348
*CONN
*I *1294:io_in[2] I *D user_module_341535056611770964
*I *1264:module_data_in[2] O *D scanchain
*CAP
1 *1294:io_in[2] 0.00079174
2 *1264:module_data_in[2] 0.00079174
*RES
1 *1264:module_data_in[2] *1294:io_in[2] 3.17093
*END
*D_NET *1058 0.00158348
*CONN
*I *1294:io_in[3] I *D user_module_341535056611770964
*I *1264:module_data_in[3] O *D scanchain
*CAP
1 *1294:io_in[3] 0.00079174
2 *1264:module_data_in[3] 0.00079174
*RES
1 *1264:module_data_in[3] *1294:io_in[3] 3.17093
*END
*D_NET *1059 0.00158348
*CONN
*I *1294:io_in[4] I *D user_module_341535056611770964
*I *1264:module_data_in[4] O *D scanchain
*CAP
1 *1294:io_in[4] 0.00079174
2 *1264:module_data_in[4] 0.00079174
*RES
1 *1264:module_data_in[4] *1294:io_in[4] 3.17093
*END
*D_NET *1060 0.00158348
*CONN
*I *1294:io_in[5] I *D user_module_341535056611770964
*I *1264:module_data_in[5] O *D scanchain
*CAP
1 *1294:io_in[5] 0.00079174
2 *1264:module_data_in[5] 0.00079174
*RES
1 *1264:module_data_in[5] *1294:io_in[5] 3.17093
*END
*D_NET *1061 0.00158348
*CONN
*I *1294:io_in[6] I *D user_module_341535056611770964
*I *1264:module_data_in[6] O *D scanchain
*CAP
1 *1294:io_in[6] 0.00079174
2 *1264:module_data_in[6] 0.00079174
*RES
1 *1264:module_data_in[6] *1294:io_in[6] 3.17093
*END
*D_NET *1062 0.00158348
*CONN
*I *1294:io_in[7] I *D user_module_341535056611770964
*I *1264:module_data_in[7] O *D scanchain
*CAP
1 *1294:io_in[7] 0.00079174
2 *1264:module_data_in[7] 0.00079174
*RES
1 *1264:module_data_in[7] *1294:io_in[7] 3.17093
*END
*D_NET *1063 0.00158348
*CONN
*I *1264:module_data_out[0] I *D scanchain
*I *1294:io_out[0] O *D user_module_341535056611770964
*CAP
1 *1264:module_data_out[0] 0.00079174
2 *1294:io_out[0] 0.00079174
*RES
1 *1294:io_out[0] *1264:module_data_out[0] 3.17093
*END
*D_NET *1064 0.00158348
*CONN
*I *1264:module_data_out[1] I *D scanchain
*I *1294:io_out[1] O *D user_module_341535056611770964
*CAP
1 *1264:module_data_out[1] 0.00079174
2 *1294:io_out[1] 0.00079174
*RES
1 *1294:io_out[1] *1264:module_data_out[1] 3.17093
*END
*D_NET *1065 0.00158348
*CONN
*I *1264:module_data_out[2] I *D scanchain
*I *1294:io_out[2] O *D user_module_341535056611770964
*CAP
1 *1264:module_data_out[2] 0.00079174
2 *1294:io_out[2] 0.00079174
*RES
1 *1294:io_out[2] *1264:module_data_out[2] 3.17093
*END
*D_NET *1066 0.00158348
*CONN
*I *1264:module_data_out[3] I *D scanchain
*I *1294:io_out[3] O *D user_module_341535056611770964
*CAP
1 *1264:module_data_out[3] 0.00079174
2 *1294:io_out[3] 0.00079174
*RES
1 *1294:io_out[3] *1264:module_data_out[3] 3.17093
*END
*D_NET *1067 0.00158348
*CONN
*I *1264:module_data_out[4] I *D scanchain
*I *1294:io_out[4] O *D user_module_341535056611770964
*CAP
1 *1264:module_data_out[4] 0.00079174
2 *1294:io_out[4] 0.00079174
*RES
1 *1294:io_out[4] *1264:module_data_out[4] 3.17093
*END
*D_NET *1068 0.00158348
*CONN
*I *1264:module_data_out[5] I *D scanchain
*I *1294:io_out[5] O *D user_module_341535056611770964
*CAP
1 *1264:module_data_out[5] 0.00079174
2 *1294:io_out[5] 0.00079174
*RES
1 *1294:io_out[5] *1264:module_data_out[5] 3.17093
*END
*D_NET *1069 0.00158348
*CONN
*I *1264:module_data_out[6] I *D scanchain
*I *1294:io_out[6] O *D user_module_341535056611770964
*CAP
1 *1264:module_data_out[6] 0.00079174
2 *1294:io_out[6] 0.00079174
*RES
1 *1294:io_out[6] *1264:module_data_out[6] 3.17093
*END
*D_NET *1070 0.00158348
*CONN
*I *1264:module_data_out[7] I *D scanchain
*I *1294:io_out[7] O *D user_module_341535056611770964
*CAP
1 *1264:module_data_out[7] 0.00079174
2 *1294:io_out[7] 0.00079174
3 *1052:9 *1264:module_data_out[7] 0
*RES
1 *1294:io_out[7] *1264:module_data_out[7] 3.17093
*END
*D_NET *1071 0.0216832
*CONN
*I *1265:scan_select_in I *D scanchain
*I *1264:scan_select_out O *D scanchain
*CAP
1 *1265:scan_select_in 0.000500705
2 *1264:scan_select_out 0.00148005
3 *1071:18 0.00320403
4 *1071:17 0.00278056
5 *1071:11 0.00615751
6 *1071:10 0.00756033
7 *1052:10 *1071:18 0
8 *1053:10 *1071:10 0
9 *1053:11 *1071:11 0
10 *1053:11 *1071:17 0
11 *1053:14 *1071:18 0
12 *1054:8 *1071:10 0
13 *1054:11 *1071:11 0
14 *1054:14 *1071:18 0
*RES
1 *1264:scan_select_out *1071:10 43.4899
2 *1071:10 *1071:11 127.036
3 *1071:11 *1071:17 10.6786
4 *1071:17 *1071:18 70.4018
5 *1071:18 *1265:scan_select_in 5.41533
*END
*D_NET *1072 0.0195136
*CONN
*I *1266:clk_in I *D scanchain
*I *1265:clk_out O *D scanchain
*CAP
1 *1266:clk_in 0.00975678
2 *1265:clk_out 0.00975678
3 *1266:clk_in *1265:module_data_out[7] 0
*RES
1 *1265:clk_out *1266:clk_in 47.4123
*END
*D_NET *1073 0.019888
*CONN
*I *1266:data_in I *D scanchain
*I *1265:data_out O *D scanchain
*CAP
1 *1266:data_in 0.000446684
2 *1265:data_out 0.00069783
3 *1073:16 0.00365125
4 *1073:15 0.00320456
5 *1073:13 0.00559494
6 *1073:12 0.00629277
7 *1073:13 *1074:11 0
8 *1073:13 *1091:11 0
9 *1073:16 *1074:14 0
10 *1073:16 *1091:14 0
11 *1073:16 *1094:8 0
12 *1073:16 *1111:10 0
*RES
1 *1265:data_out *1073:12 27.2558
2 *1073:12 *1073:13 116.768
3 *1073:13 *1073:15 9
4 *1073:15 *1073:16 83.4554
5 *1073:16 *1266:data_in 5.19913
*END
*D_NET *1074 0.0215852
*CONN
*I *1266:latch_enable_in I *D scanchain
*I *1265:latch_enable_out O *D scanchain
*CAP
1 *1266:latch_enable_in 0.000482672
2 *1265:latch_enable_out 0.00205224
3 *1074:14 0.0026731
4 *1074:13 0.00219043
5 *1074:11 0.00606724
6 *1074:10 0.00606724
7 *1074:8 0.00205224
8 *1074:8 *1091:10 0
9 *1074:11 *1091:11 0
10 *1074:14 *1091:14 0
11 *1052:10 *1074:8 0
12 *1073:13 *1074:11 0
13 *1073:16 *1074:14 0
*RES
1 *1265:latch_enable_out *1074:8 48.5984
2 *1074:8 *1074:10 9
3 *1074:10 *1074:11 126.625
4 *1074:11 *1074:13 9
5 *1074:13 *1074:14 57.0446
6 *1074:14 *1266:latch_enable_in 5.34327
*END
*D_NET *1075 0.00158348
*CONN
*I *1295:io_in[0] I *D user_module_341535056611770964
*I *1265:module_data_in[0] O *D scanchain
*CAP
1 *1295:io_in[0] 0.00079174
2 *1265:module_data_in[0] 0.00079174
*RES
1 *1265:module_data_in[0] *1295:io_in[0] 3.17093
*END
*D_NET *1076 0.00158348
*CONN
*I *1295:io_in[1] I *D user_module_341535056611770964
*I *1265:module_data_in[1] O *D scanchain
*CAP
1 *1295:io_in[1] 0.00079174
2 *1265:module_data_in[1] 0.00079174
*RES
1 *1265:module_data_in[1] *1295:io_in[1] 3.17093
*END
*D_NET *1077 0.00158348
*CONN
*I *1295:io_in[2] I *D user_module_341535056611770964
*I *1265:module_data_in[2] O *D scanchain
*CAP
1 *1295:io_in[2] 0.00079174
2 *1265:module_data_in[2] 0.00079174
*RES
1 *1265:module_data_in[2] *1295:io_in[2] 3.17093
*END
*D_NET *1078 0.00158348
*CONN
*I *1295:io_in[3] I *D user_module_341535056611770964
*I *1265:module_data_in[3] O *D scanchain
*CAP
1 *1295:io_in[3] 0.00079174
2 *1265:module_data_in[3] 0.00079174
*RES
1 *1265:module_data_in[3] *1295:io_in[3] 3.17093
*END
*D_NET *1079 0.00158348
*CONN
*I *1295:io_in[4] I *D user_module_341535056611770964
*I *1265:module_data_in[4] O *D scanchain
*CAP
1 *1295:io_in[4] 0.00079174
2 *1265:module_data_in[4] 0.00079174
*RES
1 *1265:module_data_in[4] *1295:io_in[4] 3.17093
*END
*D_NET *1080 0.00158348
*CONN
*I *1295:io_in[5] I *D user_module_341535056611770964
*I *1265:module_data_in[5] O *D scanchain
*CAP
1 *1295:io_in[5] 0.00079174
2 *1265:module_data_in[5] 0.00079174
*RES
1 *1265:module_data_in[5] *1295:io_in[5] 3.17093
*END
*D_NET *1081 0.00158348
*CONN
*I *1295:io_in[6] I *D user_module_341535056611770964
*I *1265:module_data_in[6] O *D scanchain
*CAP
1 *1295:io_in[6] 0.00079174
2 *1265:module_data_in[6] 0.00079174
*RES
1 *1265:module_data_in[6] *1295:io_in[6] 3.17093
*END
*D_NET *1082 0.00158348
*CONN
*I *1295:io_in[7] I *D user_module_341535056611770964
*I *1265:module_data_in[7] O *D scanchain
*CAP
1 *1295:io_in[7] 0.00079174
2 *1265:module_data_in[7] 0.00079174
*RES
1 *1265:module_data_in[7] *1295:io_in[7] 3.17093
*END
*D_NET *1083 0.00158348
*CONN
*I *1265:module_data_out[0] I *D scanchain
*I *1295:io_out[0] O *D user_module_341535056611770964
*CAP
1 *1265:module_data_out[0] 0.00079174
2 *1295:io_out[0] 0.00079174
*RES
1 *1295:io_out[0] *1265:module_data_out[0] 3.17093
*END
*D_NET *1084 0.00158348
*CONN
*I *1265:module_data_out[1] I *D scanchain
*I *1295:io_out[1] O *D user_module_341535056611770964
*CAP
1 *1265:module_data_out[1] 0.00079174
2 *1295:io_out[1] 0.00079174
*RES
1 *1295:io_out[1] *1265:module_data_out[1] 3.17093
*END
*D_NET *1085 0.00158348
*CONN
*I *1265:module_data_out[2] I *D scanchain
*I *1295:io_out[2] O *D user_module_341535056611770964
*CAP
1 *1265:module_data_out[2] 0.00079174
2 *1295:io_out[2] 0.00079174
*RES
1 *1295:io_out[2] *1265:module_data_out[2] 3.17093
*END
*D_NET *1086 0.00158348
*CONN
*I *1265:module_data_out[3] I *D scanchain
*I *1295:io_out[3] O *D user_module_341535056611770964
*CAP
1 *1265:module_data_out[3] 0.00079174
2 *1295:io_out[3] 0.00079174
*RES
1 *1295:io_out[3] *1265:module_data_out[3] 3.17093
*END
*D_NET *1087 0.00158348
*CONN
*I *1265:module_data_out[4] I *D scanchain
*I *1295:io_out[4] O *D user_module_341535056611770964
*CAP
1 *1265:module_data_out[4] 0.00079174
2 *1295:io_out[4] 0.00079174
*RES
1 *1295:io_out[4] *1265:module_data_out[4] 3.17093
*END
*D_NET *1088 0.00158348
*CONN
*I *1265:module_data_out[5] I *D scanchain
*I *1295:io_out[5] O *D user_module_341535056611770964
*CAP
1 *1265:module_data_out[5] 0.00079174
2 *1295:io_out[5] 0.00079174
*RES
1 *1295:io_out[5] *1265:module_data_out[5] 3.17093
*END
*D_NET *1089 0.00158348
*CONN
*I *1265:module_data_out[6] I *D scanchain
*I *1295:io_out[6] O *D user_module_341535056611770964
*CAP
1 *1265:module_data_out[6] 0.00079174
2 *1295:io_out[6] 0.00079174
*RES
1 *1295:io_out[6] *1265:module_data_out[6] 3.17093
*END
*D_NET *1090 0.00158348
*CONN
*I *1265:module_data_out[7] I *D scanchain
*I *1295:io_out[7] O *D user_module_341535056611770964
*CAP
1 *1265:module_data_out[7] 0.00079174
2 *1295:io_out[7] 0.00079174
3 *1266:clk_in *1265:module_data_out[7] 0
*RES
1 *1295:io_out[7] *1265:module_data_out[7] 3.17093
*END
*D_NET *1091 0.0214199
*CONN
*I *1266:scan_select_in I *D scanchain
*I *1265:scan_select_out O *D scanchain
*CAP
1 *1266:scan_select_in 0.000464678
2 *1265:scan_select_out 0.00149804
3 *1091:14 0.00314469
4 *1091:13 0.00268001
5 *1091:11 0.00606724
6 *1091:10 0.00756529
7 *1073:13 *1091:11 0
8 *1073:16 *1091:14 0
9 *1074:8 *1091:10 0
10 *1074:11 *1091:11 0
11 *1074:14 *1091:14 0
*RES
1 *1265:scan_select_out *1091:10 43.562
2 *1091:10 *1091:11 126.625
3 *1091:11 *1091:13 9
4 *1091:13 *1091:14 69.7946
5 *1091:14 *1266:scan_select_in 5.2712
*END
*D_NET *1092 0.0195136
*CONN
*I *1267:clk_in I *D scanchain
*I *1266:clk_out O *D scanchain
*CAP
1 *1267:clk_in 0.00975678
2 *1266:clk_out 0.00975678
3 *1267:clk_in *1266:module_data_out[7] 0
*RES
1 *1266:clk_out *1267:clk_in 47.4123
*END
*D_NET *1093 0.0198415
*CONN
*I *1267:data_in I *D scanchain
*I *1266:data_out O *D scanchain
*CAP
1 *1267:data_in 0.000464717
2 *1266:data_out 0.000668179
3 *1093:16 0.00365762
4 *1093:15 0.00319291
5 *1093:13 0.00559494
6 *1093:12 0.00626312
7 *1093:13 *1111:11 0
8 *1093:16 *1111:14 0
9 *1093:16 *1114:8 0
10 *1093:16 *1131:10 0
*RES
1 *1266:data_out *1093:12 26.8802
2 *1093:12 *1093:13 116.768
3 *1093:13 *1093:15 9
4 *1093:15 *1093:16 83.1518
5 *1093:16 *1267:data_in 5.2712
*END
*D_NET *1094 0.0214346
*CONN
*I *1267:latch_enable_in I *D scanchain
*I *1266:latch_enable_out O *D scanchain
*CAP
1 *1267:latch_enable_in 0.000500705
2 *1266:latch_enable_out 0.00199826
3 *1094:14 0.00269114
4 *1094:13 0.00219043
5 *1094:11 0.00602788
6 *1094:10 0.00602788
7 *1094:8 0.00199826
8 *1094:8 *1111:10 0
9 *1094:11 *1111:11 0
10 *1094:14 *1111:14 0
11 *1073:16 *1094:8 0
*RES
1 *1266:latch_enable_out *1094:8 48.3822
2 *1094:8 *1094:10 9
3 *1094:10 *1094:11 125.804
4 *1094:11 *1094:13 9
5 *1094:13 *1094:14 57.0446
6 *1094:14 *1267:latch_enable_in 5.41533
*END
*D_NET *1095 0.00173682
*CONN
*I *1296:io_in[0] I *D user_module_341535056611770964
*I *1266:module_data_in[0] O *D scanchain
*CAP
1 *1296:io_in[0] 0.000868411
2 *1266:module_data_in[0] 0.000868411
*RES
1 *1266:module_data_in[0] *1296:io_in[0] 3.478
*END
*D_NET *1096 0.00173682
*CONN
*I *1296:io_in[1] I *D user_module_341535056611770964
*I *1266:module_data_in[1] O *D scanchain
*CAP
1 *1296:io_in[1] 0.000868411
2 *1266:module_data_in[1] 0.000868411
3 *1296:io_in[1] *1296:io_in[2] 0
*RES
1 *1266:module_data_in[1] *1296:io_in[1] 3.478
*END
*D_NET *1097 0.00173682
*CONN
*I *1296:io_in[2] I *D user_module_341535056611770964
*I *1266:module_data_in[2] O *D scanchain
*CAP
1 *1296:io_in[2] 0.000868411
2 *1266:module_data_in[2] 0.000868411
3 *1296:io_in[1] *1296:io_in[2] 0
*RES
1 *1266:module_data_in[2] *1296:io_in[2] 3.478
*END
*D_NET *1098 0.00173682
*CONN
*I *1296:io_in[3] I *D user_module_341535056611770964
*I *1266:module_data_in[3] O *D scanchain
*CAP
1 *1296:io_in[3] 0.000868411
2 *1266:module_data_in[3] 0.000868411
*RES
1 *1266:module_data_in[3] *1296:io_in[3] 3.478
*END
*D_NET *1099 0.00173682
*CONN
*I *1296:io_in[4] I *D user_module_341535056611770964
*I *1266:module_data_in[4] O *D scanchain
*CAP
1 *1296:io_in[4] 0.000868411
2 *1266:module_data_in[4] 0.000868411
*RES
1 *1266:module_data_in[4] *1296:io_in[4] 3.478
*END
*D_NET *1100 0.00173682
*CONN
*I *1296:io_in[5] I *D user_module_341535056611770964
*I *1266:module_data_in[5] O *D scanchain
*CAP
1 *1296:io_in[5] 0.000868411
2 *1266:module_data_in[5] 0.000868411
*RES
1 *1266:module_data_in[5] *1296:io_in[5] 3.478
*END
*D_NET *1101 0.00173682
*CONN
*I *1296:io_in[6] I *D user_module_341535056611770964
*I *1266:module_data_in[6] O *D scanchain
*CAP
1 *1296:io_in[6] 0.000868411
2 *1266:module_data_in[6] 0.000868411
*RES
1 *1266:module_data_in[6] *1296:io_in[6] 3.478
*END
*D_NET *1102 0.00173682
*CONN
*I *1296:io_in[7] I *D user_module_341535056611770964
*I *1266:module_data_in[7] O *D scanchain
*CAP
1 *1296:io_in[7] 0.000868411
2 *1266:module_data_in[7] 0.000868411
*RES
1 *1266:module_data_in[7] *1296:io_in[7] 3.478
*END
*D_NET *1103 0.00173682
*CONN
*I *1266:module_data_out[0] I *D scanchain
*I *1296:io_out[0] O *D user_module_341535056611770964
*CAP
1 *1266:module_data_out[0] 0.000868411
2 *1296:io_out[0] 0.000868411
*RES
1 *1296:io_out[0] *1266:module_data_out[0] 3.478
*END
*D_NET *1104 0.00173682
*CONN
*I *1266:module_data_out[1] I *D scanchain
*I *1296:io_out[1] O *D user_module_341535056611770964
*CAP
1 *1266:module_data_out[1] 0.000868411
2 *1296:io_out[1] 0.000868411
*RES
1 *1296:io_out[1] *1266:module_data_out[1] 3.478
*END
*D_NET *1105 0.00173682
*CONN
*I *1266:module_data_out[2] I *D scanchain
*I *1296:io_out[2] O *D user_module_341535056611770964
*CAP
1 *1266:module_data_out[2] 0.000868411
2 *1296:io_out[2] 0.000868411
*RES
1 *1296:io_out[2] *1266:module_data_out[2] 3.478
*END
*D_NET *1106 0.00173682
*CONN
*I *1266:module_data_out[3] I *D scanchain
*I *1296:io_out[3] O *D user_module_341535056611770964
*CAP
1 *1266:module_data_out[3] 0.000868411
2 *1296:io_out[3] 0.000868411
*RES
1 *1296:io_out[3] *1266:module_data_out[3] 3.478
*END
*D_NET *1107 0.00173682
*CONN
*I *1266:module_data_out[4] I *D scanchain
*I *1296:io_out[4] O *D user_module_341535056611770964
*CAP
1 *1266:module_data_out[4] 0.000868411
2 *1296:io_out[4] 0.000868411
*RES
1 *1296:io_out[4] *1266:module_data_out[4] 3.478
*END
*D_NET *1108 0.00173682
*CONN
*I *1266:module_data_out[5] I *D scanchain
*I *1296:io_out[5] O *D user_module_341535056611770964
*CAP
1 *1266:module_data_out[5] 0.000868411
2 *1296:io_out[5] 0.000868411
3 *1266:module_data_out[5] *1266:module_data_out[6] 0
*RES
1 *1296:io_out[5] *1266:module_data_out[5] 3.478
*END
*D_NET *1109 0.00173682
*CONN
*I *1266:module_data_out[6] I *D scanchain
*I *1296:io_out[6] O *D user_module_341535056611770964
*CAP
1 *1266:module_data_out[6] 0.000868411
2 *1296:io_out[6] 0.000868411
3 *1266:module_data_out[5] *1266:module_data_out[6] 0
*RES
1 *1296:io_out[6] *1266:module_data_out[6] 3.478
*END
*D_NET *1110 0.00173682
*CONN
*I *1266:module_data_out[7] I *D scanchain
*I *1296:io_out[7] O *D user_module_341535056611770964
*CAP
1 *1266:module_data_out[7] 0.000868411
2 *1296:io_out[7] 0.000868411
3 *1267:clk_in *1266:module_data_out[7] 0
*RES
1 *1296:io_out[7] *1266:module_data_out[7] 3.478
*END
*D_NET *1111 0.0214667
*CONN
*I *1267:scan_select_in I *D scanchain
*I *1266:scan_select_out O *D scanchain
*CAP
1 *1267:scan_select_in 0.000482711
2 *1266:scan_select_out 0.0014917
3 *1111:14 0.00317438
4 *1111:13 0.00269167
5 *1111:11 0.00606724
6 *1111:10 0.00755895
7 *1111:14 *1131:10 0
8 *1073:16 *1111:10 0
9 *1093:13 *1111:11 0
10 *1093:16 *1111:14 0
11 *1094:8 *1111:10 0
12 *1094:11 *1111:11 0
13 *1094:14 *1111:14 0
*RES
1 *1266:scan_select_out *1111:10 43.7935
2 *1111:10 *1111:11 126.625
3 *1111:11 *1111:13 9
4 *1111:13 *1111:14 70.0982
5 *1111:14 *1267:scan_select_in 5.34327
*END
*D_NET *1112 0.0194416
*CONN
*I *1268:clk_in I *D scanchain
*I *1267:clk_out O *D scanchain
*CAP
1 *1268:clk_in 0.00972079
2 *1267:clk_out 0.00972079
3 *1268:clk_in *1267:module_data_out[7] 0
*RES
1 *1267:clk_out *1268:clk_in 47.2682
*END
*D_NET *1113 0.0198054
*CONN
*I *1268:data_in I *D scanchain
*I *1267:data_out O *D scanchain
*CAP
1 *1268:data_in 0.000446684
2 *1267:data_out 0.000668179
3 *1113:16 0.00363959
4 *1113:15 0.00319291
5 *1113:13 0.00559494
6 *1113:12 0.00626312
7 *1113:13 *1131:11 0
8 *1113:16 *1131:14 0
9 *1113:16 *1134:8 0
10 *1113:16 *1151:10 0
*RES
1 *1267:data_out *1113:12 26.8802
2 *1113:12 *1113:13 116.768
3 *1113:13 *1113:15 9
4 *1113:15 *1113:16 83.1518
5 *1113:16 *1268:data_in 5.19913
*END
*D_NET *1114 0.0214345
*CONN
*I *1268:latch_enable_in I *D scanchain
*I *1267:latch_enable_out O *D scanchain
*CAP
1 *1268:latch_enable_in 0.000482672
2 *1267:latch_enable_out 0.00201626
3 *1114:14 0.0026731
4 *1114:13 0.00219043
5 *1114:11 0.00602788
6 *1114:10 0.00602788
7 *1114:8 0.00201626
8 *1114:8 *1131:10 0
9 *1114:11 *1131:11 0
10 *1114:14 *1131:14 0
11 *1093:16 *1114:8 0
*RES
1 *1267:latch_enable_out *1114:8 48.4542
2 *1114:8 *1114:10 9
3 *1114:10 *1114:11 125.804
4 *1114:11 *1114:13 9
5 *1114:13 *1114:14 57.0446
6 *1114:14 *1268:latch_enable_in 5.34327
*END
*D_NET *1115 0.00158348
*CONN
*I *1297:io_in[0] I *D user_module_341535056611770964
*I *1267:module_data_in[0] O *D scanchain
*CAP
1 *1297:io_in[0] 0.00079174
2 *1267:module_data_in[0] 0.00079174
*RES
1 *1267:module_data_in[0] *1297:io_in[0] 3.17093
*END
*D_NET *1116 0.00158348
*CONN
*I *1297:io_in[1] I *D user_module_341535056611770964
*I *1267:module_data_in[1] O *D scanchain
*CAP
1 *1297:io_in[1] 0.00079174
2 *1267:module_data_in[1] 0.00079174
*RES
1 *1267:module_data_in[1] *1297:io_in[1] 3.17093
*END
*D_NET *1117 0.00158348
*CONN
*I *1297:io_in[2] I *D user_module_341535056611770964
*I *1267:module_data_in[2] O *D scanchain
*CAP
1 *1297:io_in[2] 0.00079174
2 *1267:module_data_in[2] 0.00079174
*RES
1 *1267:module_data_in[2] *1297:io_in[2] 3.17093
*END
*D_NET *1118 0.00158348
*CONN
*I *1297:io_in[3] I *D user_module_341535056611770964
*I *1267:module_data_in[3] O *D scanchain
*CAP
1 *1297:io_in[3] 0.00079174
2 *1267:module_data_in[3] 0.00079174
*RES
1 *1267:module_data_in[3] *1297:io_in[3] 3.17093
*END
*D_NET *1119 0.00158348
*CONN
*I *1297:io_in[4] I *D user_module_341535056611770964
*I *1267:module_data_in[4] O *D scanchain
*CAP
1 *1297:io_in[4] 0.00079174
2 *1267:module_data_in[4] 0.00079174
*RES
1 *1267:module_data_in[4] *1297:io_in[4] 3.17093
*END
*D_NET *1120 0.00158348
*CONN
*I *1297:io_in[5] I *D user_module_341535056611770964
*I *1267:module_data_in[5] O *D scanchain
*CAP
1 *1297:io_in[5] 0.00079174
2 *1267:module_data_in[5] 0.00079174
*RES
1 *1267:module_data_in[5] *1297:io_in[5] 3.17093
*END
*D_NET *1121 0.00158348
*CONN
*I *1297:io_in[6] I *D user_module_341535056611770964
*I *1267:module_data_in[6] O *D scanchain
*CAP
1 *1297:io_in[6] 0.00079174
2 *1267:module_data_in[6] 0.00079174
*RES
1 *1267:module_data_in[6] *1297:io_in[6] 3.17093
*END
*D_NET *1122 0.00158348
*CONN
*I *1297:io_in[7] I *D user_module_341535056611770964
*I *1267:module_data_in[7] O *D scanchain
*CAP
1 *1297:io_in[7] 0.00079174
2 *1267:module_data_in[7] 0.00079174
*RES
1 *1267:module_data_in[7] *1297:io_in[7] 3.17093
*END
*D_NET *1123 0.00158348
*CONN
*I *1267:module_data_out[0] I *D scanchain
*I *1297:io_out[0] O *D user_module_341535056611770964
*CAP
1 *1267:module_data_out[0] 0.00079174
2 *1297:io_out[0] 0.00079174
*RES
1 *1297:io_out[0] *1267:module_data_out[0] 3.17093
*END
*D_NET *1124 0.00158348
*CONN
*I *1267:module_data_out[1] I *D scanchain
*I *1297:io_out[1] O *D user_module_341535056611770964
*CAP
1 *1267:module_data_out[1] 0.00079174
2 *1297:io_out[1] 0.00079174
*RES
1 *1297:io_out[1] *1267:module_data_out[1] 3.17093
*END
*D_NET *1125 0.00158348
*CONN
*I *1267:module_data_out[2] I *D scanchain
*I *1297:io_out[2] O *D user_module_341535056611770964
*CAP
1 *1267:module_data_out[2] 0.00079174
2 *1297:io_out[2] 0.00079174
*RES
1 *1297:io_out[2] *1267:module_data_out[2] 3.17093
*END
*D_NET *1126 0.00158348
*CONN
*I *1267:module_data_out[3] I *D scanchain
*I *1297:io_out[3] O *D user_module_341535056611770964
*CAP
1 *1267:module_data_out[3] 0.00079174
2 *1297:io_out[3] 0.00079174
*RES
1 *1297:io_out[3] *1267:module_data_out[3] 3.17093
*END
*D_NET *1127 0.00158348
*CONN
*I *1267:module_data_out[4] I *D scanchain
*I *1297:io_out[4] O *D user_module_341535056611770964
*CAP
1 *1267:module_data_out[4] 0.00079174
2 *1297:io_out[4] 0.00079174
*RES
1 *1297:io_out[4] *1267:module_data_out[4] 3.17093
*END
*D_NET *1128 0.00158348
*CONN
*I *1267:module_data_out[5] I *D scanchain
*I *1297:io_out[5] O *D user_module_341535056611770964
*CAP
1 *1267:module_data_out[5] 0.00079174
2 *1297:io_out[5] 0.00079174
*RES
1 *1297:io_out[5] *1267:module_data_out[5] 3.17093
*END
*D_NET *1129 0.00158348
*CONN
*I *1267:module_data_out[6] I *D scanchain
*I *1297:io_out[6] O *D user_module_341535056611770964
*CAP
1 *1267:module_data_out[6] 0.00079174
2 *1297:io_out[6] 0.00079174
*RES
1 *1297:io_out[6] *1267:module_data_out[6] 3.17093
*END
*D_NET *1130 0.00158348
*CONN
*I *1267:module_data_out[7] I *D scanchain
*I *1297:io_out[7] O *D user_module_341535056611770964
*CAP
1 *1267:module_data_out[7] 0.00079174
2 *1297:io_out[7] 0.00079174
3 *1268:clk_in *1267:module_data_out[7] 0
*RES
1 *1297:io_out[7] *1267:module_data_out[7] 3.17093
*END
*D_NET *1131 0.0214666
*CONN
*I *1268:scan_select_in I *D scanchain
*I *1267:scan_select_out O *D scanchain
*CAP
1 *1268:scan_select_in 0.000464678
2 *1267:scan_select_out 0.0015097
3 *1131:14 0.00315635
4 *1131:13 0.00269167
5 *1131:11 0.00606724
6 *1131:10 0.00757694
7 *1131:14 *1151:10 0
8 *1093:16 *1131:10 0
9 *1111:14 *1131:10 0
10 *1113:13 *1131:11 0
11 *1113:16 *1131:14 0
12 *1114:8 *1131:10 0
13 *1114:11 *1131:11 0
14 *1114:14 *1131:14 0
*RES
1 *1267:scan_select_out *1131:10 43.8656
2 *1131:10 *1131:11 126.625
3 *1131:11 *1131:13 9
4 *1131:13 *1131:14 70.0982
5 *1131:14 *1268:scan_select_in 5.2712
*END
*D_NET *1132 0.0195136
*CONN
*I *1269:clk_in I *D scanchain
*I *1268:clk_out O *D scanchain
*CAP
1 *1269:clk_in 0.00975678
2 *1268:clk_out 0.00975678
3 *1269:clk_in *1268:module_data_out[7] 0
*RES
1 *1268:clk_out *1269:clk_in 47.4123
*END
*D_NET *1133 0.0198415
*CONN
*I *1269:data_in I *D scanchain
*I *1268:data_out O *D scanchain
*CAP
1 *1269:data_in 0.000464717
2 *1268:data_out 0.000668179
3 *1133:16 0.00365762
4 *1133:15 0.00319291
5 *1133:13 0.00559494
6 *1133:12 0.00626312
7 *1133:13 *1151:11 0
8 *1133:16 *1151:14 0
9 *1133:16 *1154:8 0
10 *1133:16 *1171:10 0
*RES
1 *1268:data_out *1133:12 26.8802
2 *1133:12 *1133:13 116.768
3 *1133:13 *1133:15 9
4 *1133:15 *1133:16 83.1518
5 *1133:16 *1269:data_in 5.2712
*END
*D_NET *1134 0.0214346
*CONN
*I *1269:latch_enable_in I *D scanchain
*I *1268:latch_enable_out O *D scanchain
*CAP
1 *1269:latch_enable_in 0.000500705
2 *1268:latch_enable_out 0.00199826
3 *1134:14 0.00269114
4 *1134:13 0.00219043
5 *1134:11 0.00602788
6 *1134:10 0.00602788
7 *1134:8 0.00199826
8 *1134:8 *1151:10 0
9 *1134:11 *1151:11 0
10 *1134:14 *1151:14 0
11 *1113:16 *1134:8 0
*RES
1 *1268:latch_enable_out *1134:8 48.3822
2 *1134:8 *1134:10 9
3 *1134:10 *1134:11 125.804
4 *1134:11 *1134:13 9
5 *1134:13 *1134:14 57.0446
6 *1134:14 *1269:latch_enable_in 5.41533
*END
*D_NET *1135 0.00158348
*CONN
*I *1298:io_in[0] I *D user_module_341535056611770964
*I *1268:module_data_in[0] O *D scanchain
*CAP
1 *1298:io_in[0] 0.00079174
2 *1268:module_data_in[0] 0.00079174
*RES
1 *1268:module_data_in[0] *1298:io_in[0] 3.17093
*END
*D_NET *1136 0.00158348
*CONN
*I *1298:io_in[1] I *D user_module_341535056611770964
*I *1268:module_data_in[1] O *D scanchain
*CAP
1 *1298:io_in[1] 0.00079174
2 *1268:module_data_in[1] 0.00079174
*RES
1 *1268:module_data_in[1] *1298:io_in[1] 3.17093
*END
*D_NET *1137 0.00158348
*CONN
*I *1298:io_in[2] I *D user_module_341535056611770964
*I *1268:module_data_in[2] O *D scanchain
*CAP
1 *1298:io_in[2] 0.00079174
2 *1268:module_data_in[2] 0.00079174
*RES
1 *1268:module_data_in[2] *1298:io_in[2] 3.17093
*END
*D_NET *1138 0.00158348
*CONN
*I *1298:io_in[3] I *D user_module_341535056611770964
*I *1268:module_data_in[3] O *D scanchain
*CAP
1 *1298:io_in[3] 0.00079174
2 *1268:module_data_in[3] 0.00079174
*RES
1 *1268:module_data_in[3] *1298:io_in[3] 3.17093
*END
*D_NET *1139 0.00158348
*CONN
*I *1298:io_in[4] I *D user_module_341535056611770964
*I *1268:module_data_in[4] O *D scanchain
*CAP
1 *1298:io_in[4] 0.00079174
2 *1268:module_data_in[4] 0.00079174
*RES
1 *1268:module_data_in[4] *1298:io_in[4] 3.17093
*END
*D_NET *1140 0.00158348
*CONN
*I *1298:io_in[5] I *D user_module_341535056611770964
*I *1268:module_data_in[5] O *D scanchain
*CAP
1 *1298:io_in[5] 0.00079174
2 *1268:module_data_in[5] 0.00079174
*RES
1 *1268:module_data_in[5] *1298:io_in[5] 3.17093
*END
*D_NET *1141 0.00158348
*CONN
*I *1298:io_in[6] I *D user_module_341535056611770964
*I *1268:module_data_in[6] O *D scanchain
*CAP
1 *1298:io_in[6] 0.00079174
2 *1268:module_data_in[6] 0.00079174
*RES
1 *1268:module_data_in[6] *1298:io_in[6] 3.17093
*END
*D_NET *1142 0.00158348
*CONN
*I *1298:io_in[7] I *D user_module_341535056611770964
*I *1268:module_data_in[7] O *D scanchain
*CAP
1 *1298:io_in[7] 0.00079174
2 *1268:module_data_in[7] 0.00079174
*RES
1 *1268:module_data_in[7] *1298:io_in[7] 3.17093
*END
*D_NET *1143 0.00158348
*CONN
*I *1268:module_data_out[0] I *D scanchain
*I *1298:io_out[0] O *D user_module_341535056611770964
*CAP
1 *1268:module_data_out[0] 0.00079174
2 *1298:io_out[0] 0.00079174
*RES
1 *1298:io_out[0] *1268:module_data_out[0] 3.17093
*END
*D_NET *1144 0.00158348
*CONN
*I *1268:module_data_out[1] I *D scanchain
*I *1298:io_out[1] O *D user_module_341535056611770964
*CAP
1 *1268:module_data_out[1] 0.00079174
2 *1298:io_out[1] 0.00079174
*RES
1 *1298:io_out[1] *1268:module_data_out[1] 3.17093
*END
*D_NET *1145 0.00158348
*CONN
*I *1268:module_data_out[2] I *D scanchain
*I *1298:io_out[2] O *D user_module_341535056611770964
*CAP
1 *1268:module_data_out[2] 0.00079174
2 *1298:io_out[2] 0.00079174
*RES
1 *1298:io_out[2] *1268:module_data_out[2] 3.17093
*END
*D_NET *1146 0.00158348
*CONN
*I *1268:module_data_out[3] I *D scanchain
*I *1298:io_out[3] O *D user_module_341535056611770964
*CAP
1 *1268:module_data_out[3] 0.00079174
2 *1298:io_out[3] 0.00079174
*RES
1 *1298:io_out[3] *1268:module_data_out[3] 3.17093
*END
*D_NET *1147 0.00158348
*CONN
*I *1268:module_data_out[4] I *D scanchain
*I *1298:io_out[4] O *D user_module_341535056611770964
*CAP
1 *1268:module_data_out[4] 0.00079174
2 *1298:io_out[4] 0.00079174
*RES
1 *1298:io_out[4] *1268:module_data_out[4] 3.17093
*END
*D_NET *1148 0.00158348
*CONN
*I *1268:module_data_out[5] I *D scanchain
*I *1298:io_out[5] O *D user_module_341535056611770964
*CAP
1 *1268:module_data_out[5] 0.00079174
2 *1298:io_out[5] 0.00079174
*RES
1 *1298:io_out[5] *1268:module_data_out[5] 3.17093
*END
*D_NET *1149 0.00158348
*CONN
*I *1268:module_data_out[6] I *D scanchain
*I *1298:io_out[6] O *D user_module_341535056611770964
*CAP
1 *1268:module_data_out[6] 0.00079174
2 *1298:io_out[6] 0.00079174
*RES
1 *1298:io_out[6] *1268:module_data_out[6] 3.17093
*END
*D_NET *1150 0.00158348
*CONN
*I *1268:module_data_out[7] I *D scanchain
*I *1298:io_out[7] O *D user_module_341535056611770964
*CAP
1 *1268:module_data_out[7] 0.00079174
2 *1298:io_out[7] 0.00079174
3 *1269:clk_in *1268:module_data_out[7] 0
*RES
1 *1298:io_out[7] *1268:module_data_out[7] 3.17093
*END
*D_NET *1151 0.0214667
*CONN
*I *1269:scan_select_in I *D scanchain
*I *1268:scan_select_out O *D scanchain
*CAP
1 *1269:scan_select_in 0.000482711
2 *1268:scan_select_out 0.0014917
3 *1151:14 0.00317438
4 *1151:13 0.00269167
5 *1151:11 0.00606724
6 *1151:10 0.00755895
7 *1151:14 *1171:10 0
8 *1113:16 *1151:10 0
9 *1131:14 *1151:10 0
10 *1133:13 *1151:11 0
11 *1133:16 *1151:14 0
12 *1134:8 *1151:10 0
13 *1134:11 *1151:11 0
14 *1134:14 *1151:14 0
*RES
1 *1268:scan_select_out *1151:10 43.7935
2 *1151:10 *1151:11 126.625
3 *1151:11 *1151:13 9
4 *1151:13 *1151:14 70.0982
5 *1151:14 *1269:scan_select_in 5.34327
*END
*D_NET *1152 0.0195136
*CONN
*I *1270:clk_in I *D scanchain
*I *1269:clk_out O *D scanchain
*CAP
1 *1270:clk_in 0.00975678
2 *1269:clk_out 0.00975678
3 *1270:clk_in *1269:module_data_out[7] 0
*RES
1 *1269:clk_out *1270:clk_in 47.4123
*END
*D_NET *1153 0.0198774
*CONN
*I *1270:data_in I *D scanchain
*I *1269:data_out O *D scanchain
*CAP
1 *1270:data_in 0.000482672
2 *1269:data_out 0.000668179
3 *1153:16 0.00367558
4 *1153:15 0.00319291
5 *1153:13 0.00559494
6 *1153:12 0.00626312
7 *1153:13 *1171:11 0
8 *1153:16 *1171:14 0
9 *1153:16 *1174:8 0
*RES
1 *1269:data_out *1153:12 26.8802
2 *1153:12 *1153:13 116.768
3 *1153:13 *1153:15 9
4 *1153:15 *1153:16 83.1518
5 *1153:16 *1270:data_in 5.34327
*END
*D_NET *1154 0.0215065
*CONN
*I *1270:latch_enable_in I *D scanchain
*I *1269:latch_enable_out O *D scanchain
*CAP
1 *1270:latch_enable_in 0.00051866
2 *1269:latch_enable_out 0.00201626
3 *1154:14 0.00270909
4 *1154:13 0.00219043
5 *1154:11 0.00602788
6 *1154:10 0.00602788
7 *1154:8 0.00201626
8 *1154:8 *1171:10 0
9 *1154:11 *1171:11 0
10 *1154:14 *1171:14 0
11 *1154:14 *1174:8 0
12 *1133:16 *1154:8 0
*RES
1 *1269:latch_enable_out *1154:8 48.4542
2 *1154:8 *1154:10 9
3 *1154:10 *1154:11 125.804
4 *1154:11 *1154:13 9
5 *1154:13 *1154:14 57.0446
6 *1154:14 *1270:latch_enable_in 5.4874
*END
*D_NET *1155 0.00158348
*CONN
*I *1299:io_in[0] I *D user_module_341535056611770964
*I *1269:module_data_in[0] O *D scanchain
*CAP
1 *1299:io_in[0] 0.00079174
2 *1269:module_data_in[0] 0.00079174
*RES
1 *1269:module_data_in[0] *1299:io_in[0] 3.17093
*END
*D_NET *1156 0.00158348
*CONN
*I *1299:io_in[1] I *D user_module_341535056611770964
*I *1269:module_data_in[1] O *D scanchain
*CAP
1 *1299:io_in[1] 0.00079174
2 *1269:module_data_in[1] 0.00079174
*RES
1 *1269:module_data_in[1] *1299:io_in[1] 3.17093
*END
*D_NET *1157 0.00158348
*CONN
*I *1299:io_in[2] I *D user_module_341535056611770964
*I *1269:module_data_in[2] O *D scanchain
*CAP
1 *1299:io_in[2] 0.00079174
2 *1269:module_data_in[2] 0.00079174
*RES
1 *1269:module_data_in[2] *1299:io_in[2] 3.17093
*END
*D_NET *1158 0.00158348
*CONN
*I *1299:io_in[3] I *D user_module_341535056611770964
*I *1269:module_data_in[3] O *D scanchain
*CAP
1 *1299:io_in[3] 0.00079174
2 *1269:module_data_in[3] 0.00079174
*RES
1 *1269:module_data_in[3] *1299:io_in[3] 3.17093
*END
*D_NET *1159 0.00158348
*CONN
*I *1299:io_in[4] I *D user_module_341535056611770964
*I *1269:module_data_in[4] O *D scanchain
*CAP
1 *1299:io_in[4] 0.00079174
2 *1269:module_data_in[4] 0.00079174
*RES
1 *1269:module_data_in[4] *1299:io_in[4] 3.17093
*END
*D_NET *1160 0.00158348
*CONN
*I *1299:io_in[5] I *D user_module_341535056611770964
*I *1269:module_data_in[5] O *D scanchain
*CAP
1 *1299:io_in[5] 0.00079174
2 *1269:module_data_in[5] 0.00079174
*RES
1 *1269:module_data_in[5] *1299:io_in[5] 3.17093
*END
*D_NET *1161 0.00158348
*CONN
*I *1299:io_in[6] I *D user_module_341535056611770964
*I *1269:module_data_in[6] O *D scanchain
*CAP
1 *1299:io_in[6] 0.00079174
2 *1269:module_data_in[6] 0.00079174
*RES
1 *1269:module_data_in[6] *1299:io_in[6] 3.17093
*END
*D_NET *1162 0.00158348
*CONN
*I *1299:io_in[7] I *D user_module_341535056611770964
*I *1269:module_data_in[7] O *D scanchain
*CAP
1 *1299:io_in[7] 0.00079174
2 *1269:module_data_in[7] 0.00079174
*RES
1 *1269:module_data_in[7] *1299:io_in[7] 3.17093
*END
*D_NET *1163 0.00158348
*CONN
*I *1269:module_data_out[0] I *D scanchain
*I *1299:io_out[0] O *D user_module_341535056611770964
*CAP
1 *1269:module_data_out[0] 0.00079174
2 *1299:io_out[0] 0.00079174
*RES
1 *1299:io_out[0] *1269:module_data_out[0] 3.17093
*END
*D_NET *1164 0.00158348
*CONN
*I *1269:module_data_out[1] I *D scanchain
*I *1299:io_out[1] O *D user_module_341535056611770964
*CAP
1 *1269:module_data_out[1] 0.00079174
2 *1299:io_out[1] 0.00079174
*RES
1 *1299:io_out[1] *1269:module_data_out[1] 3.17093
*END
*D_NET *1165 0.00158348
*CONN
*I *1269:module_data_out[2] I *D scanchain
*I *1299:io_out[2] O *D user_module_341535056611770964
*CAP
1 *1269:module_data_out[2] 0.00079174
2 *1299:io_out[2] 0.00079174
*RES
1 *1299:io_out[2] *1269:module_data_out[2] 3.17093
*END
*D_NET *1166 0.00158348
*CONN
*I *1269:module_data_out[3] I *D scanchain
*I *1299:io_out[3] O *D user_module_341535056611770964
*CAP
1 *1269:module_data_out[3] 0.00079174
2 *1299:io_out[3] 0.00079174
*RES
1 *1299:io_out[3] *1269:module_data_out[3] 3.17093
*END
*D_NET *1167 0.00158348
*CONN
*I *1269:module_data_out[4] I *D scanchain
*I *1299:io_out[4] O *D user_module_341535056611770964
*CAP
1 *1269:module_data_out[4] 0.00079174
2 *1299:io_out[4] 0.00079174
*RES
1 *1299:io_out[4] *1269:module_data_out[4] 3.17093
*END
*D_NET *1168 0.00158348
*CONN
*I *1269:module_data_out[5] I *D scanchain
*I *1299:io_out[5] O *D user_module_341535056611770964
*CAP
1 *1269:module_data_out[5] 0.00079174
2 *1299:io_out[5] 0.00079174
*RES
1 *1299:io_out[5] *1269:module_data_out[5] 3.17093
*END
*D_NET *1169 0.00158348
*CONN
*I *1269:module_data_out[6] I *D scanchain
*I *1299:io_out[6] O *D user_module_341535056611770964
*CAP
1 *1269:module_data_out[6] 0.00079174
2 *1299:io_out[6] 0.00079174
*RES
1 *1299:io_out[6] *1269:module_data_out[6] 3.17093
*END
*D_NET *1170 0.00158348
*CONN
*I *1269:module_data_out[7] I *D scanchain
*I *1299:io_out[7] O *D user_module_341535056611770964
*CAP
1 *1269:module_data_out[7] 0.00079174
2 *1299:io_out[7] 0.00079174
3 *1270:clk_in *1269:module_data_out[7] 0
*RES
1 *1299:io_out[7] *1269:module_data_out[7] 3.17093
*END
*D_NET *1171 0.0215386
*CONN
*I *1270:scan_select_in I *D scanchain
*I *1269:scan_select_out O *D scanchain
*CAP
1 *1270:scan_select_in 0.000500666
2 *1269:scan_select_out 0.0015097
3 *1171:14 0.00319233
4 *1171:13 0.00269167
5 *1171:11 0.00606724
6 *1171:10 0.00757694
7 *1171:14 *1174:8 0
8 *1133:16 *1171:10 0
9 *1151:14 *1171:10 0
10 *1153:13 *1171:11 0
11 *1153:16 *1171:14 0
12 *1154:8 *1171:10 0
13 *1154:11 *1171:11 0
14 *1154:14 *1171:14 0
*RES
1 *1269:scan_select_out *1171:10 43.8656
2 *1171:10 *1171:11 126.625
3 *1171:11 *1171:13 9
4 *1171:13 *1171:14 70.0982
5 *1171:14 *1270:scan_select_in 5.41533
*END
*D_NET *1172 0.0195136
*CONN
*I *1271:clk_in I *D scanchain
*I *1270:clk_out O *D scanchain
*CAP
1 *1271:clk_in 0.00975678
2 *1270:clk_out 0.00975678
3 *1271:clk_in *1270:module_data_out[7] 0
*RES
1 *1270:clk_out *1271:clk_in 47.4123
*END
*D_NET *1173 0.0199551
*CONN
*I *1271:data_in I *D scanchain
*I *1270:data_out O *D scanchain
*CAP
1 *1271:data_in 0.000464717
2 *1270:data_out 0.00069783
3 *1173:20 0.00368094
4 *1173:19 0.0032821
5 *1173:13 0.00559879
6 *1173:12 0.00623074
7 *1173:13 *1174:11 0
8 *1173:13 *1191:11 0
9 *1173:19 *1191:11 0
10 *1173:19 *1191:15 0
11 *1173:20 *1174:14 0
12 *1173:20 *1191:16 0
13 *1173:20 *1194:8 0
14 *1173:20 *1211:10 0
*RES
1 *1270:data_out *1173:12 27.2558
2 *1173:12 *1173:13 115.536
3 *1173:13 *1173:19 10.375
4 *1173:19 *1173:20 83.7589
5 *1173:20 *1271:data_in 5.2712
*END
*D_NET *1174 0.0216638
*CONN
*I *1271:latch_enable_in I *D scanchain
*I *1270:latch_enable_out O *D scanchain
*CAP
1 *1271:latch_enable_in 0.000773609
2 *1270:latch_enable_out 0.00207024
3 *1174:14 0.00271411
4 *1174:13 0.0019405
5 *1174:11 0.00604756
6 *1174:10 0.00604756
7 *1174:8 0.00207024
8 *1271:latch_enable_in *1191:16 0
9 *1174:8 *1191:10 0
10 *1174:11 *1191:11 0
11 *1174:14 *1191:16 0
12 *1153:16 *1174:8 0
13 *1154:14 *1174:8 0
14 *1171:14 *1174:8 0
15 *1173:13 *1174:11 0
16 *1173:20 *1174:14 0
*RES
1 *1270:latch_enable_out *1174:8 48.6704
2 *1174:8 *1174:10 9
3 *1174:10 *1174:11 126.214
4 *1174:11 *1174:13 9
5 *1174:13 *1174:14 50.5982
6 *1174:14 *1271:latch_enable_in 12.5225
*END
*D_NET *1175 0.00158348
*CONN
*I *1300:io_in[0] I *D user_module_341535056611770964
*I *1270:module_data_in[0] O *D scanchain
*CAP
1 *1300:io_in[0] 0.00079174
2 *1270:module_data_in[0] 0.00079174
*RES
1 *1270:module_data_in[0] *1300:io_in[0] 3.17093
*END
*D_NET *1176 0.00158348
*CONN
*I *1300:io_in[1] I *D user_module_341535056611770964
*I *1270:module_data_in[1] O *D scanchain
*CAP
1 *1300:io_in[1] 0.00079174
2 *1270:module_data_in[1] 0.00079174
*RES
1 *1270:module_data_in[1] *1300:io_in[1] 3.17093
*END
*D_NET *1177 0.00158348
*CONN
*I *1300:io_in[2] I *D user_module_341535056611770964
*I *1270:module_data_in[2] O *D scanchain
*CAP
1 *1300:io_in[2] 0.00079174
2 *1270:module_data_in[2] 0.00079174
*RES
1 *1270:module_data_in[2] *1300:io_in[2] 3.17093
*END
*D_NET *1178 0.00158348
*CONN
*I *1300:io_in[3] I *D user_module_341535056611770964
*I *1270:module_data_in[3] O *D scanchain
*CAP
1 *1300:io_in[3] 0.00079174
2 *1270:module_data_in[3] 0.00079174
*RES
1 *1270:module_data_in[3] *1300:io_in[3] 3.17093
*END
*D_NET *1179 0.00158348
*CONN
*I *1300:io_in[4] I *D user_module_341535056611770964
*I *1270:module_data_in[4] O *D scanchain
*CAP
1 *1300:io_in[4] 0.00079174
2 *1270:module_data_in[4] 0.00079174
*RES
1 *1270:module_data_in[4] *1300:io_in[4] 3.17093
*END
*D_NET *1180 0.00158348
*CONN
*I *1300:io_in[5] I *D user_module_341535056611770964
*I *1270:module_data_in[5] O *D scanchain
*CAP
1 *1300:io_in[5] 0.00079174
2 *1270:module_data_in[5] 0.00079174
*RES
1 *1270:module_data_in[5] *1300:io_in[5] 3.17093
*END
*D_NET *1181 0.00158348
*CONN
*I *1300:io_in[6] I *D user_module_341535056611770964
*I *1270:module_data_in[6] O *D scanchain
*CAP
1 *1300:io_in[6] 0.00079174
2 *1270:module_data_in[6] 0.00079174
*RES
1 *1270:module_data_in[6] *1300:io_in[6] 3.17093
*END
*D_NET *1182 0.00158348
*CONN
*I *1300:io_in[7] I *D user_module_341535056611770964
*I *1270:module_data_in[7] O *D scanchain
*CAP
1 *1300:io_in[7] 0.00079174
2 *1270:module_data_in[7] 0.00079174
*RES
1 *1270:module_data_in[7] *1300:io_in[7] 3.17093
*END
*D_NET *1183 0.00158348
*CONN
*I *1270:module_data_out[0] I *D scanchain
*I *1300:io_out[0] O *D user_module_341535056611770964
*CAP
1 *1270:module_data_out[0] 0.00079174
2 *1300:io_out[0] 0.00079174
*RES
1 *1300:io_out[0] *1270:module_data_out[0] 3.17093
*END
*D_NET *1184 0.00158348
*CONN
*I *1270:module_data_out[1] I *D scanchain
*I *1300:io_out[1] O *D user_module_341535056611770964
*CAP
1 *1270:module_data_out[1] 0.00079174
2 *1300:io_out[1] 0.00079174
*RES
1 *1300:io_out[1] *1270:module_data_out[1] 3.17093
*END
*D_NET *1185 0.00158348
*CONN
*I *1270:module_data_out[2] I *D scanchain
*I *1300:io_out[2] O *D user_module_341535056611770964
*CAP
1 *1270:module_data_out[2] 0.00079174
2 *1300:io_out[2] 0.00079174
*RES
1 *1300:io_out[2] *1270:module_data_out[2] 3.17093
*END
*D_NET *1186 0.00158348
*CONN
*I *1270:module_data_out[3] I *D scanchain
*I *1300:io_out[3] O *D user_module_341535056611770964
*CAP
1 *1270:module_data_out[3] 0.00079174
2 *1300:io_out[3] 0.00079174
*RES
1 *1300:io_out[3] *1270:module_data_out[3] 3.17093
*END
*D_NET *1187 0.00158348
*CONN
*I *1270:module_data_out[4] I *D scanchain
*I *1300:io_out[4] O *D user_module_341535056611770964
*CAP
1 *1270:module_data_out[4] 0.00079174
2 *1300:io_out[4] 0.00079174
*RES
1 *1300:io_out[4] *1270:module_data_out[4] 3.17093
*END
*D_NET *1188 0.00158348
*CONN
*I *1270:module_data_out[5] I *D scanchain
*I *1300:io_out[5] O *D user_module_341535056611770964
*CAP
1 *1270:module_data_out[5] 0.00079174
2 *1300:io_out[5] 0.00079174
*RES
1 *1300:io_out[5] *1270:module_data_out[5] 3.17093
*END
*D_NET *1189 0.00158348
*CONN
*I *1270:module_data_out[6] I *D scanchain
*I *1300:io_out[6] O *D user_module_341535056611770964
*CAP
1 *1270:module_data_out[6] 0.00079174
2 *1300:io_out[6] 0.00079174
*RES
1 *1300:io_out[6] *1270:module_data_out[6] 3.17093
*END
*D_NET *1190 0.00158348
*CONN
*I *1270:module_data_out[7] I *D scanchain
*I *1300:io_out[7] O *D user_module_341535056611770964
*CAP
1 *1270:module_data_out[7] 0.00079174
2 *1300:io_out[7] 0.00079174
3 *1271:clk_in *1270:module_data_out[7] 0
*RES
1 *1300:io_out[7] *1270:module_data_out[7] 3.17093
*END
*D_NET *1191 0.0215564
*CONN
*I *1271:scan_select_in I *D scanchain
*I *1270:scan_select_out O *D scanchain
*CAP
1 *1271:scan_select_in 0.000482711
2 *1270:scan_select_out 0.00151604
3 *1191:16 0.00317438
4 *1191:15 0.0027122
5 *1191:11 0.00608778
6 *1191:10 0.00758328
7 *1271:latch_enable_in *1191:16 0
8 *1173:13 *1191:11 0
9 *1173:19 *1191:11 0
10 *1173:19 *1191:15 0
11 *1173:20 *1191:16 0
12 *1174:8 *1191:10 0
13 *1174:11 *1191:11 0
14 *1174:14 *1191:16 0
*RES
1 *1270:scan_select_out *1191:10 43.6341
2 *1191:10 *1191:11 126.625
3 *1191:11 *1191:15 9.42857
4 *1191:15 *1191:16 70.0982
5 *1191:16 *1271:scan_select_in 5.34327
*END
*D_NET *1192 0.019717
*CONN
*I *1272:clk_in I *D scanchain
*I *1271:clk_out O *D scanchain
*CAP
1 *1272:clk_in 0.00985852
2 *1271:clk_out 0.00985852
3 *1272:clk_in *1193:17 0
*RES
1 *1271:clk_out *1272:clk_in 47.8385
*END
*D_NET *1193 0.0192039
*CONN
*I *1272:data_in I *D scanchain
*I *1271:data_out O *D scanchain
*CAP
1 *1272:data_in 0.000500705
2 *1271:data_out 0.00583259
3 *1193:18 0.00376938
4 *1193:17 0.00910127
5 *1193:17 *1271:module_data_out[7] 0
6 *1193:18 *1194:14 0
7 *1193:18 *1211:14 0
8 *1272:clk_in *1193:17 0
*RES
1 *1271:data_out *1193:17 47.5068
2 *1193:17 *1193:18 85.125
3 *1193:18 *1272:data_in 5.41533
*END
*D_NET *1194 0.0214598
*CONN
*I *1272:latch_enable_in I *D scanchain
*I *1271:latch_enable_out O *D scanchain
*CAP
1 *1272:latch_enable_in 0.00051866
2 *1271:latch_enable_out 0.0020046
3 *1194:14 0.00269743
4 *1194:13 0.00217877
5 *1194:11 0.00602788
6 *1194:10 0.00602788
7 *1194:8 0.0020046
8 *1194:8 *1211:10 0
9 *1194:11 *1211:11 0
10 *1173:20 *1194:8 0
11 *1193:18 *1194:14 0
*RES
1 *1271:latch_enable_out *1194:8 48.1507
2 *1194:8 *1194:10 9
3 *1194:10 *1194:11 125.804
4 *1194:11 *1194:13 9
5 *1194:13 *1194:14 56.7411
6 *1194:14 *1272:latch_enable_in 5.4874
*END
*D_NET *1195 0.00173682
*CONN
*I *1301:io_in[0] I *D user_module_341535056611770964
*I *1271:module_data_in[0] O *D scanchain
*CAP
1 *1301:io_in[0] 0.000868411
2 *1271:module_data_in[0] 0.000868411
*RES
1 *1271:module_data_in[0] *1301:io_in[0] 3.478
*END
*D_NET *1196 0.00173682
*CONN
*I *1301:io_in[1] I *D user_module_341535056611770964
*I *1271:module_data_in[1] O *D scanchain
*CAP
1 *1301:io_in[1] 0.000868411
2 *1271:module_data_in[1] 0.000868411
3 *1301:io_in[1] *1301:io_in[2] 0
*RES
1 *1271:module_data_in[1] *1301:io_in[1] 3.478
*END
*D_NET *1197 0.00173682
*CONN
*I *1301:io_in[2] I *D user_module_341535056611770964
*I *1271:module_data_in[2] O *D scanchain
*CAP
1 *1301:io_in[2] 0.000868411
2 *1271:module_data_in[2] 0.000868411
3 *1301:io_in[1] *1301:io_in[2] 0
*RES
1 *1271:module_data_in[2] *1301:io_in[2] 3.478
*END
*D_NET *1198 0.00173682
*CONN
*I *1301:io_in[3] I *D user_module_341535056611770964
*I *1271:module_data_in[3] O *D scanchain
*CAP
1 *1301:io_in[3] 0.000868411
2 *1271:module_data_in[3] 0.000868411
*RES
1 *1271:module_data_in[3] *1301:io_in[3] 3.478
*END
*D_NET *1199 0.00173682
*CONN
*I *1301:io_in[4] I *D user_module_341535056611770964
*I *1271:module_data_in[4] O *D scanchain
*CAP
1 *1301:io_in[4] 0.000868411
2 *1271:module_data_in[4] 0.000868411
*RES
1 *1271:module_data_in[4] *1301:io_in[4] 3.478
*END
*D_NET *1200 0.00173682
*CONN
*I *1301:io_in[5] I *D user_module_341535056611770964
*I *1271:module_data_in[5] O *D scanchain
*CAP
1 *1301:io_in[5] 0.000868411
2 *1271:module_data_in[5] 0.000868411
*RES
1 *1271:module_data_in[5] *1301:io_in[5] 3.478
*END
*D_NET *1201 0.00173682
*CONN
*I *1301:io_in[6] I *D user_module_341535056611770964
*I *1271:module_data_in[6] O *D scanchain
*CAP
1 *1301:io_in[6] 0.000868411
2 *1271:module_data_in[6] 0.000868411
*RES
1 *1271:module_data_in[6] *1301:io_in[6] 3.478
*END
*D_NET *1202 0.00173682
*CONN
*I *1301:io_in[7] I *D user_module_341535056611770964
*I *1271:module_data_in[7] O *D scanchain
*CAP
1 *1301:io_in[7] 0.000868411
2 *1271:module_data_in[7] 0.000868411
*RES
1 *1271:module_data_in[7] *1301:io_in[7] 3.478
*END
*D_NET *1203 0.00173682
*CONN
*I *1271:module_data_out[0] I *D scanchain
*I *1301:io_out[0] O *D user_module_341535056611770964
*CAP
1 *1271:module_data_out[0] 0.000868411
2 *1301:io_out[0] 0.000868411
*RES
1 *1301:io_out[0] *1271:module_data_out[0] 3.478
*END
*D_NET *1204 0.00173682
*CONN
*I *1271:module_data_out[1] I *D scanchain
*I *1301:io_out[1] O *D user_module_341535056611770964
*CAP
1 *1271:module_data_out[1] 0.000868411
2 *1301:io_out[1] 0.000868411
*RES
1 *1301:io_out[1] *1271:module_data_out[1] 3.478
*END
*D_NET *1205 0.00173682
*CONN
*I *1271:module_data_out[2] I *D scanchain
*I *1301:io_out[2] O *D user_module_341535056611770964
*CAP
1 *1271:module_data_out[2] 0.000868411
2 *1301:io_out[2] 0.000868411
*RES
1 *1301:io_out[2] *1271:module_data_out[2] 3.478
*END
*D_NET *1206 0.00173682
*CONN
*I *1271:module_data_out[3] I *D scanchain
*I *1301:io_out[3] O *D user_module_341535056611770964
*CAP
1 *1271:module_data_out[3] 0.000868411
2 *1301:io_out[3] 0.000868411
*RES
1 *1301:io_out[3] *1271:module_data_out[3] 3.478
*END
*D_NET *1207 0.00173682
*CONN
*I *1271:module_data_out[4] I *D scanchain
*I *1301:io_out[4] O *D user_module_341535056611770964
*CAP
1 *1271:module_data_out[4] 0.000868411
2 *1301:io_out[4] 0.000868411
*RES
1 *1301:io_out[4] *1271:module_data_out[4] 3.478
*END
*D_NET *1208 0.00173682
*CONN
*I *1271:module_data_out[5] I *D scanchain
*I *1301:io_out[5] O *D user_module_341535056611770964
*CAP
1 *1271:module_data_out[5] 0.000868411
2 *1301:io_out[5] 0.000868411
3 *1271:module_data_out[5] *1271:module_data_out[6] 0
*RES
1 *1301:io_out[5] *1271:module_data_out[5] 3.478
*END
*D_NET *1209 0.00173682
*CONN
*I *1271:module_data_out[6] I *D scanchain
*I *1301:io_out[6] O *D user_module_341535056611770964
*CAP
1 *1271:module_data_out[6] 0.000868411
2 *1301:io_out[6] 0.000868411
3 *1271:module_data_out[5] *1271:module_data_out[6] 0
*RES
1 *1301:io_out[6] *1271:module_data_out[6] 3.478
*END
*D_NET *1210 0.00173682
*CONN
*I *1271:module_data_out[7] I *D scanchain
*I *1301:io_out[7] O *D user_module_341535056611770964
*CAP
1 *1271:module_data_out[7] 0.000868411
2 *1301:io_out[7] 0.000868411
3 *1193:17 *1271:module_data_out[7] 0
*RES
1 *1301:io_out[7] *1271:module_data_out[7] 3.478
*END
*D_NET *1211 0.0214953
*CONN
*I *1272:scan_select_in I *D scanchain
*I *1271:scan_select_out O *D scanchain
*CAP
1 *1272:scan_select_in 0.000482672
2 *1271:scan_select_out 0.00149804
3 *1211:14 0.00316268
4 *1211:13 0.00268001
5 *1211:11 0.00608692
6 *1211:10 0.00758496
7 *1211:14 *1214:8 0
8 *1211:14 *1231:10 0
9 *1173:20 *1211:10 0
10 *1193:18 *1211:14 0
11 *1194:8 *1211:10 0
12 *1194:11 *1211:11 0
*RES
1 *1271:scan_select_out *1211:10 43.562
2 *1211:10 *1211:11 127.036
3 *1211:11 *1211:13 9
4 *1211:13 *1211:14 69.7946
5 *1211:14 *1272:scan_select_in 5.34327
*END
*D_NET *1212 0.0193695
*CONN
*I *1273:clk_in I *D scanchain
*I *1272:clk_out O *D scanchain
*CAP
1 *1273:clk_in 0.000582852
2 *1272:clk_out 0.00536693
3 *1212:10 0.00431779
4 *1212:9 0.00910188
5 *1212:9 *1213:17 0
6 *1212:10 *1213:18 0
7 *1212:10 *1231:16 0
8 *648:12 *1212:10 0
*RES
1 *1272:clk_out *1212:9 24.9047
2 *1212:9 *1212:10 97.2679
3 *1212:10 *1273:clk_in 5.74433
*END
*D_NET *1213 0.0192067
*CONN
*I *1273:data_in I *D scanchain
*I *1272:data_out O *D scanchain
*CAP
1 *1273:data_in 0.00059224
2 *1272:data_out 0.00581238
3 *1213:18 0.00379097
4 *1213:17 0.00901111
5 *1213:17 *1272:module_data_out[7] 0
6 *1213:18 *1214:14 0
7 *1213:18 *1231:16 0
8 *1212:9 *1213:17 0
9 *1212:10 *1213:18 0
*RES
1 *1272:data_out *1213:17 47.7734
2 *1213:17 *1213:18 83.3036
3 *1213:18 *1273:data_in 5.78193
*END
*D_NET *1214 0.0215798
*CONN
*I *1273:latch_enable_in I *D scanchain
*I *1272:latch_enable_out O *D scanchain
*CAP
1 *1273:latch_enable_in 0.000557035
2 *1272:latch_enable_out 0.00202259
3 *1214:14 0.00275912
4 *1214:13 0.00220209
5 *1214:11 0.00600821
6 *1214:10 0.00600821
7 *1214:8 0.00202259
8 *1214:8 *1231:10 0
9 *1214:11 *1231:11 0
10 *1211:14 *1214:8 0
11 *1213:18 *1214:14 0
*RES
1 *1272:latch_enable_out *1214:8 48.2227
2 *1214:8 *1214:10 9
3 *1214:10 *1214:11 125.393
4 *1214:11 *1214:13 9
5 *1214:13 *1214:14 57.3482
6 *1214:14 *1273:latch_enable_in 5.64093
*END
*D_NET *1215 0.00158348
*CONN
*I *1302:io_in[0] I *D user_module_341535056611770964
*I *1272:module_data_in[0] O *D scanchain
*CAP
1 *1302:io_in[0] 0.00079174
2 *1272:module_data_in[0] 0.00079174
*RES
1 *1272:module_data_in[0] *1302:io_in[0] 3.17093
*END
*D_NET *1216 0.00158348
*CONN
*I *1302:io_in[1] I *D user_module_341535056611770964
*I *1272:module_data_in[1] O *D scanchain
*CAP
1 *1302:io_in[1] 0.00079174
2 *1272:module_data_in[1] 0.00079174
*RES
1 *1272:module_data_in[1] *1302:io_in[1] 3.17093
*END
*D_NET *1217 0.00158348
*CONN
*I *1302:io_in[2] I *D user_module_341535056611770964
*I *1272:module_data_in[2] O *D scanchain
*CAP
1 *1302:io_in[2] 0.00079174
2 *1272:module_data_in[2] 0.00079174
*RES
1 *1272:module_data_in[2] *1302:io_in[2] 3.17093
*END
*D_NET *1218 0.00158348
*CONN
*I *1302:io_in[3] I *D user_module_341535056611770964
*I *1272:module_data_in[3] O *D scanchain
*CAP
1 *1302:io_in[3] 0.00079174
2 *1272:module_data_in[3] 0.00079174
*RES
1 *1272:module_data_in[3] *1302:io_in[3] 3.17093
*END
*D_NET *1219 0.00158348
*CONN
*I *1302:io_in[4] I *D user_module_341535056611770964
*I *1272:module_data_in[4] O *D scanchain
*CAP
1 *1302:io_in[4] 0.00079174
2 *1272:module_data_in[4] 0.00079174
*RES
1 *1272:module_data_in[4] *1302:io_in[4] 3.17093
*END
*D_NET *1220 0.00158348
*CONN
*I *1302:io_in[5] I *D user_module_341535056611770964
*I *1272:module_data_in[5] O *D scanchain
*CAP
1 *1302:io_in[5] 0.00079174
2 *1272:module_data_in[5] 0.00079174
*RES
1 *1272:module_data_in[5] *1302:io_in[5] 3.17093
*END
*D_NET *1221 0.00158348
*CONN
*I *1302:io_in[6] I *D user_module_341535056611770964
*I *1272:module_data_in[6] O *D scanchain
*CAP
1 *1302:io_in[6] 0.00079174
2 *1272:module_data_in[6] 0.00079174
*RES
1 *1272:module_data_in[6] *1302:io_in[6] 3.17093
*END
*D_NET *1222 0.00158348
*CONN
*I *1302:io_in[7] I *D user_module_341535056611770964
*I *1272:module_data_in[7] O *D scanchain
*CAP
1 *1302:io_in[7] 0.00079174
2 *1272:module_data_in[7] 0.00079174
*RES
1 *1272:module_data_in[7] *1302:io_in[7] 3.17093
*END
*D_NET *1223 0.00158348
*CONN
*I *1272:module_data_out[0] I *D scanchain
*I *1302:io_out[0] O *D user_module_341535056611770964
*CAP
1 *1272:module_data_out[0] 0.00079174
2 *1302:io_out[0] 0.00079174
*RES
1 *1302:io_out[0] *1272:module_data_out[0] 3.17093
*END
*D_NET *1224 0.00158348
*CONN
*I *1272:module_data_out[1] I *D scanchain
*I *1302:io_out[1] O *D user_module_341535056611770964
*CAP
1 *1272:module_data_out[1] 0.00079174
2 *1302:io_out[1] 0.00079174
*RES
1 *1302:io_out[1] *1272:module_data_out[1] 3.17093
*END
*D_NET *1225 0.00158348
*CONN
*I *1272:module_data_out[2] I *D scanchain
*I *1302:io_out[2] O *D user_module_341535056611770964
*CAP
1 *1272:module_data_out[2] 0.00079174
2 *1302:io_out[2] 0.00079174
*RES
1 *1302:io_out[2] *1272:module_data_out[2] 3.17093
*END
*D_NET *1226 0.00158348
*CONN
*I *1272:module_data_out[3] I *D scanchain
*I *1302:io_out[3] O *D user_module_341535056611770964
*CAP
1 *1272:module_data_out[3] 0.00079174
2 *1302:io_out[3] 0.00079174
*RES
1 *1302:io_out[3] *1272:module_data_out[3] 3.17093
*END
*D_NET *1227 0.00158348
*CONN
*I *1272:module_data_out[4] I *D scanchain
*I *1302:io_out[4] O *D user_module_341535056611770964
*CAP
1 *1272:module_data_out[4] 0.00079174
2 *1302:io_out[4] 0.00079174
*RES
1 *1302:io_out[4] *1272:module_data_out[4] 3.17093
*END
*D_NET *1228 0.00158348
*CONN
*I *1272:module_data_out[5] I *D scanchain
*I *1302:io_out[5] O *D user_module_341535056611770964
*CAP
1 *1272:module_data_out[5] 0.00079174
2 *1302:io_out[5] 0.00079174
*RES
1 *1302:io_out[5] *1272:module_data_out[5] 3.17093
*END
*D_NET *1229 0.00158348
*CONN
*I *1272:module_data_out[6] I *D scanchain
*I *1302:io_out[6] O *D user_module_341535056611770964
*CAP
1 *1272:module_data_out[6] 0.00079174
2 *1302:io_out[6] 0.00079174
*RES
1 *1302:io_out[6] *1272:module_data_out[6] 3.17093
*END
*D_NET *1230 0.00158348
*CONN
*I *1272:module_data_out[7] I *D scanchain
*I *1302:io_out[7] O *D user_module_341535056611770964
*CAP
1 *1272:module_data_out[7] 0.00079174
2 *1302:io_out[7] 0.00079174
3 *1213:17 *1272:module_data_out[7] 0
*RES
1 *1302:io_out[7] *1272:module_data_out[7] 3.17093
*END
*D_NET *1231 0.021593
*CONN
*I *1273:scan_select_in I *D scanchain
*I *1272:scan_select_out O *D scanchain
*CAP
1 *1273:scan_select_in 0.000547646
2 *1272:scan_select_out 0.00151604
3 *1231:16 0.00319269
4 *1231:15 0.00266558
5 *1231:11 0.00608778
6 *1231:10 0.00758328
7 *1211:14 *1231:10 0
8 *1212:10 *1231:16 0
9 *1213:18 *1231:16 0
10 *1214:8 *1231:10 0
11 *1214:11 *1231:11 0
*RES
1 *1272:scan_select_out *1231:10 43.6341
2 *1231:10 *1231:11 126.625
3 *1231:11 *1231:15 9.42857
4 *1231:15 *1231:16 68.8839
5 *1231:16 *1273:scan_select_in 5.60333
*END
*D_NET *1233 0.00158348
*CONN
*I *1303:io_in[0] I *D user_module_341535056611770964
*I *1273:module_data_in[0] O *D scanchain
*CAP
1 *1303:io_in[0] 0.00079174
2 *1273:module_data_in[0] 0.00079174
*RES
1 *1273:module_data_in[0] *1303:io_in[0] 3.17093
*END
*D_NET *1234 0.00158348
*CONN
*I *1303:io_in[1] I *D user_module_341535056611770964
*I *1273:module_data_in[1] O *D scanchain
*CAP
1 *1303:io_in[1] 0.00079174
2 *1273:module_data_in[1] 0.00079174
*RES
1 *1273:module_data_in[1] *1303:io_in[1] 3.17093
*END
*D_NET *1235 0.00158348
*CONN
*I *1303:io_in[2] I *D user_module_341535056611770964
*I *1273:module_data_in[2] O *D scanchain
*CAP
1 *1303:io_in[2] 0.00079174
2 *1273:module_data_in[2] 0.00079174
*RES
1 *1273:module_data_in[2] *1303:io_in[2] 3.17093
*END
*D_NET *1236 0.00158348
*CONN
*I *1303:io_in[3] I *D user_module_341535056611770964
*I *1273:module_data_in[3] O *D scanchain
*CAP
1 *1303:io_in[3] 0.00079174
2 *1273:module_data_in[3] 0.00079174
*RES
1 *1273:module_data_in[3] *1303:io_in[3] 3.17093
*END
*D_NET *1237 0.00158348
*CONN
*I *1303:io_in[4] I *D user_module_341535056611770964
*I *1273:module_data_in[4] O *D scanchain
*CAP
1 *1303:io_in[4] 0.00079174
2 *1273:module_data_in[4] 0.00079174
*RES
1 *1273:module_data_in[4] *1303:io_in[4] 3.17093
*END
*D_NET *1238 0.00158348
*CONN
*I *1303:io_in[5] I *D user_module_341535056611770964
*I *1273:module_data_in[5] O *D scanchain
*CAP
1 *1303:io_in[5] 0.00079174
2 *1273:module_data_in[5] 0.00079174
*RES
1 *1273:module_data_in[5] *1303:io_in[5] 3.17093
*END
*D_NET *1239 0.00158348
*CONN
*I *1303:io_in[6] I *D user_module_341535056611770964
*I *1273:module_data_in[6] O *D scanchain
*CAP
1 *1303:io_in[6] 0.00079174
2 *1273:module_data_in[6] 0.00079174
*RES
1 *1273:module_data_in[6] *1303:io_in[6] 3.17093
*END
*D_NET *1240 0.00158348
*CONN
*I *1303:io_in[7] I *D user_module_341535056611770964
*I *1273:module_data_in[7] O *D scanchain
*CAP
1 *1303:io_in[7] 0.00079174
2 *1273:module_data_in[7] 0.00079174
*RES
1 *1273:module_data_in[7] *1303:io_in[7] 3.17093
*END
*D_NET *1241 0.00158348
*CONN
*I *1273:module_data_out[0] I *D scanchain
*I *1303:io_out[0] O *D user_module_341535056611770964
*CAP
1 *1273:module_data_out[0] 0.00079174
2 *1303:io_out[0] 0.00079174
*RES
1 *1303:io_out[0] *1273:module_data_out[0] 3.17093
*END
*D_NET *1242 0.00158348
*CONN
*I *1273:module_data_out[1] I *D scanchain
*I *1303:io_out[1] O *D user_module_341535056611770964
*CAP
1 *1273:module_data_out[1] 0.00079174
2 *1303:io_out[1] 0.00079174
*RES
1 *1303:io_out[1] *1273:module_data_out[1] 3.17093
*END
*D_NET *1243 0.00158348
*CONN
*I *1273:module_data_out[2] I *D scanchain
*I *1303:io_out[2] O *D user_module_341535056611770964
*CAP
1 *1273:module_data_out[2] 0.00079174
2 *1303:io_out[2] 0.00079174
*RES
1 *1303:io_out[2] *1273:module_data_out[2] 3.17093
*END
*D_NET *1244 0.00158348
*CONN
*I *1273:module_data_out[3] I *D scanchain
*I *1303:io_out[3] O *D user_module_341535056611770964
*CAP
1 *1273:module_data_out[3] 0.00079174
2 *1303:io_out[3] 0.00079174
*RES
1 *1303:io_out[3] *1273:module_data_out[3] 3.17093
*END
*D_NET *1245 0.00158348
*CONN
*I *1273:module_data_out[4] I *D scanchain
*I *1303:io_out[4] O *D user_module_341535056611770964
*CAP
1 *1273:module_data_out[4] 0.00079174
2 *1303:io_out[4] 0.00079174
*RES
1 *1303:io_out[4] *1273:module_data_out[4] 3.17093
*END
*D_NET *1246 0.00158348
*CONN
*I *1273:module_data_out[5] I *D scanchain
*I *1303:io_out[5] O *D user_module_341535056611770964
*CAP
1 *1273:module_data_out[5] 0.00079174
2 *1303:io_out[5] 0.00079174
*RES
1 *1303:io_out[5] *1273:module_data_out[5] 3.17093
*END
*D_NET *1247 0.00158348
*CONN
*I *1273:module_data_out[6] I *D scanchain
*I *1303:io_out[6] O *D user_module_341535056611770964
*CAP
1 *1273:module_data_out[6] 0.00079174
2 *1303:io_out[6] 0.00079174
*RES
1 *1303:io_out[6] *1273:module_data_out[6] 3.17093
*END
*D_NET *1248 0.00158348
*CONN
*I *1273:module_data_out[7] I *D scanchain
*I *1303:io_out[7] O *D user_module_341535056611770964
*CAP
1 *1273:module_data_out[7] 0.00079174
2 *1303:io_out[7] 0.00079174
*RES
1 *1303:io_out[7] *1273:module_data_out[7] 3.17093
*END