blob: 30ab28e4f95ff842380e39011fe333dd2510dd2f [file] [log] [blame]
/* Automatically generated from https://wokwi.com/projects/339439899388150354 */
module user_module_339439899388150354(
input [7:0] io_in,
output [7:0] io_out
);
wire net1 = io_in[0];
wire net2 = io_in[1];
wire net3 = io_in[2];
wire net4 = io_in[3];
wire net5 = io_in[4];
wire net6 = io_in[5];
wire net7 = io_in[6];
wire net8 = io_in[7];
wire net9;
wire net10;
wire net11;
wire net12;
wire net13 = 1'b0;
wire net14 = 1'b1;
wire net15 = 1'b1;
assign io_out[0] = net9;
assign io_out[1] = net10;
assign io_out[2] = net11;
assign io_out[3] = net12;
assign io_out[4] = net5;
assign io_out[5] = net6;
assign io_out[6] = net7;
assign io_out[7] = net8;
not_cell not1 (
.in (net1),
.out (net9)
);
not_cell not2 (
.in (net2),
.out (net10)
);
not_cell not3 (
.in (net3),
.out (net11)
);
not_cell not4 (
.in (net4),
.out (net12)
);
endmodule