blob: 8414d6b9ecb7ca3b9b220ee2d4070eb5d2b6cc76 [file] [log] [blame]
filler_project_url = 'https://github.com/TinyTapeout/tt02-test-invert'
test_project_urls = [
'https://github.com/TinyTapeout/tt02-test-straight',
'https://github.com/TinyTapeout/tt02-test-7seg',
'https://github.com/TinyTapeout/tt02-test-invert',
]
project_urls = [
'https://github.com/TinyTapeout/tt02-test-invert',
"https://github.com/Fraserbc/tt02-simon",
"https://github.com/TomKeddie/tinytapeout-2022-2",
"https://github.com/chrisruk/matrixchip",
"https://github.com/loxodes/tt02-submission-loxodes",
"https://github.com/migcorre/tt02-dc",
"https://github.com/grayresearch/tt02-s4ga",
"https://github.com/ryancor/tt02-submission-template",
"https://github.com/AidanGood/tt02-McCoy",
"https://github.com/azdle/binary-clock-asic",
"https://github.com/justinP-wrk/tt02-TinySensor",
"https://github.com/jar/tt02_sram",
"https://github.com/JensIMS/tt02-trafficlight",
"https://github.com/svd321/tt02-Ising",
"https://github.com/89Mods/tt2-AvalonSemi-5401",
"https://github.com/RiceShelley/tiny-fft",
"https://github.com/moyesw/tt02-moyesw-StreamIntegrator",
"https://github.com/Tschucker/tt02-submission-tiny-fir",
"https://github.com/steieio/tt02-submission-universal-sr",
"https://github.com/leardilap/tt02-LUTRAM",
"https://github.com/ekliptik/tt02-chase-the-beat",
"https://github.com/maehw/tt02-bcd-7segment-encoder",
"https://github.com/benevpi/tt02-LED-flasher",
"https://github.com/kuriousd/tt02-4bit-multiplier",
"https://github.com/89Mods/tt2-avalonsemi-TBB1143",
"https://github.com/TomKeddie/tinytapeout-2022-2a",
"https://github.com/mm21/tinytapeout2-led-matrix",
"https://github.com/argunda/tt02-TinyPFD",
"https://github.com/meriac/loading-animation",
"https://github.com/yubex/tt02-tiny_egg_timer",
"https://github.com/peppergrayxyz/Potato-1",
"https://github.com/zoent/tt02-zoe-chip",
"https://github.com/nanikgeorge/tt02-submission-template",
"https://github.com/mbikovitsky/tt02-lfsr",
"https://github.com/teaandtechtime/tt02-submission-prbs15",
"https://github.com/rolfmobile99/tt02-submission-template",
"https://github.com/jar/tt02_freespeech",
"https://github.com/alanvgreen/tt02-siren",
"https://github.com/TheZoq2/smolfpga",
"https://github.com/moyesw/TT02-M0",
"https://github.com/yupferris/bitslam",
"https://github.com/ThorKn/tinytapeout02_pattern_player",
"https://github.com/proppy/tt02-xls-popcount",
"https://github.com/jeanthom/tt02-rc5-receiver",
"https://github.com/mmolteni-secpat/tinytapeout02_chiDOM",
"https://github.com/meriac/tt02-play-tune",
"https://github.com/phasenoisepon/tt02-phasenoisepon",
"https://github.com/13arn/tt02_counter_steamdeck",
"https://github.com/ThorKn/tinytapeout02_shiftregister_challenge",
"https://github.com/89Mods/tt2-4x4-multiply",
"https://github.com/89Mods/tt2-multiplexed-counter",
"https://github.com/proppy/tt02-xls-counter",
"https://github.com/QuantamHD/ethan-evan-random-numbers",
"https://github.com/QuantamHD/evan-submission",
"https://github.com/FlyGoat/tt02-play-tune-flygoat",
# "https://github.com/jleightcap/clash-silicon-tinytapeout", # top.v not commited
"https://github.com/mattvenn/clash-silicon-tinytapeout.git",
"https://github.com/89Mods/tt2-lcd-namebadge",
"https://github.com/Christina-Cyr/tt02-submission-UART-CC",
"https://github.com/krasin/tt02-verilog-3-bit-8-channel-pwm-driver",
"https://github.com/nickoe/tinytapeout02-verilog-gds-test",
"https://github.com/cchan/fp8_mul",
"https://github.com/AvalonSemiconductors/tt2-diceroll",
"https://github.com/NYIT-CNS/cns001-tt02-submission1",
"https://github.com/NYIT-CNS/cns002-tt02-submission2",
"https://github.com/shaos/tt02-submission-shaos",
"https://github.com/toybuilder/tt02-learn-tinytapeout",
"https://github.com/drburke3/tt02-nano-neuron",
"https://github.com/UDXS/sqrt-tt02",
"https://github.com/argunda/tt02-breathing-led",
"https://github.com/daniestevez/tt02-gold-fibonacci",
"https://github.com/r4d10n/tt02-HELLo-3orLd-7seg",
"https://github.com/navray/tt02-square-root",
"https://github.com/shaos-net/tt02-submission-shaos2",
"https://github.com/krasin/tt02-verilog-spi-7-channel-pwm-driver",
"https://github.com/brouhaha/tt02-hex-sr",
"https://github.com/ericsmi/tt02-verilog-ring-osc-demo",
"https://github.com/AidanMedcalf/tt02-pid",
"https://github.com/cpldcpu/tt02-TrainLED",
"https://github.com/cpldcpu/tt02-mcpu5plus",
"https://github.com/MoonbaseOtago/tt-cpu",
"https://github.com/davidsiaw/tt02-davidsiaw-stackcalc",
"https://github.com/mole99/tt02-1bit-alu",
"https://github.com/steieio/tt02-sfsm-wokwi",
"https://github.com/youngpines/tt02-youngpines-submission",
"https://github.com/timvgso/tinatapeworm",
"https://github.com/OneRNG/tt-cpu8",
"https://github.com/tcptomato/tt02-submission-template",
"https://github.com/jglim/tt02-bcd-7seg",
"https://github.com/ARamsey118/tiny_tapeout_freq_counter",
"https://github.com/splinedrive/thunderbird_taillight_1965",
"https://github.com/gatecat/tt02-fpga-respin",
"https://github.com/mmolteni-secpat/tinytapeout02_chi2shares",
"https://github.com/mmolteni-secpat/tinytapeout02_chi3shares",
"https://github.com/Wren6991/tt02-whisk-serial-processor",
"https://github.com/aiunderstand/tt02-4bit-tristate-loadable-counter",
"https://github.com/aiunderstand/tt02-async-binary-ternary-convert-compare",
"https://github.com/RobertRiachi/tt02-dot-product",
"https://github.com/regymm/tt02-verilog-mcpi",
"https://github.com/regymm/tt02-verilog-funnyblinky",
"https://github.com/adamgreig/tt02-gpa-ca-prn",
"https://github.com/adamgreig/tt02-adc-dac",
"https://github.com/jglim/tt02-bcd-hex7seg-hdl",
"https://github.com/burtyb/tt02-srld",
"https://github.com/azzeloof/tt02-counter",
"https://github.com/shan1293/tt02-2bitCPU",
"https://github.com/Josvth/tt02-convolutional-encoder",
"https://github.com/gatecat/tt02-pic",
"https://github.com/browndeer/rv8u",
"https://github.com/Sirawit7205/tt02-2G97-2G98",
"https://github.com/gatecat/tt02-melody-gen",
"https://github.com/vaishnavachath/tt02-submission-rotary-encoder-counter",
"https://github.com/maehw/tt02-wokwi-wolf-goat-cabbage",
"https://github.com/maehw/tt02-wokwi-lowspeed-tiny-uart",
"https://github.com/wimdams/tt02-rotary-encoder",
"https://github.com/ChrisPVille/tt02-FROG4bitCPU",
"https://github.com/swalense/tt02-graycode_counter",
"https://github.com/Luthor2k/tt02-baudot",
"https://github.com/ctag/tt02-submission-ctag",
"https://github.com/AsmaMohsin1507/tt02-channel-coding",
"https://github.com/stevenmburns/tt02-scannable-gcd",
"https://github.com/cy384/tt02-submission-template",
"https://github.com/rglenn/tt02-rglenn-hex-to-7-seg",
"https://github.com/zymason/tt02-zymason",
"https://github.com/DaveyPocket/chaser_tt2",
"https://github.com/klei22/Rolling-Average",
"https://github.com/andars/tt02-universal-turing-machine-w5s8",
"https://github.com/ternary-info/tt02-submission-shaos3",
"https://github.com/gregdavill/tt02-clock",
"https://github.com/gregdavill/tt02-serv",
"https://github.com/saicharan0112/tt02-submission-template",
"https://github.com/tanishnk/Tiny-Tapeout-2-submission-Tanish-k",
"https://github.com/skylersaleh/tt02-hello",
"https://github.com/BarsMonster/MicroAsicVI",
"https://github.com/RecepSaid/tt02-euclidean-algorithm",
"https://github.com/8086net/tt02-CRC16",
"https://github.com/mazensaghir/tt02-sevsegfx",
"https://github.com/tzachari/tt02-lab11",
"https://github.com/bitluni/tt02-option23ser",
"https://github.com/bitluni/tt02-option23",
"https://github.com/bitluni/tt02-option22",
"https://github.com/theFestest/tt02-4x4-ram",
"https://github.com/jeanthom/tinytapout-lock",
"https://github.com/jdrosent/tt02-submission-template",
"https://github.com/majdiabdulsamad/tt02-Femto",
"https://github.com/AvalonSemiconductors/tt02-logisim-example",
"https://github.com/bitluni/tt02-SecretFile",
# anish
"https://github.com/cmu-stuco-98154/f22-tt02-qilins",
"https://github.com/cmu-stuco-98154/f22-tt02-jxlu",
"https://github.com/cmu-stuco-98154/f22-tt02-mgee3",
"https://github.com/cmu-stuco-98154/f22-tt02-sophiali",
"https://github.com/cmu-stuco-98154/f22-tt02-jrecta",
"https://github.com/asinghani/tt02-beepboop",
"https://github.com/noahgaertner/tt02-verilog-demo",
# student form
#"https://github.com/H-Bydn/TinyTapeout2-PWMgenerator", broken
# late
"https://github.com/prabaldutta/tt02-adi-demo",
"https://github.com/TinyTapeout/tt02-tinytapeout-clock-divider-asic",
'https://github.com/tucanae47/tt02-gray-counter',
# mine
'https://github.com/TinyTapeout/tt02-test-7seg',
'https://github.com/TinyTapeout/tt02-verilog-demo',
'https://github.com/mattvenn/tt02-laura',
'https://github.com/mattvenn/tt02-m-segments',
# tt demos
'https://github.com/jdrosent/tt02-7segstringdisplay',
'https://github.com/jdrosent/tt02-UARTcharacter',
'https://github.com/jdrosent/tt02-UARTstring',
'https://github.com/jdrosent/tt02-padlock',
# last minute students
'https://github.com/H-Bydn/TinyTapeout2-PWMgenerator',
'https://github.com/aiunderstand/tt02-mrcs-verilog-test',
# skullart
'https://github.com/wokwi/tinytapeout-skullart',
]