integrate new designs
diff --git a/verilog/rtl/078_top.v b/verilog/rtl/078_top.v
new file mode 100644
index 0000000..01583e2
--- /dev/null
+++ b/verilog/rtl/078_top.v
@@ -0,0 +1,9 @@
+
+`default_nettype none
+
+module davidsiaw_stackcalc (
+  input wire [7:0] io_in,
+  output wire [7:0] io_out
+);
+  stack_cpu cpu(.io_in(io_in), .io_out(io_out));
+endmodule