blob: 01583e255e1fb6f8f0a0742ed2b2606a15a993ec [file] [log] [blame]
`default_nettype none
module davidsiaw_stackcalc (
input wire [7:0] io_in,
output wire [7:0] io_out
);
stack_cpu cpu(.io_in(io_in), .io_out(io_out));
endmodule